KR20130041081A - 로봇 아암 - Google Patents

로봇 아암 Download PDF

Info

Publication number
KR20130041081A
KR20130041081A KR1020137000503A KR20137000503A KR20130041081A KR 20130041081 A KR20130041081 A KR 20130041081A KR 1020137000503 A KR1020137000503 A KR 1020137000503A KR 20137000503 A KR20137000503 A KR 20137000503A KR 20130041081 A KR20130041081 A KR 20130041081A
Authority
KR
South Korea
Prior art keywords
link
arm
forearm
joint
hand
Prior art date
Application number
KR1020137000503A
Other languages
English (en)
Other versions
KR101423006B1 (ko
Inventor
미츠루 시마모토
다카히로 마에카와
Original Assignee
나부테스코 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 나부테스코 가부시키가이샤 filed Critical 나부테스코 가부시키가이샤
Publication of KR20130041081A publication Critical patent/KR20130041081A/ko
Application granted granted Critical
Publication of KR101423006B1 publication Critical patent/KR101423006B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J19/00Accessories fitted to manipulators, e.g. for monitoring, for viewing; Safety devices combined with or specially adapted for use in connection with manipulators
    • B25J19/0066Means or methods for maintaining or repairing manipulators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/08Programme-controlled manipulators characterised by modular constructions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/27Arm part
    • Y10S901/28Joint

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manipulator (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 출원의 로봇 아암은, 반도체 웨이퍼를 반송하는 로봇 아암이다. 그 로봇 아암은, 핸드와 전완 링크와 상완 링크를 구비하고 있다. 핸드는, 제 1 관절을 개재하여 전완 링크에 연결되어 있다. 상완 링크는, 제 2 관절을 개재하여 전완 링크에 연결되어 있다. 본 출원의 로봇 아암에서는, 전완 링크가, 제 1 관절과 제 2 관절 사이의 위치에서 분할되는 것이 가능하다.

Description

로봇 아암{ROBOT ARM}
본 출원은, 2010 년 6 월 10 일에 출원된 일본국 특허출원 제2010-132695호에 기초하는 우선권을 주장한다. 그 출원의 모든 내용은, 이 명세서 중에 참조에 의해 원용되어 있다. 본 출원은, 로봇 아암에 관한 것이다. 특히, 반도체 웨이퍼를 반송하는 로봇 아암에 관한 것이다.
반도체 웨이퍼를 반송하는 로봇 아암이 알려져 있다. 구체적으로는, 그 로봇 아암은, 반도체 웨이퍼를 프로세스 챔버 (process chamber) 에 삽입하거나, 반도체 웨이퍼를 프로세스 챔버로부터 꺼낸다. 프로세스 챔버에는, 트랜스퍼 챔버 (transfer chamber) 가 연결되어 있다. 로봇 아암은, 그 트랜스퍼 챔버 내에 배치된다. 반도체 웨이퍼는, 로봇 아암에 의해, 트랜스퍼 챔버와 프로세스 챔버 사이를 이동한다. 트랜스퍼 챔버는, 작은 클린 룸에 상당한다. 트랜스퍼 챔버는, 반도체 웨이퍼에 먼지 등의 불순물이 부착하는 것을 방지한다. 트랜스퍼 챔버 내에서는, 공기 (또는 가스) 가 청정하게 유지된다. 또한, 트랜스퍼 챔버 내는, 진공으로 유지되는 경우도 있다. 트랜스퍼 챔버 내에서 동작하는 로봇 아암은, 불순물을 발생시키지 않는 궁리가 요구된다.
반도체 웨이퍼를 반송하는 로봇 아암은, 통상 2 이상의 자유도를 갖는다. 그와 같은 로봇 아암은, 전형적으로는, 2 개의 링크와 핸드로 구성되어 있다. 본 명세서에서는, 2 개의 링크를, 상완 (上腕) 링크와 전완 (前腕) 링크로 칭한다. 전형적으로는, 상완 링크의 일단은 모터의 출력 샤프트에 연결되어 있고, 상완 링크의 타단은 전완 링크의 일단에 연결되어 있다. 그리고, 전완 링크의 타단은 핸드에 연결되어 있다. 상완 링크와 전완 링크는, 관절을 개재하여 연결되어 있다. 전완 링크와 핸드도, 관절을 개재하여 연결되어 있다. 각각의 관절에는, 링크가 원활하게 회전하도록 베어링이 실장되어 있다. 그와 같은 로봇 아암의 일례가, 일본 공개특허공보 2000-150617호 및 일본 공개특허공보 2000-195923호에 개시되어 있다. 반도체 웨이퍼를 반송하는 로봇 아암에서는, 트랜스퍼 챔버 내를 오염시키지 않도록, 관절에 실장되어 있는 베어링이 실드 (shield) 되어 있다.
반도체 웨이퍼는, 프로세스 챔버 내에서 고온에 노출되는 경우가 있다. 그 때문에, 로봇 아암은, 고온의 반도체 웨이퍼를 반송하는 경우가 있다. 고온의 반도체 웨이퍼가 핸드에 접하면, 핸드의 온도가 상승함과 함께, 전완 링크와 핸드 사이에 배치되어 있는 관절의 온도도 상승한다. 그것에 의해, 관절에 실장되어 있는 베어링의 온도가 상승한다. 베어링의 온도 상승은, 그 베어링 내의 윤활제의 열화를 촉진한다. 그 때문에, 전완 링크와 핸드 사이에 배치되어 있는 관절의 베어링은, 상완 링크와 전완 링크 사이에 배치되어 있는 관절의 베어링보다 메인터넌스의 빈도가 많다.
상기 서술한 바와 같이, 로봇 아암은, 내부가 청정하게 유지되는 트랜스퍼 챔버 내에 배치된다. 관절의 베어링을 메인터넌스하기 위해서는, 관절을 분해하는 것이 필요하다. 관절의 베어링을 트랜스퍼 챔버 내에서 메인터넌스하면, 트랜스퍼 챔버 내가 더러워진다. 본 명세서에 개시하는 기술은, 베어링의 메인터넌스시에, 트랜스퍼 챔버 내의 오염을 억제할 수 있는 로봇 아암을 제공한다.
본 명세서가 개시하는 로봇 아암은, 반도체 웨이퍼를 반송하는 로봇 아암이며, 핸드와 전완 링크와 상완 링크를 구비하고 있다. 반도체 웨이퍼를 파지하기 위한 핸드는, 관절을 개재하여 전완 링크에 연결되어 있다. 상완 링크는, 관절을 개재하여 전완 링크에 연결되어 있다. 이하의 설명에서는, 핸드와 전완 링크를 연결하는 관절을 제 1 관절로 칭하고, 전완 링크와 상완 링크를 연결하는 관절을 제 2 관절로 칭한다. 본 명세서가 개시하는 로봇 아암에서는, 전완 링크가, 제 1 관절과 제 2 관절 사이의 위치에서 분할 가능하게 구성되어 있다.
상기 로봇 아암에서는, 전완 링크를 분할함으로써, 핸드와 전완 링크를, 핸드와 전완 링크의 연결을 유지한 채 트랜스퍼 챔버 내로부터 꺼낼 수 있다. 제 1 관절을 분해하지 않고, 제 1 관절을 트랜스퍼 챔버로부터 꺼낼 수 있다. 그 후, 트랜스퍼 챔버 외에서, 제 1 관절 내의 베어링의 메인터넌스 작업을 실시할 수 있다. 본 명세서가 개시하는 기술에 의해, 트랜스퍼 챔버 내의 오염을 억제할 수 있다. 또, 전완 링크의 선단부와 제 1 관절과 핸드가 조립된 교환 부품을 미리 준비하고, 메인터넌스 작업시에 트랜스퍼 챔버 내의 선단부와 교환해도 된다.
상기한 바와 같이, 본 명세서에 개시하는 로봇 아암에서는, 전완 링크가, 제 1 관절과 제 2 관절 사이의 위치에서 분할 가능하게 구성되어 있다. 이하의 설명에서는, 상완 링크에 연결되어 있는 전완 링크를 전완 링크 후단부로 칭하고, 핸드에 연결되어 있는 전완 링크를 전완 링크 선단부로 칭하는 경우가 있다. 본 명세서에 개시하는 로봇 아암에서는, 전완 링크 후단부와 전완 링크 선단부가, 전완 링크의 길이 방향에 직교하는 방향에서 오버랩되어 있어도 된다. 이 경우, 전완 링크 후단부와 전완 링크 선단부의 오버랩 부분에, 복수의 위치 결정 핀이 삽입되어 있는 것이 바람직하다. 복수의 위치 결정 핀에 의해, 전완 링크 후단부와 전완 링크 선단부를 다시 조립할 때, 양자를 정확하게 위치 맞춤할 수 있다.
본 명세서가 개시하는 기술은, 트랜스퍼 챔버 내의 오염을 억제할 수 있는 로봇 아암을 실현할 수 있다.
도 1 은 로봇 아암의 평면도를 나타낸다.
도 2 는 로봇 아암의 측면도를 나타낸다.
도 3 은 도 1 의 III-III 선을 따른 단면도를 나타낸다.
도 4 는 전완 링크 선단부를 전완 링크 후단부에 장착하는 순서를 설명하기 위한 단면도를 나타낸다.
실시예에서 설명하는 로봇 아암의 기술적 특징을, 이하에 간결하게 기재한다.
*(특징 1) 로봇 아암은, 제 1 베어링과 제 2 베어링의 축선이 연직 방향으로 신장되어 있고, 핸드가 수평면 내에서 이동하는 수평 이동형 로봇이다.
(특징 2) 전완 링크 선단부와 후단부가 오버랩되어 있는 부분의 두께는, 오버랩되어 있는 부분에 인접하는 비오버랩 부분의 두께와 동일하다.
(특징 3) 전완 링크 선단부가, 전완 링크 후단부의 상측에 위치하고 있다.
(특징 4) 전완 링크 선단부와 전완 링크 후단부가 복수의 볼트에 의해 고정되어 있고, 복수의 볼트가, 전완 링크의 길이 방향에 있어서 위치 결정 핀의 양측에 배치되어 있다.
(특징 5) 위치 결정 핀이, 전완 링크 후단부에 고정되어 있다. 따라서, 전완 링크 선단부와 전완 링크 후단부를 분할할 때, 위치 결정 핀은 전완 링크 선단부로부터 벗어난다.
실시예
도 1 과 도 2 를 참조하여, 로봇 아암 (100) 에 관해서 설명한다. 로봇 아암 (100) 은, 상완 링크 (14) 와 전완 링크 (10) 와 핸드 (2) 를 구비하고 있다. 로봇 아암 (100) 은, 지지 박스 (22) 에 장착되어 있다. 상완 링크 (14) 는, 제 1 상완 링크 (14a) 와 제 2 상완 링크 (14b) 로 구성되어 있다. 제 2 상완 링크 (14b) 를 구동하기 위한 모터는, 지지 박스 (22) 내에 배치되어 있다.
전완 링크 (10) 는, 제 1 전완 링크 (10a) 와 제 2 전완 링크 (10b) 로 구성되어 있다. 제 1 전완 링크 (10a) 와 제 2 전완 링크 (10b) 는, 항상 평행하게 유지된다. 전완 링크 (10) (제 1 전완 링크 (10a) 와 제 2 전완 링크 (10b)) 의 일단은, 제 1 관절 (20) 을 개재하여 핸드 (2) 에 연결되어 있다. 전완 링크 (10) 의 타단은, 제 2 관절 (11) 을 개재하여 상완 링크 (14) 에 연결되어 있다.
제 1 전완 링크 (10a) 는, 제 1 전완 링크 후단부 (8a) 와 제 1 전완 링크 선단부 (6a) 로 구성된다. 제 2 전완 링크 (10b) 는, 제 2 전완 링크 후단부 (8b) 와 제 2 전완 링크 선단부 (6b) 로 구성된다. 이하의 설명에서는, 제 1 전완 링크 후단부 (8a) 와 제 2 전완 링크 후단부 (8b) 를, 간단히 전완 링크 후단부 (8) 로 칭하는 경우가 있다. 제 1 전완 링크 선단부 (6a) 와 제 2 전완 링크 선단부 (6b) 를, 간단히 전완 링크 선단부 (6) 로 칭하는 경우가 있다.
제 2 관절 (11) 에는, 제 2 베어링 (도시 생략) 이 배치되어 있다. 제 2 베어링은, 상완 링크 (14) 에 대한 전완 링크 (10) 의 회전을 원활하게 한다. 제 1 관절 (20) 에는, 제 1 베어링이 배치되어 있다. 제 1 베어링은, 전완 링크 (10) 에 대한 핸드 (2) 의 회전을 원활하게 한다.
제 1 상완 링크 (14a) 와 제 2 상완 링크 (14b) 는, 항상 평행하게 유지된다. 제 1 상완 링크 (14a) 의 일단은, 지지 박스에 연결되어 있다. 제 2 상완 링크 (14b) 의 일단 (16) 은, 모터 (도시 생략) 에 연결되어 있다. 도 1 의 부호 17 은, 제 2 상완 링크 (14b) 의 회전축을 나타내고 있다. 모터는, 제 2 상완 링크 (14b) 를 회전시킨다. 제 2 상완 링크 (14b) 는, 모터에 의해 구동되는 구동 링크로 표현할 수 있다. 또한, 제 1 상완 링크 (14a) 는, 구동 링크 (제 2 상완 링크 (14b)) 의 동작에 따라 동작하는 종동 링크로 표현할 수 있다. 모터가 제 2 상완 링크 (14b) 를 구동함으로써, 상완 링크 (14) 가 수평면 내에서 이동한다. 그것에 의해, 핸드 (2) 를 수평면 내에서 도 1 의 X 방향으로 이동시킬 수 있다. 로봇 아암 (100) 은 조정 링크 (12) 를 구비한다. 상세한 설명은 생략하는데, 조정 링크 (12) 는, 복수의 링크군으로 구성되어 있고, 상완 링크 (14) 와 전완 링크 (10) 에 접속되어 있다. 조정 링크 (12) 가, 모터의 회전을 핸드 (2) 의 직진 운동으로 변환한다. 로봇 아암 (100) 은, 핸드 (2) 를 수평면 내에서 이동시킬 수 있기 때문에, 수평 이동형 로봇 아암으로 칭해지는 경우가 있다.
로봇 아암 (100) 은, 트랜스퍼 챔버 (도시 생략) 내에 배치되고, 반도체 웨이퍼를 반송하기 위해 사용된다. 핸드 (2) 에는, 고온의 반도체 웨이퍼가 재치 (載置) 되는 경우가 있다. 고온의 반도체 웨이퍼가 핸드 (2) 에 접하면, 핸드 (2) 의 온도가 상승한다.
상기한 바와 같이, 전완 링크 (10) 는, 전완 링크 후단부 (8) 와 전완 링크 선단부 (6) 로 구성되어 있다. 전완 링크 후단부 (8) 와 전완 링크 선단부 (6) 는, 제 1 관절 (20) 과 제 2 관절 (11) 사이의 위치에서 분할 가능하다. 구체적으로는, 전완 링크 후단부 (8) 와 전완 링크 선단부 (6) 는, 제 1 관절 (20) 과 제 2 관절 (11) 의 거의 중간점에서 분할 가능하다. 상기한 바와 같이, 전완 링크 (10) 의 타단 (전완 링크 선단부 (6)) 은, 제 1 관절 (20) 을 개재하여 핸드 (2) 에 연결되어 있다. 그 때문에, 전완 링크 선단부 (6) 와 핸드 (2) 를 제 1 관절 (20) 로 연결한 채, 그것들을 트랜스퍼 챔버 내로부터 꺼낼 수 있다. 이하의 설명에서는, 전완 링크 선단부 (6) 와 제 1 관절 (20) 과 핸드 (2) 를 합하여, 아암 선단 유닛 (4) 으로 칭하는 경우가 있다. 로봇 아암 (100) 은, 전완 링크 후단부 (8) 와 전완 링크 선단부 (6) 를 분할함으로써, 트랜스퍼 챔버 내로부터 아암 선단 유닛 (4) 을 꺼낼 수 있다.
도 3 을 참조하여, 전완 링크 후단부 (8) 와 아암 선단 유닛 (4) 에 관해서 설명한다. 상기한 바와 같이, 아암 선단 유닛 (4) 은, 전완 링크 선단부 (6) 와 제 1 관절 (20) 과 핸드 (2) 로 구성되어 있다. 제 1 관절 (20) 은, 베이스 (23) 와, 베이스 (23) 에 고정되어 있는 원주부 (24) (24a, 24b) 를 구비하고 있다. 원주부 (24) 와 전완 링크 선단부 (6) (6a, 6b) 사이에, 한 쌍의 제 1 베어링 (34) 이 배치되어 있다. 제 1 베어링 (34) 은, 원통 구름 베어링이다. 또, 제 1 전완 링크 선단부 (6a) 는, 제 1 베어링 (34a) 을 개재하여 원주부 (24a) 를 회전 가능하게 지지하고 있다. 제 2 전완 링크 선단부 (6b) 는, 제 1 베어링 (34b) 을 개재하여 원주부 (24b) 를 회전 가능하게 지지하고 있다. 원주부 (24a) 와 원주부 (24b) 는, 핸드 (2) 가 왕복 이동 (직진 운동) 하는 방향 X 를 따라 배치되어 있다.
상기 서술한 바와 같이, 고온의 반도체 웨이퍼가 핸드 (2) 에 접하면, 핸드 (2) 의 온도가 상승한다. 핸드 (2) 의 온도 상승에 따라, 제 1 관절 (20) 의 온도가 상승한다. 또한, 반도체 웨이퍼, 프로세스 챔버 등으로부터의 복사열에 의해서도, 핸드 (2) 나 제 1 관절 (20) 근방의 온도가 상승한다. 반도체 웨이퍼의 온도에 따라서는, 제 1 관절 (20) 근방의 온도가 200 ℃ 이상으로 상승하는 경우도 있다. 제 1 베어링 (34) 의 온도도 상승하고, 제 1 베어링 (34) 내의 윤활제가 열화되는 경우가 있다. 로봇 아암 (100) 에서는, 전완 링크 선단부 (6) 와 핸드 (2) 를 연결한 채의 상태에서, 아암 선단 유닛 (4) 을 전완 링크 후단부 (8) 로부터 떼어낼 수 있다. 그 때문에, 아암 선단 유닛 (4) 을 트랜스퍼 챔버로부터 꺼낸 후, 제 1 관절 (20) 을 분해하여 제 1 베어링 (34) 을 메인터넌스할 수 있다. 즉, 제 1 베어링 (34) 의 메인터넌스 작업을, 트랜스퍼 챔버 내에서 실시할 필요가 없다. 로봇 아암 (100) 은, 종래의 로봇 아암에 비해, 트랜스퍼 챔버 내의 오염을 억제할 수 있다.
로봇 아암 (100) 에서는, 아암 선단 유닛 (4) 을 트랜스퍼 챔버 내로부터 꺼내고, 트랜스퍼 챔버 외에서 제 1 베어링 (34) 의 메인터넌스를 실시한다. 메인터넌스를 실시한 후의 아암 선단 유닛 (4) 을, 전완 링크 후단부 (8) 에 장착한다. 제 1 베어링 (34) 의 메인터넌스를 트랜스퍼 챔버 내에서 실시하지 않기 때문에, 제 1 베어링 (34) 내의 윤활제 등이, 트랜스퍼 챔버 내에 비산되는 일이 없다. 또, 전완 링크 후단부 (8) 로부터 떼어낸 아암 선단 유닛 (4) 과는 별도의 아암 선단 유닛 (4) 을 미리 준비해 두어도 된다. 그리고, 아암 선단 유닛 (4) 을 떼어내는 작업에 계속하여, 메인터넌스가 완료된 별도의 아암 선단 유닛 (4) 을 전완 링크 후단부 (8) 에 장착해도 된다. 아암 선단 유닛 (4) 의 교환 시간을 짧게 할 수 있다.
종래의 로봇 아암이라도, 로봇 아암 전체를 트랜스퍼 챔버 외로 꺼내면, 트랜스퍼 챔버 내를 오염시키지 않고, 베어링의 메인터넌스를 실시할 수 있다. 상기한 바와 같이, 제 1 베어링 (34) 의 윤활재의 열화는, 고온의 웨이퍼를 파지하는 핸드 (2) 의 온도 상승에 따라 발생한다. 제 2 관절 (11) 내의 제 2 베어링은, 제 1 베어링 (34) 보다 핸드 (2) 로부터의 거리가 멀다. 그 때문에, 제 2 관절 (11) 내의 제 2 베어링은, 제 1 베어링 (34) 보다 메인터넌스의 빈도가 적게 끝난다. 종래의 로봇 아암은, 트랜스퍼 챔버 외에서 제 1 베어링의 메인터넌스를 하기 위해서는, 메인터넌스의 필요가 없는 부품 (상완 링크, 제 2 베어링 등) 까지도 트랜스퍼 챔버 외로 꺼내는 것이 필요하다. 그러나, 로봇 아암 (100) 은, 트랜스퍼 챔버 내에 제 2 베어링 (제 2 관절 (11)) 을 남긴 채, 제 1 베어링 (34) (제 1 관절 (20)) 을 트랜스퍼 챔버 외로 꺼낼 수 있다. 그 때문에, 종래의 로봇 아암보다, 메인터넌스 작업을 용이하게 할 수 있다.
로봇 아암 (100) 의 다른 특징에 관해서 설명한다. 도 3 에 나타내는 바와 같이, 전완 링크 후단부 (8) 의 일부 (전완 링크 후단부 (8) 중의 핸드 (2) 에 가까운 부분) 와 전완 링크 선단부 (6) 의 일부 (전완 링크 선단부 (6) 중의 핸드 (2) 로부터 먼 부분) 가, 범위 (7) 에서 오버랩되어 있다. 이하의 설명에서는, 범위 (7) 를 오버랩 부분 (7) 으로 칭하는 경우가 있다. 오버랩 부분 (7) 에서는, 전완 링크 선단부 (6) 와 전완 링크 후단부 (8) 가, 전완 링크 (10) 의 길이 방향에 직교하는 방향에서 오버랩되어 있다. 보다 정확하게 말하면, 전완 링크 선단부 (6) 와 전완 링크 후단부 (8) 가, 핸드 (2) 가 이동하는 평면 (수평면) 에 직교하는 방향에서 오버랩되어 있다. 즉, 로봇 아암 (100) 을 평면에서 보았을 때, 전완 링크 선단부 (6) 의 일부와 전완 링크 후단부 (8) 의 일부가 오버랩되어 있다. 로봇 아암 (100) 에서는, 전완 링크 선단부 (6) 가, 전완 링크 후단부 (8) 보다 상측에 위치하고 있다. 그 때문에, 로봇 아암 (100) 으로부터 아암 선단 유닛 (4) 을 떼어낼 때에 작업이 용이하다. 동일하게, 전완 링크 후단부 (8) 에 아암 선단 유닛 (4) 을 장착할 때에도 작업이 용이하다.
오버랩 부분 (7) 에서는, 2 개의 위치 결정 핀 (30) 이, 전완 링크 후단부 (8) 와 전완 링크 선단부 (6) 의 쌍방에 끼워 넣어져 있다. 위치 결정 핀 (30) 에 의해, 전완 링크 후단부 (8) 와 전완 링크 선단부 (6) 의 위치 어긋남이 억제된다. 다르게 말하면, 위치 결정 핀 (30) 에 의해, 전완 링크 후단부 (8) 와 전완 링크 선단부 (6) 의 상대적인 각도가 변화되는 것을 억제할 수 있다.
오버랩 부분 (7) 에 있어서의 전완 링크 후단부 (8) 의 두께 (T78) 는, 오버랩 부분 (7) 에 인접하는 위치 (비오버랩 부분) 에 있어서의 전완 링크 후단부 (8) 의 두께 (T8) 의 대략 절반이다. 또한, 오버랩 부분 (7) 에 있어서의 전완 링크 선단부 (6) 의 두께 (T76) 는, 비오버랩 부분의 전완 링크 선단부 (6) 의 두께 (T6) 의 대략 절반이다. 두께 (T8) 와 두께 (T6) 는 동일하다. 그 때문에, 핸드 (2) 가 이동하는 평면에 직교하는 방향에서, 두께 (T8), 두께 (T6) 및 오버랩 부분 (7) 의 두께가 동일하다. 즉, 전완 링크 (10) 의 길이 방향에 있어서, 전완 링크 (10) 의 두께가 일정하다. 이 특징에 의해, 핸드 (2) 가 왕복 이동할 때, 전완 링크 (10) 가, 다른 링크와 간섭하는 것을 방지할 수 있다. 또, 핸드 (2) 가 이동하는 평면에 직교하는 방향은 연직 방향에 상당한다.
도 4 에 나타내는 바와 같이, 위치 결정 핀 (30) 은, 전완 링크 후단부 (8) 에 고정되어 있다. 따라서, 전완 링크 후단부 (8) 와 전완 링크 선단부 (6) (아암 선단 유닛 (4)) 를 분리하면, 위치 결정 핀 (30) 은 전완 링크 선단부 (6) 로부터 떨어진다. 그 때문에, 전완 링크 후단부 (8) 에 전완 링크 선단부 (6) (아암 선단 유닛 (4)) 를 장착할 때, 위치 결정 핀 (30) 의 위치를 보면서 전완 링크 선단부 (6) (아암 선단 유닛 (4)) 를 장착할 수 있다. 전완 링크 선단부 (6) 에는 핀 관통공 (42) 이 형성되어 있다. 위치 결정 핀 (30) 을 핀 관통공 (42) 에 끼워 넣음으로써, 전완 링크 후단부 (8) 에 대한 전완 링크 선단부 (6) (아암 선단 유닛 (4)) 의 위치가 결정된다.
전완 링크 (10) 의 길이 방향에 있어서, 2 개의 볼트 홈 (44) 이, 전완 링크 후단부 (8) 에 형성되어 있다. 2 개의 볼트 홈 (44) 은, 위치 결정 핀의 양측에 형성되어 있다. 전완 링크 선단부 (6) 에는, 2 개의 볼트 구멍 (40) 이, 핀 관통공 (42) 의 양측에 형성되어 있다. 아암 선단 유닛 (4) 을 전완 링크 후단부 (8) 에 장착할 때에는, 위치 결정 핀 (30) 을 핀 관통공 (42) 에 삽입한 후, 볼트 (32) 를 볼트 홈 (44) 에 고정시킨다. 아암 선단 유닛 (4) 을 전완 링크 후단부 (8) 에 장착하면, 도 3 에 나타내는 바와 같이, 2 개의 볼트 (32) 가, 전완 링크 (10) 의 길이 방향에 있어서 위치 결정 핀 (30) 의 양측에 위치한다. 그 때문에, 로봇 아암 (100) 의 사용 중에 핸드 (2) 가 휘어도, 위치 결정 핀 (30) 에 힘이 가해지는 것을 억제할 수 있다. 위치 결정 핀 (30) 이 변형되는 것이 억제되기 때문에, 제 1 베어링 (34) 의 메인터넌스를 반복해도, 핸드 (2) 의 위치가 어긋나는 것을 억제할 수 있다.
이상, 본 발명의 구체예를 상세하게 설명했는데, 이들은 예시에 불과하며, 특허 청구의 범위를 한정하는 것은 아니다. 특허 청구의 범위에 기재된 기술에는, 이상에 예시한 구체예를 여러 가지로 변형, 변경한 것이 포함된다. 본 명세서 또는 도면에 설명한 기술 요소는, 단독으로 또는 각종 조합에 의해 기술적 유용성을 발휘하는 것이고, 출원시의 청구항에 기재된 조합에 한정되는 것은 아니다. 또한, 본 명세서 또는 도면에 예시한 기술은 복수의 목적을 동시에 달성하는 것이며, 그 중 하나의 목적을 달성하는 것 자체로 기술적 유용성을 갖는 것이다.

Claims (5)

  1. 반도체 웨이퍼를 반송하는 로봇 아암이며,
    반도체 웨이퍼를 파지하기 위한 핸드와,
    제 1 관절을 개재하여 핸드에 연결되어 있는 전완 링크와,
    제 2 관절을 개재하여 전완 링크에 연결되어 있는 상완 링크를 구비하고 있고,
    전완 링크가, 제 1 관절과 제 2 관절 사이의 위치에서 분할 가능하게 구성되어 있는 것을 특징으로 하는 로봇 아암.
  2. 제 1 항에 있어서,
    상완 링크에 연결되어 있는 전완 링크 후단부와 핸드에 연결되어 있는 전완 링크 선단부가, 전완 링크의 길이 방향에 직교하는 방향에서 오버랩되어 있고,
    상기 후단부와 상기 선단부의 오버랩 부분에, 복수의 위치 결정 핀이 삽입되어 있는 것을 특징으로 하는 로봇 아암.
  3. 제 2 항에 있어서,
    전완 링크 선단부와 전완 링크 후단부가 복수의 볼트에 의해 고정되어 있고,
    상기 복수의 볼트가, 전완 링크의 길이 방향에 있어서 위치 결정 핀의 양측에 배치되어 있는 것을 특징으로 하는 로봇 아암.
  4. 제 2 항 또는 제 3 항에 있어서,
    전완 링크 선단부가, 전완 링크 후단부의 상측에 위치하고 있는 것을 특징으로 하는 로봇 아암.
  5. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,
    위치 결정 핀이 전완 링크 후단부에 고정되어 있고, 위치 결정 핀과 전완 링크 선단부가 분할 가능하게 구성되어 있는 것을 특징으로 하는 로봇 아암.
KR1020137000503A 2010-06-10 2011-05-24 로봇 아암 KR101423006B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2010-132695 2010-06-10
JP2010132695A JP5525339B2 (ja) 2010-06-10 2010-06-10 ロボットアーム
PCT/JP2011/061828 WO2011155320A1 (ja) 2010-06-10 2011-05-24 ロボットアーム

Publications (2)

Publication Number Publication Date
KR20130041081A true KR20130041081A (ko) 2013-04-24
KR101423006B1 KR101423006B1 (ko) 2014-07-23

Family

ID=45097928

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137000503A KR101423006B1 (ko) 2010-06-10 2011-05-24 로봇 아암

Country Status (5)

Country Link
US (1) US8915693B2 (ko)
JP (1) JP5525339B2 (ko)
KR (1) KR101423006B1 (ko)
CN (1) CN102934215B (ko)
WO (1) WO2011155320A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190050481A (ko) * 2017-11-03 2019-05-13 주식회사 싸이맥스 엔코더를 통해 샤프트에 형성된 스케일을 판독하여 위치를 검출하는 웨이퍼 이송장치

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102127215B1 (ko) * 2013-05-07 2020-06-30 삼성전자주식회사 와이어 연결 장치
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015209951A (ja) * 2014-04-30 2015-11-24 東京エレクトロン株式会社 軸受機構及び搬送装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
DE102015116582B4 (de) 2015-09-30 2021-08-05 Walter Maschinenbau Gmbh Transportvorrichtung zum Transportieren eines Werkstücks
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017138651A1 (ja) 2016-02-10 2017-08-17 株式会社国際電気通信基礎技術研究所 回転構造、アシストシステム、および、ロボット
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP2017163088A (ja) 2016-03-11 2017-09-14 東芝メモリ株式会社 基板処理装置及び基板処理装置の制御方法
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10090188B2 (en) * 2016-05-05 2018-10-02 Applied Materials, Inc. Robot subassemblies, end effector assemblies, and methods with reduced cracking
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
NL2020044B1 (en) * 2017-12-08 2019-06-19 Vdl Enabling Tech Group B V A planar multi-joint robot arm system
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114406979B (zh) * 2022-02-25 2022-11-15 德威土行孙工程机械(北京)有限公司 一种可灵活调节的万向机械手

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04130190U (ja) * 1991-05-21 1992-11-30 日本真空技術株式会社 磁気浮上搬送装置用可動アーム
JPH06262555A (ja) * 1993-03-17 1994-09-20 Toshiba F Ee Syst Eng Kk 産業用ロボット
JPH07108483A (ja) * 1993-10-07 1995-04-25 Koyo Seiko Co Ltd ロボットアーム
JP3802119B2 (ja) * 1996-02-02 2006-07-26 株式会社安川電機 ウェハ搬送装置
JP2000150617A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 搬送装置
JP2000195923A (ja) * 1998-12-28 2000-07-14 Hitachi Ltd 搬送用ロボット、搬送装置、真空チャンバ内搬送装置およびプロセス処理装置
CN1255253C (zh) 2000-02-25 2006-05-10 株式会社万代 机械手用单元组件
KR20080018205A (ko) 2005-06-22 2008-02-27 로제 가부시키가이샤 기판 반송 로보트 및 처리 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190050481A (ko) * 2017-11-03 2019-05-13 주식회사 싸이맥스 엔코더를 통해 샤프트에 형성된 스케일을 판독하여 위치를 검출하는 웨이퍼 이송장치

Also Published As

Publication number Publication date
CN102934215A (zh) 2013-02-13
JP2011258793A (ja) 2011-12-22
KR101423006B1 (ko) 2014-07-23
WO2011155320A1 (ja) 2011-12-15
JP5525339B2 (ja) 2014-06-18
US8915693B2 (en) 2014-12-23
CN102934215B (zh) 2015-08-26
US20130084156A1 (en) 2013-04-04

Similar Documents

Publication Publication Date Title
KR101423006B1 (ko) 로봇 아암
KR100955405B1 (ko) 다관절 로봇 및 그의 감속기 교환 방법
CN103286792B (zh) 具有调心机构的三自由度并联机器人手腕
US9233474B2 (en) Clamping apparatus
CN107275268B (zh) 机械手单元及移载方法
US20170305017A1 (en) End effector, industrial robot, and operation method thereof
US10427294B2 (en) Parallel link robot and parallel link structure
KR20130033268A (ko) 로봇 핸드 및 로봇
JP6241077B2 (ja) 多関節ロボット及び多関節ロボットの原点調整方法
CN109153133B (zh) 直动伸缩机构
EP3127663B1 (en) Industrial robot and frame unit thereof
US9358634B2 (en) Friction stir welding apparatus
US9289900B2 (en) Calibration tool for a delta robot
KR20150096443A (ko) 엔드 이펙터 장치
JP2014233771A (ja) 多関節ロボット
US9221180B2 (en) Extended wrist assembly for robotic arm
CN113305813B (zh) 一种带有可调安装底座的多自由度工业机械臂
JP2014159076A (ja) 基板搬送ロボット
US20130156535A1 (en) Linear motion mechanism and robot provided with the linear motion mechanism
CN114999995B (zh) 一种晶圆翻转机构
RU2718025C1 (ru) Робот манипуляционный промышленный
JP2018058080A (ja) プレス機械のワーク搬送装置
JP6371173B2 (ja) 電動グリッパ装置
WO2019021834A1 (ja) 産業用ロボット
CN107524771A (zh) 摆动机构

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170707

Year of fee payment: 4