KR20120052884A - 염기 반응성 포토애시드 발생제 및 이를 포함하는 포토레지스트 - Google Patents

염기 반응성 포토애시드 발생제 및 이를 포함하는 포토레지스트 Download PDF

Info

Publication number
KR20120052884A
KR20120052884A KR1020110119210A KR20110119210A KR20120052884A KR 20120052884 A KR20120052884 A KR 20120052884A KR 1020110119210 A KR1020110119210 A KR 1020110119210A KR 20110119210 A KR20110119210 A KR 20110119210A KR 20120052884 A KR20120052884 A KR 20120052884A
Authority
KR
South Korea
Prior art keywords
group
alkyl
base
groups
photoresist
Prior art date
Application number
KR1020110119210A
Other languages
English (en)
Inventor
애머드 어콰드
밍키 리
쳉바이 쑤
데얀 왕
콩 리우
오준석
신타로 야마다
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Publication of KR20120052884A publication Critical patent/KR20120052884A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/06Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing halogen atoms, or nitro or nitroso groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C303/00Preparation of esters or amides of sulfuric acids; Preparation of sulfonic acids or of their esters, halides, anhydrides or amides
    • C07C303/32Preparation of esters or amides of sulfuric acids; Preparation of sulfonic acids or of their esters, halides, anhydrides or amides of salts of sulfonic acids
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/04Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing only one sulfo group
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/07Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton
    • C07C309/08Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton containing hydroxy groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/07Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton
    • C07C309/12Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton containing esterified hydroxy groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/17Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing carboxyl groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/19Sulfonic acids having sulfo groups bound to acyclic carbon atoms of a saturated carbon skeleton containing rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/20Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic unsaturated carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/23Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an unsaturated carbon skeleton containing rings other than six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/24Sulfonic acids having sulfo groups bound to acyclic carbon atoms of a carbon skeleton containing six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C63/00Compounds having carboxyl groups bound to a carbon atoms of six-membered aromatic rings
    • C07C63/68Compounds having carboxyl groups bound to a carbon atoms of six-membered aromatic rings containing halogen
    • C07C63/72Polycyclic acids
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • C07D307/02Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings
    • C07D307/26Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having one double bond between ring members or between a ring member and a non-ring member
    • C07D307/30Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having one double bond between ring members or between a ring member and a non-ring member with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached to ring carbon atoms
    • C07D307/32Oxygen atoms
    • C07D307/33Oxygen atoms in position 2, the oxygen atom being in its keto or unsubstituted enol form
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/02Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • C07D333/46Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings substituted on the ring sulfur atom
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F228/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a bond to sulfur or by a heterocyclic ring containing sulfur
    • C08F228/02Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a bond to sulfur or by a heterocyclic ring containing sulfur by a bond to sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/085Photosensitive compositions characterised by adhesion-promoting non-macromolecular additives
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2602/00Systems containing two condensed rings
    • C07C2602/36Systems containing two condensed rings the rings having more than two atoms in common
    • C07C2602/42Systems containing two condensed rings the rings having more than two atoms in common the bicyclo ring system containing seven carbon atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

본 발명은 신규한 포토애시드 발생제 화합물과 이러한 화합물을 포함하는 포토레지스트 조성물에 관한 것이다. 특히, 본 발명은 염기 분해성 그룹을 포함하는 포토애시드 발생제 화합물에 관한 것이다.

Description

염기 반응성 포토애시드 발생제 및 이를 포함하는 포토레지스트{BASE REACTIVE PHOTOACID GENERATORS AND PHOTORESISTS COMPRISING THE SAME}
본 발명은 신규의 포토애시드 발생제 화합물("PAGs") 및 이러한 화합물을 포함하는 포토레지스트 조성물에 관한 것이다. 특히, 본 발명은 염기-반응성 그룹을 포함하는 포토애시드 화합물에 관련된다. 이러한 PAGs를 포함하고 서브-300 ㎚ 및 서브-200 ㎚ 방사선과 같은 단파장 방사선으로 이미지화되는 포지티브- 및 네가티브-작용하는 화학적으로 증폭된 레지스트가 특히 바람직하다.
포토레지스트는 기판으로 이미지를 전사하기 위한 광감응성 필름이다. 이들은 네가티브 또는 포지티브 이미지를 형성한다. 기판에 포토레지스트를 코팅한 후, 이 코팅을 패턴화된 포토마스크를 통해 자외선과 같은 활성화 에너지원에 노출하여 포토레지스트 코팅에 잠재된 이미지를 형성하게 된다. 포토마스크는 하부 기판에 전사되기를 원하는 이미지를 정의하는, 활성화 방사선에 반투명 및 투명한 영역을 갖는다. 레지스트 코팅에 잠재된 이미지 패턴을 현상하는 것에 의해 릴리프(relief) 이미지가 제공된다. 포토레지스트의 사용은 본 분야의 기술자에게 통상적으로 잘 알려져 있다.
공지의 포토레지스트는 존재하는 많은 상업적 응용을 위해 충분한 크기와 해상도를 갖는 특성을 제공할 수 있다. 그러나, 다른 많은 응용에서는, 서브-마이크론 치수의 고해상도 이미지를 제공할 수 있는 신규의 포토레지스트에 대한 필요성이 존재한다.
기능적 특성의 수행을 개선하기 위해 포토레지스트 조성물의 구성을 변경하려는 다양한 시도가 행해지고 있다. 예를 들어, 사용되는 PAGs를 변경하여 포토레지스트 수행을 개선하기 위한 시도가 행해지고 있다. 예를 들어, 무엇보다도 포토레지스트 조성물에 사용하기 위한 다양한 포토액티브 화합물을 개시하는 US 2010/0081088 참조하라. PAG 설포늄 양이온에 에스테르 잔기를 갖는 US 2010/0081088에 개시된 어떤 PAGs는 극히 낮은 염기 촉진 해리를 갖고, 이에 의해 포토레지스트의 리소그래피 수행에 최소한의 이점도 제공하지 않는다.
비교적 신속한 염기 촉진된 해리 속도를 갖는 포토애시드 발생제에 대한 필요성이 남아있다. 이러한 포토애시드 발생제는, 포토레지스트 조성물로부터 형성된 레지스트 릴리프 이미지와 관련된 결점의 감소를 나타내는 것, 및/또는 개선된 노출 격자(EL, exposure latitude)를 제공하는 것, 및/또는 감소된 마스크 오류 인자(MEF, mask error factor)와 같이 포토레지스트의 리소그래피 수행에 도움을 줄 수 있다.
이에 본 발명자들은 포지티브-작용 또는 네가티브-작용 포토레지스트 조성물의 어느 쪽에나 사용하는 신규의 포토애시드 발생제 조성물(PAGs)을 발견하였다. 특히, 하나 이상의 염기-반응성 잔기, 특히 노출 및 노출-후 리소그래피 공정 단계 이후 반응성인 염기-반응성 잔기를 갖는 포토애시드 발생제 조성물이 제공된다. 바람직하게는, 이 염기-반응성 잔기는 0.26N 테트라메틸암모늄 하이드록사이드 수성 현상액 조성물과 같은 수성의 알칼리성 현상액 조성물 처리시 반응할 것이다.
본 발명은 화학식 (Ⅰ) 또는 (Ⅱ)의 포토애시드 발생제 화합물을 제공한다.
(Ⅰ) R5M+R6R7 r - O3S-R1 p-Xy-(R2ZwR3)x
(Ⅱ) (Pg-R4-Z2)g1R5M+R6R7 r - O3S-R1 p-Xy-(R2Zw R3)x1(R2Z1-R4Pg)g2
여기에서, 각각의 R1은 (C1-C10)알킬, 헤테로원자를 포함하는 (C1-C10)알킬, 플루오로(C1-C10)알킬, 헤테로원자를 포함하는 플루오로(C1-C10)알킬, (C6-C10)아릴, 및 플루오로(C6-C10)아릴로부터 선택되고; 각각의 R2는 화학 결합 또는 (C1-C30)하이드로카빌 그룹이고; 각각의 R3는 H 또는 (C1-C30)하이드로카빌 그룹이고; 각각의 R4 는 화학 결합 또는 (C1-C30)하이드로카빌 그룹이고; R5, R6 및 R7는 독립적으로, 임의로 치환된 카보사이클릭 아릴 그룹, 알릴 그룹, 및 임의로 치환된 (C1-C20)알킬 그룹으로부터 선택되고; X는 화학 결합 또는 이가의 연결 그룹이고; Z는 β-헤테로원자-치환된 락톤, 아세토아세톡시 에스테르, -C(O)-O-C(O)-R1-, -C(CF3)2O-, -COO-Rf-, -SO3-Rf-, -OCH3-z(CH2OC(=O)-Rf-)z, 및 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌 그룹으로부터 선택되고; Z1은 이가의 염기-반응성 그룹이고; Z2는 β-헤테로원자-치환된 락톤, -C(O)-O-C(O)-R1-, 아세토아세톡시 에스테르, -COO-Rf-, -SO3-Rf-, -CH3-z(CH2OC(=O)-Rf-)z, 및 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌 그룹으로부터 선택되고; 각각의 Rf는 독립적으로 플루오로(C1-C10)알킬이고; Pg는 중합 가능한 그룹이고; p = 0-6; w = 1-3; x = 1-4; x1 = 0-4; y = 0-5; z = 1-2; g1 = 0-3; g2 = 0-3; r = 0-1; M은 S 또는 I; 여기에서 M = I일 때, r = 0, 그리고 M = S일 때, r =1이되, g1 및 g2의 적어도 하나는 0이 아니다.
또한, 본 발명은 중합되는 단위로서 상기 언급한 화학식 (Ⅱ)의 포토애시드 발생제 화합물 하나 이상을 포함하는 폴리머를 제공한다. 이러한 폴리머는 포토레지사트 조성물에서 포토애시드 발생제 화합물로서 유용하다.
또한, 본 발명에 의해서는 상기 언급한 포토애시드 발생제 화합물의 하나를 포함하는 포토레지스트 조성물이 제공된다.
또한, 본 발명은 (a) 기판 위에 상기 언급한 포토레지스트 조성물의 코팅층을 도입하고; 그리고 (b) 포토레지스트 코팅층을 패턴화된 활성화 방사선에 노출시키고 노출된 포토레지스트층을 현상시켜 릴리프 이미지를 제공하는 것을 포함하는 릴리프 이미지의 형성 방법을 제공한다. 이 릴리프 이미지(예를 들어, 필수적으로 수직의 측벽을 갖는 패턴화된 라인)는 서브-0.2 또는 서브-0.1 마이크론 치수와 같은 서브-쿼터 마이크론 치수 또는 그 이하를 가질 수 있다.
여기에서, "알킬"이라는 용어는 직쇄; 측쇄 및 사이클릭 알킬을 포함한다. 여기에서, "플루오로알킬"이라는 용어는 하나 이상의 수소가 하나 이상의 불소 원자로 치환된 알킬 그룹을 의미한다. 플루오로알킬은 모노플루오로알킬부터 퍼플루오로알킬까지의 모든 함량의 불소 치환을 포함한다. "(메타)아크릴레이트"라는 용어는 아크릴레이트 및 메타크릴레이트 양쪽을 포함한다. 마찬가지로, "(메타)아크릴릭"이라는 용어는 아크릴릭 및 메타크릴릭 양쪽을 포함한다. 관사 "a" 및 "an"은 단수 및 복수를 의미한다. 다음 약자는 다음 의미를 가질 것이다: ℃=섭씨; ㎚=나노미터; ㎛=마이크론=마이크로미터; ㎝=센티미터; mJ=밀리주울; wt%=중량퍼센트; 및 PAG=포토애시드 발생제. 모든 비율은 달리 언급하지 않는다면 몰비이다.
여기에서 언급되는 염기-반응성 그룹은 염기-반응성 그룹을 포함하는 포토레지스트의 현상 단계 이전에는 유의적으로 반응하지 않을 것이다(예를 들어, 결합-분해 반응을 하지 않을 것이다). 따라서, 예를 들어, 염기-반응성 그룹은 노출-전 소프트-베이크, 노출 및 노출-후 베이크 단계 동안에는 실질적으로 불활성이다. "실질적으로 불활성"은 염기-반응성 그룹(또는 잔기)의 ≤5%, 바람직하게는 ≤1%가 노출-전 소프트-베이크, 노출 및 노출-후 베이크 단계 동안 분해, 분열 또는 반응할 것임을 의미한다. 여기에 언급된 염기-반응성 그룹은 통상적인 포토레지스트 현상 조건, 예를 들어 0.26N 테트라부틸암모늄 하이드록사이드 현상액 조성물로 단일 퍼들 현상 하에 보통 반응성일 것이다.
본 발명의 포토애시드 발생제 화합물 성분의 바람직한 염기-반응성 그룹은 염기 처리시(수성 알칼리 현상액과 같은) 하나 이상의 하이드록시 그룹, 하나 이상의 카복실산 그룹, 하나 이상의 설폰산 그룹, 및/또는 레지스트 코팅층을 보다 친수성으로 만드는 하나 이상의 다른 극성 그룹을 제공할 수 있다.
이론에 구애되지 않고, 본 발명의 포토레지스트는 염기-반응성 그룹의 반응 결과 포토레지스트 릴리프 이미지의 더 많은 친수성 표면을 제공하고 현상 단계 동안 포토애시드 발생제 화합물에 더 많은 극성(친수성) 그룹을 생산하는 것에 의해 감소된 결함을 나타낼 수 있다고 믿어지는데, 이는 결함의 발생, 특히 현상시 노출되는 기판 영역에서 유기 재료 잔기를 감소시킬 수 있다. 이론에 구애되지 않고, 본 발명의 포토애시드 발생제 화합물은 현상-후 결함을 감소시킬 뿐 아니라 레지스트 필름에서 PAG 산의 바람직한 낮은 확산을 어드레스할 수 있다. 또한, 본 발명의 PAGs를 포함하는 포토레지스트 조성물은 통상의 포토레지스트에 비하여 개선된 노출 격자(EL), 및/또는 감소된 마스크 오류 인자(MEF)를 나타낸다고 믿어진다.
본 발명의 PAGs는 화학식 (Ⅰ) 또는 (Ⅱ)를 갖는다.
(Ⅰ) R5M+R6R7 r - O3S-R1 p-Xy-(R2ZwR3)x
(Ⅱ) (Pg-R4-Z2)g1R5M+R6R7 r - O3S-R1 p-Xy-(R2Zw R3)x1(R2Z1-R4Pg)g2
여기에서, 각각의 R1은 (C1-C10)알킬, 헤테로원자를 포함하는 (C1-C10)알킬, 플루오로(C1-C10)알킬, 헤테로원자를 포함하는 플루오로(C1-C10)알킬, (C6-C10)아릴, 및 플루오로(C6-C10)아릴로부터 선택되고; 각각의 R2는 화학 결합 또는 (C1-C30)하이드로카빌 그룹이고; 각각의 R3는 H 또는 (C1-C30)하이드로카빌 그룹이고; 각각의 R4 는 화학 결합 또는 (C1-C30)하이드로카빌 그룹이고; R5, R6 및 R7는 독립적으로, 임의로 치환된 카보사이클릭 아릴 그룹, 알릴 그룹, 및 임의로 치환된 (C1-C20)알킬 그룹으로부터 선택되고; X는 화학 결합 또는 이가의 연결 그룹이고; Z는 β-헤테로원자-치환된 락톤, 아세토아세톡시 에스테르, -C(O)-O-C(O)-R1-, -C(CF3)2O-, -COO-Rf-, -SO3-Rf-, -OCH3-z(CH2OC(=O)-Rf-)z, 및 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌 그룹으로부터 선택되고; Z1은 이가의 염기-반응성 그룹이고; Z2는 β-헤테로원자-치환된 락톤, -C(O)-O-C(O)-R1-, 아세토아세톡시 에스테르, -COO-Rf-, -SO3-Rf-, -CH3-z(CH2OC(=O)-Rf-)z, 및 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌 그룹으로부터 선택되고; 각각의 Rf는 독립적으로 플루오로(C1-C10)알킬이고; Pg는 중합 가능한 그룹이고; p = 0-6; w = 1-3; x = 1-4; x1 = 0-4; y = 0-5; z = 1-2; g1 = 0-3; g2 = 0-3; r = 0-1; M은 S 또는 I; 여기에서 M = I일 때, r = 0, 그리고 M = S일 때, r =1이되, g1 및 g2의 적어도 하나는 0이 아니다.
바람직하게는, R1은 (CRa 2)n이고, 여기에서 각각의 Ra는 H, F, (C1-C10)알킬 및 플루오로(C1-C10)알킬로부터 선택되고; 그리고 n = 0-6, 바람직하게는 0-4, 더욱 바람직하게는 1-4이다. Ra는 H, F, 플루오로(C1-C10)알킬로부터 선택되는 것이 더욱 바람직하고, H, F, 및 플루오로(C1-C6)알킬로부터 선택되는 것이 또한 바람직하고, F, 및 플루오로(C1-C6)알킬로부터 선택되는 것이 한층 바람직하다.
R3는 어떠한 적절한 (C1-C30)하이드로카빌 그룹일 수 있다. 예시적인 하이드로카빌 그룹으로는 (C1-C30)알킬, 플루오로(C1-C30)알킬 및 (C6-C20)아릴을 포함한다. R3는 H, (C1-C30)알킬, 플루오로(C1-C30)알킬 및 (C6-C20)아릴로부터 선택되는 것이 바람직하다.
R4는 어떠한 적절한 (C1-C30)하이드로카빌 그룹일 수 있다. 예시적인 하이드로카빌 그룹으로는 (C1-C30)알킬, 플루오로(C1-C30)알킬 및 (C6-C20)아릴을 포함한다. R4는 화학 결합, (C1-C30)알킬, 플루오로(C1-C30)알킬 및 (C6-C20)아릴로부터 선택되는 것이 바람직하다.
X는 바람직하게는 이가의 연결 그룹이다. 다양한 이가의 연결 그룹이 X로 사용될 수 있다. 예시적인 이가의 연결 그룹으로는 C1-C30-포함 그룹, 바람직하게는 O, N, S, 및 이들의 조합으로부터 선택되는 하나 이상의 헤테로원자를 갖는 것을 포함한다. 다른 적절한 이가의 연결 그룹은 화학식 -X2 t1-(Y2=X3)X3 t2-의 것과 같은 헤테로원자-포함 기능성 그룹으로, 여기에서 X2 = O, S, 또는 NR이고; Y2 = C, S, 또는 S=O; X3 = O 또는 S; t1 = 0 또는 1; 그리고 t2 = 0 또는 1이다. 바람직한 이가의 연결 그룹은 하나 이상의 다음을 갖는 어떠한 이가의 그룹이라도 포함한다: -C(O)O-, -C(O)S-, -SO3-, -S(O)-, -SO2-,
Figure pat00001
, , 및 이들의 조합.
본 발명의 PAGs는, 화학식 (I)에서 Z이고, 화학식 (II)에서는 Z1 또는 Z2에 해당하는 하나 이상의 염기-반응성 부위를 포함한다.
화학식 (I)에 있어서, Z는 염기-반응성 그룹을 나타낸다. 이러한 염기-반응성 그룹은 Z가 무수물인 경우와 같이, (C1-C10)하이드로카빌 그룹에 결합될 수 있다. 이러한 하이드로카빌 그룹이 존재하는 경우, 상기 그룹은 (C1-C10)알킬, 헤테로원자-함유하는 (C1-C10)알킬, 플루오로(C1-C10)알킬, 헤테로원자-함유하는 플루오로(C1-C10)알킬, (C6-C10)아릴, 및 플루오로(C6-C10)아릴로부터 바람직하게 선택된다. Z가 β-헤테로원자-치환된 락톤, -C(CF3)2O-, -COO-Rf-, -SO3-Rf-, -CH3-z(CH2OC(=O)-Rf-)z, 및 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌 그룹으로부터 선택되는 것이 바람직하다. 보다 바람직하게는, Z는 β-헤테로원자-치환된 락톤, -C(CF3)2O-, -COO-Rf-, -SO3-Rf-, 및 -CH3-z(CH2OC(=O)-Rf-)z로부터 선택된다. z = 1 또는 2가 바람직하다. 바람직하게는, Rf = 플루오로(C1-C8)알킬이다. Rf = 플루오로(C1-C8)알킬이고, z = 1 또는 2인 것이 또한 바람직하다. 예시적 β-헤테로원자-치환된 락톤은 하기 화학식의 것들을 포함하나 이에 제한되는 것은 아니다:
Figure pat00003
상기 식에서 r1 및 r2는 독립적으로 1-10이고; q1 및 q2는 독립적으로 1-10이고; R3 및 R4는 독립적으로 (C1-C10)하이드로카빌로부터 선택되고, R5는 H 또는 (C1-C10)하이드로카빌이다. 바람직한 β-헤테로원자-치환된 락톤은 하기를 포함한다:
Figure pat00004
그룹 -COO-Rf-는 -C(O)-O-Rf-와 -O-C(O)-Rf- 양자 모두 포함한다. 마찬가지로, 그룹 -SO3-Rf-는 -SO2-O-Rf-와 -O-S(O2)-Rf- 양자 모두 포함한다. 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌 그룹은 방향족 또는 지방족일 수 있으며, O, S 및 N으로부터 선택되는 하나 이상의 헤테로원자를 임의로 포함할 수 있다. 이러한 (C5-C30)사이클로하이드로카빌 그룹에 있어서 예시적 염기-반응성 그룹은 하이드록실, 플루오로알킬 에스테르, 플루오로설포네이트 에스테르, 및 -C(CF3)2O-를 포함한다. 염기-반응성 그룹을 포함하는 바람직한 (C5-C30)사이클로하이드로카빌 그룹은 페놀, 및 하이드록시나프틸렌이다.
Z1은 다가(polyvalent)인 모든 적절한 염기 반응성 그룹일 수 있다. 다가(polyvalent)는 2개의 다른 그룹(이가, divalent) 또는 2개 이상의 다른 그룹에 결합된 염기-반응성 그룹을 말한다. 바람직하게는, Z1은 이가 염기-반응성 그룹이다. Z1에 대한 예시적 염기-반응성 그룹은 β-헤테로원자-치환된 락톤, 아세토아세톡시 에스테르, -C(O)-O-C(O)-R1-; -COO-Rf-, -SO3-Rf-, -CH3-z(CH2OC(=O)-Rf-)z, 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌 그룹, -COOR1-, -SO3R1-,
Figure pat00005
, , 및 이들의 조합(여기서, R1, Rf 및 z는 상기 정의된 바와 같다)을 포함한다. 바람직하게는, Z1는 β-헤테로원자-치환된 락톤, 아세토아세톡시 에스테르, -C(O)-O-C(O)-R1-; -COO-Rf-, -SO3-Rf-, -CH3-z(CH2OC(=O)-Rf-)z, 및 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌 그룹으로부터 선택되고, 보다 바람직하게는 Z1은 β-헤테로원자-치환된 락톤, 아세토아세톡시 에스테르, -C(O)-O-C(O)-R1-; -COO-Rf-, -SO3-Rf-, 및 -CH3-z(CH2OC(=O)-Rf-)z로부터 선택된다. 예시적 β-헤테로원자-치환된 락톤은 Z에 대해 상기 정의된 것들이다. 염기-반응성 그룹을 포함하는 적절한 (C5-C30)사이클로하이드로카빌 그룹은 Z에 대해 상기 정의된 것들이다.
Z2 역시 다가(이가를 포함한다) 염기-반응성 그룹이며, β-헤테로원자-치환된 락톤, -C(O)-O-C(O)-R1-, 아세토아세톡시 에스테르, -COO-Rf-, -SO3-Rf-, -CH3-z(CH2OC(=O)-Rf-)z, 및 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌 그룹(여기서, R1, Rf 및 z는 상기 정의된 바와 같다)으로부터 선택된다. Z2에 대한 바람직한 그룹은 β-헤테로원자-치환된 락톤, -C(O)-O-C(O)-R1-, -COO-Rf-, -SO3-Rf-, -CH3-z(CH2OC(=O)-Rf-)z, 및 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌이고, 보다 바람직하게는 β-헤테로원자-치환된 락톤, -C(O)-O-C(O)-R1-, -COO-Rf-, -SO3-Rf-, 및 -CH3-z(CH2OC(=O)-Rf-)z이다. 예시적 β-헤테로원자-치환된 락톤은 Z에 대해 상기 정의된 것들이다. 염기-반응성 그룹을 포함하는 적절한 (C5-C30)사이클로하이드로카빌 그룹은 Z에 대해 상기 정의된 것들이다.
화학식 (II)의 화합물에 있어서, Pg는 모든 적절한 중합가능한(polymerizable) 그룹일 수 있다. 용어 "중합가능한 그룹"은 자체적으로 중합되거나(단독중합, homopolymerization) 또는 하나 이상의 다른 중합가능한 그룹과 중합되어(공중합, copolymerization) 폴리머(중합체)를 형성하는 그룹을 의미한다. Pg는 자유-라디칼 중합에 의하거나 축합(condensation)에 의해 중합될 수 있는 그룹인 것이 바람직하다. 자유-라디칼 중합 그룹이 바람직하며, 보다 바람직하게는 Pg는 (메타)아크릴릭 그룹 또는 비닐 그룹을 포함한다.
화학식 (II)의 화합물에 있어서, g1 및 g2 중 적어도 어느 하나는 0이 아닌데, 다시 말하면 염기 반응성 그룹(Z1이거나 Z2)에 부착된 적어도 하나의 중합가능한 그룹이 존재해야 한다. g1 또는 g2 중 어느 하나가 1인 것이 바람직하다.
M은 유기 설포늄 양이온인 것이 바람직하다. M에 대한 적절한 양이온은 하기 화학식 (III)의 설포늄 양이온 및 하기 화학식 (IV)의 요오드늄 양이온이다:
Figure pat00007
상기 식에서, R5 내지 R7은 독립적으로, 치환체 그룹을 포함할 수 있는(즉, 임의로 치환될 수 있는) 카보사이클릭 아릴 그룹, 알릴 그룹, 퍼(per)플루오로(C1-C20)알킬 그룹과 같이 치환체 그룹을 포함할 수 있는(즉, 임의로 치환될 수 있는) (C1-C20)알킬 그룹, 또는 벤질 및 펜에틸과 같은 (C6-C15)아르알킬 그룹을 나타내며, 바람직하게는 R5 내지 R7 중 적어도 하나는 카보사이클릭 아릴 그룹을 나타내고; 다르게는, R5 및 R6, 또는 R6 및 R7은 상호 결합하여 그들이 부착된 황 이온과 함께 환을 형성하고, R7은 치환체 그룹을 포함할 수 있는(즉, 임의로 치환될 수 있는) 카보사이클릭 아릴 그룹, 치환체 그룹을 포함할 수 있는(즉, 임의로 치환될 수 있는) (C1-C20)알킬 그룹을 나타낸다.
바람직한 설포늄 양이온은 하기 화학식 (3a) 내지 (3f)의 것들이다:
Figure pat00008
Figure pat00009
Figure pat00010
상기 식에서, R6 및 R7은 화학식 (3)에 대하여 상기 정의된 바와 같고; R10 내지 R12는 독립적으로 수소, 하이드록시, (C1-C20)알킬 그룹, 할로겐, (C1-C20)알콕시 그룹, 아릴, 티오페녹시, 티오(C1-C20)알콕시 그룹 및 (C1-C20)알콕시카보닐로부터 선택되며; R13은 (C1-C20)알킬이고; q = 1-10이고; 및 r = 1-2이다. R10 내지 R12 각각은 독립적으로 산 불안정(labiile) 그룹, 염기 불안정 그룹 또는 염기 용해성 그룹을 포함한다.
특히 바람직한 화학식 (3c)의 설포늄 양이온은 하기 구조 C1 내지 C6에 도시하였고, 특히 적절한 화학식 (3d)의 설포늄 양이온은 구조 D1 및 D2에 도시하였고, 특히 적절한 화학식 (3e)의 구조는 구조 E1에 도시하였다.
Figure pat00011
g1 = 1인 경우, 화학식 (II)의 화합물은 염기-반응성 그룹에 결합된 적어도 하나의 중합가능한 그룹 (Pg)을 포함하고, 상기 그룹 자체는 R5에 결합되어 있다. g1 = 0인 경우, g2는 반드시 ≥1이다. 마찬가지로, g2 = 0인 경우, g1은 반드시 ≥1이다.
본 발명의 PAGs는 상기 기재된 바와 같은 하나 또는 하나 이상의 염기-반응성 그룹을 포함할 수 있다. 일 측면에 있어서, 바람직한 것은 이온성 포토애씨드(photoacid) 생성자(generator) 화합물이고, 특히 PAG 화합물은 광활성화(photoactiviation)에 의해 설폰산(-SO3 -)을 생성한다. 특히 바람직한 측면에 있어서, 하나 이상의 염기-반응성 그룹을 포함하는 불소화된(fluorinated) PAGs가 제공된다. 특히 바람직한 것은 광활성화에 의해 설폰산(-SO3 -)을 생성하는 하기 그룹 중의 어느 하나와 같은 하나 이상의 염기-반응성 그룹을 가진 블소화된 PAGs이다: 불소화된 설폰산 그룹(예를 들어, -CF2SO3 -, -CHFSO3 -, (에스테르)CF2SO3 -, 및 (에스테르)CHFSO3 -.
특별히 바람직한 화학식 (I)의 화합물은 하기 화학식의 아다만탄(adamantane) 화합물을 포함한다:
Figure pat00012
상기 식에서, R14는 H, F, (C1-C10)알킬 및 플루오로(C1-C10)알킬로부터 선택되고; W = 불소화된 설폰산 부위이고; m = 0-5이고; 및 s = 0 또는 1이며; 단, s = 1인 경우, m = 0이다. W는 하기 구조 4 및 5로부터 선택되는 것이 바람직하다.
Figure pat00013
Figure pat00014
구조 4 구조 5
이러한 아다만틴(adamantine) 화합물은, 알코올 또는 카르복실산 그룹을 포함하는 노르보난(norbornane) 또는 디노르보난(dinorbornane)과 같은 다른 벌키(bulky) 케이지 구조에 의해 치환되어 알칼리-절단가능한 유닛을 포함할 수 있다.
화학식 (I)의 다른 바람직한 화합물은 하기 구조 6 및 7에 도시하였다.
Figure pat00015
Figure pat00016
구조 6 구조 7
중합된 유닛으로서 화학식 (II)의 PAGs를 포함하는 폴리머의 경우, 음이온 성분 및 양이온 성분 중 어느 하나 또는 양자 모두는 레진에 공유적으로 연결된다(tether). 이러한 폴리머는 공지된 공정에 의해 제조될 수 있다.
화학식 (II)의 적절한 PAGs는 하기와 같은 구조를 포함할 수 있다:
Figure pat00017
상기 식에서, M1과 M2 중 적어도 하나는 폴리머화가능한 그룹이고; X1-4는 염기 반응성 그룹을 나타내고; Y는 플루오리네이트된 링커를 나타내며; Q1-4는 이가 그룹을 나타내고; n1과 n2 각각은 0 또는 1의 정수를 나타내고 n1 ≠ n2이며; m1과 m2는 0 또는 1의 정수이고, m1 ≠ m2이다.
화학식 (II)의 다른 적합한 PAG는 화학식 (A)와 (B)로 표시된다.
Figure pat00018
여기에서
R1, R2 및 R3는 각각 독립적으로 치환되거나 치환되지 않은, 직쇄 또는 측쇄의 (C1-C10)알킬, 알케닐 또는 옥소알킬 그룹, 또는 치환되거나 치환되지 않은 (C6-C18)아릴, 아르킬 또는 아릴옥소알킬 그룹이거나, 또는 R1, R2 및 R3 중 2개 이상이 함께 결합하여 황 원자를 가지는 고리를 형성하며; Rf1 및 Rf2는 퍼플루오리네이트되거나 또는 부분적으로 플루오리네이트된, 치환되거나 치환되지 않은, 직쇄 또는 측쇄 (C1-C10)알킬 그룹 또는 시클릭 알킬 그룹이고; X는 H, CH3, F, CF3 또는 다른 치환체이며; Y와 Z는 치환되거나 치환되지 않은, 직쇄 또는 측쇄 (C1-C20)알킬, 시클릭 알킬 및/또는 케이지 그룹 결합이다.
바람직하게, 본 발명의 PAG는 포지티브 활성형 또는 네가티브 활성형의 화학적 증폭된 포토레지스트, 즉 포토애시드로 촉진된 가교반응을 수행하여 레지스트 코팅층의 노광된 영역이 노광되지 않은 영역 보다 현상액에 덜 녹게 하는 네가티브형 레지스트 조성물과, 하나 이상의 조성물 성분의 산 불안정성 그룹의 포토애시드으로 촉진된 탈보호반응을 수행하여 레지스트의 코팅층의 노광된 영역이 노광되지 않은 영역 보다 수성 현상액에 더 잘 녹게 하는 포지티브형 레지스트 조성물에 사용된다.
본 발명의 포토레지스트는 하나 이상의 본 발명의 PAG를 이미지화에 유효한 양으로 포함한다. 이러한 PAG는 별도의 성분이거나, 레진에 결합할 수 있다. 다른 대안에 있어서, 본 발명의 포토레지스트는 하나 이상의 개별적인 PAG의 이미지화 유효량과 폴리머화 단위체로서 하나 이상의 본 발명의 PAG를 포함하는 하나 이상의 레진을 모두 포함한다. 본 발명의 레지스트는 또한 별개의 PAG 혼합물, 전형적으로 2개 또는 3개의 별개 PAG의 혼합물, 보다 전형적으로 총 2개의 상이한 PAG로 구성되는 혼합물을 포함할 수 있다. 혼합물 중 적어도 하나의 PAG는 여기에 기술된 염기 분해성 그룹 하나 이상을 가진다.
본 발명의 포토레지스트는 전형적으로 레진 결합제(폴리머), 상기한 PAG 및 임의로 염기(소광제), 용매, 화학선 및 조영성(contrast) 염료, 항찰흔제 (anti-striation), 가소제, 속도 강화제, 증감제 등과 같은 하나 이상의 기타 성분을 포함한다. 하나 이상의 이러한 포토레지스트 성분을 사용할 수 있다. 이와 같은 임의의 첨가제는 사용할 경우 전형적으로 극소량, 예를 들면 포토레지스트 조성물의 전체 고체에 대하여 0.1 내지 10 wt%로 조성물 중에 존재한다. 바람직하게, 레진 결합제는 포토레지스트 조성물에 알칼리 수성 현상성을 부과하는 작용 그룹을 가진다. 예를 들면, 하이드록실 또는 카르복실레이트와 같은 극성 작용 그룹을 포함하는 레진 결합제가 바람직하다. 바람직하게, 레진 결합제는 레지스트 조성물 중에서 레지스트를 알칼리 수용액으로 현상할 수 있는 충분한 양으로 사용된다.
본 발명의 포지티브 활성형의 화학적으로 증폭된 포토레지스트에 사용하기 위한 산 불안정성 탈보호 그룹을 가지는 바람직한 레진은 유럽 특허출원 0829766 (아세탈을 가지는 레진과 케탈 레진) 및 유럽 특허출원 0783136(터폴리머와, 1) 스티렌; 2) 하이드록시스티렌; 및 3) 산 불안정성 그룹, 특히 t-부틸아크릴레이트 또는 t-부틸메타크릴레이트와 같은 알킬 아크릴레이트 산 불안정성 그룹의 단위체들을 포함하는 다른 코폴리머)에 기술되어 있다. 일반적으로 다양한 산 불안정성 그룹을 가지는 레진이 적합하며, 예를 들면 산 민감성 에스테르, 카보네이트, 에테르, 이미드 등이다. 포토애시드 불안정성 그룹은 보다 전형적으로 폴리머 골격으로부터의 펜던트이지만 폴리머 골격에 통합되는 산 불안정 그룹을 가지는 레진을 사용할 수 있다.
본 발명의 포토레지스트의 바람직한 이미지 파장은 300 nm 이하의 파장, 예를 들면 248 nm이며, 더욱 바람직하게 193 nm 및 EUV와 같은 200 nm 이하의 파장이나, 전자 빔(beam), 이온 빔 및 X-선과 같은 200 nm 이하의 파장 또는 다른 이온화 조사선을 사용할 수 있다.
248 nm와 같은 200 nm 이상의 파장에서의 이미지화에서는 페놀성 레진가 전형적으로 바람직하다. 바람직한 페놀성 레진은 폴리(비닐페놀)이며, 블록 중합반응, 에멀젼 중합반응 또는 촉매 존재 하의 상응하는 모노머의 용액 중합반응으로 형성될 수 있다. 이러한 파장에서의 이미지화에 유용한 특히 바람직한 레진은 다음과 같다: i) 비닐 페놀과 알킬 (메트)아크릴레이트의 폴리머화 단위를 포함하는 폴리머(여기에서 폴리머화 알킬 (메트)아크릴레이트 단위체는 포토애시드의 존재 하에서 탈보호반응을 수행할 수 있다. 포토애시드로 유도된 탈보호반응을 수행할 수 있는 예시적인 알킬 (메트)아크릴레이트는, 예를 들면 t-부틸 아크릴레이트, t-butyl 메타크릴레이트, 메틸아다만틸 아크릴레이트, 메틸아다만틸 메타크릴레이트, 및 포토애시드로 유도된 반응을 수행할 수 있는 다른 비시클릭 알킬 및 알리시클릭 아크릴레이트이며, 예를 들면 여기에 참조를 위하여 포함된 미국 특허 6,042,997과 5,492,793의 폴리머이다); 및 ii) 비닐 페놀, 하이드록시 또는 카복시 고리 치환체를 포함하지 않는 임의로 치환된 비닐 페닐(예를 들면, 스티렌) 및 상기 폴리머 i)로 기술된 탈보호그룹과 같은 알킬 (메트)아크릴레이트, 예를 들면 여기에 참조를 위해 포함된 미국 특허 6,042,997에 기술된 폴리머; 및 iii) 포토애시드와 반응하는 아세탈 또는 케탈 잔기를 포함하는 반복단위, 및 임의로 페닐 또는 페놀 그룹과 같은 방향족 반복 단위.
193 nm와 같은 200 nm 이하에서의 이미지화에 적합한 레진은 다양한 (메트)아크릴레이트 모노머이며, 당업계에 잘 알려져 있고, 예를 들면 미국 특허 7,968,268, 7,700,256; 7,432,035; 7,122,589; 7,041,838; 6,492,091; 6,280,898; 과 6,239,231, 및 미국 특허공개 2009/0117489와 2011/0003257에 기술되어 있다. 예시적인 레진은 다음 화학식 (I), (II) 및 (III)의 단위체를 포함하는 것들이다:
Figure pat00019
여기에서 R1은 (C1-C3)알킬 그룹이고; R2는 (C1-C3)알킬렌 그룹이며; L1은 락톤 그룹이고; n은 1 또는 2이다.
화학식 (I)의 단위체를 형성하는 적합한 모노머는, 예를 들면 다음과 같다:
Figure pat00020

Figure pat00021

화학식 (II)의 단위체를 형성하는 적합한 모노머는, 예를 들면 다음과 같다:
Figure pat00022
화학식 (III)의 단위체를 형성하는 모노머는 3-하이드록시-1-아다만틸-메타크릴레이트(HAMA), 바람직하게 3-하이드록시-1-아다만틸-아크릴레이트(HADA)이다.
레진은 제1 단위체와는 상이한 화학식 (I), (II) 및/또는 (III)의 하나 이상의 추가 단위체를 포함할 수 있다. 이러한 추가적인 단위체가 레진 내에 존재할 경우, 이들은 바람직하게 화학식 (I)의 추가 이탈그룹을 포함하는 단위체 및/또는 화학식 (II)의 락톤을 포함하는 단위체를 포함한다.
상기한 폴리머화 단위체 이외에, 레진은 화학식 (I), (II) 또는 (III)이 아닌 하나 이상의 추가 모노머 단위체를 포함할 수 있다. 이와 같은 다양한 추가의 모노머 단위체를 사용하여 본 발명에 유용한 포토레지스트 레진을 제조할 수 있다. 전형적으로, 레진의 추가 단위체는 화학식 (I), (II) 또는 (III)의 단위체를 형성하는데 사용되는 모노머에 사용되는 것들과 같거나 유사한 중합성 그룹을 포함하지만, 동일한 폴리머 골격 내에서 기타의 상이한 중합성 그룹, 예를 들면 비닐 또는 비방향족 시클릭 올레핀(내향고리 이중결합)의 폴리머화 단위체, 예를 들면 치환된 노르보넨을 포함할 수 있다. 193 nm와 같은 200 nm 이하의 파장에서의 이미지화에서 레진은 전형적으로, 조사선 흡수율이 높은 페닐, 벤질 또는 다른 방향족 그룹을 실질적으로 포함하지 않는다(즉, 15 mol% 미만). 추가의 단위체가 사용된다면, 전형적으로 폴리머 내에 10 내지 30 mol%로 존재한다.
둘 이상의 레진의 혼합물이 본 발명의 조성물에 사용될 수 있다. 레진은 원하는 두께의 균일한 코팅을 얻기에 충분한 양으로 레지스트 조성물 중에 존재한다. 통상적으로. 레진은 포토레지스트 조성물의 총 고형물에 대하여 70 내지 95 wt%의 양으로 조성물에 존재한다. 유기 현상액 중 레진의 개선된 용해 특성으로 인하여, 레진의 유용한 분자량은 낮은 값으로 제한되지 않고 매우 넓은 범위를 커버한다. 예를 들어, 폴리머의 중량평균분자량 Mw는 통상적으로 100,000 보다 작아, 예를 들어 5000 내지 50,000, 보다 통상적으로는 6000 내지 30,000 또는 7,000 내지 25,000이다.
레진을 형성하는 데 사용되는 적절한 모노머는 시판 및/또는 공지의 방법을 사용하여 합성할 수 있다. 레진은 공지의 방법으로 모노머 및 다른 시판되는 출발물질을 사용하여 본 분야의 당업자에 의해 용이하게 합성될 수 있다.
본 발명의 포토레지스트의 바람직한 임의의 첨가제는 첨가되는 염기, 특히 테트라부틸암모늄 하이드록사이드(TBAH) 또는 다양한 아미드로서, 이들은 현상된 레지스트 릴리프 이미지의 해상도를 증진시킬 수 있다. 첨가된 염기는 비교적 작은 함량, 예를 들어 PAG에 대하여 1 내지 10 wt%, 보다 통상적으로는 1 내지 5 wt%로 적절히 사용된다. 다른 바람직한 염기성 첨가제는 피페리디늄 p-톨루엔설포네이트 및 디사이클로헥실암모늄 p-톨루엔설포네이트와 같은 암모늄 설포네이트 염; 트리프로필아민 및 도데실아민과 같은 알킬 아민; 디페닐아민, 트리페닐아민, 아미노페놀, 2-(4-아미노페닐)-2-(4-하이드록시페닐)프로판 등과 같은 아릴 아민을 포함한다.
본 포토레지스트 조성물은 통상적으로 용매를 포함한다. 적절한 용매에는, 예를 들어 다음을 포함한다: 2-메톡시에틸 에테르(diglyme), 에틸렌글리콜 모노메틸 에테르, 및 프로필렌글리콜 모노메틸 에테르와 같은 글리콜 에테르; 메틸락테이트 및 에틸락테이트와 같은 락테이트; 메틸프로피오네이트, 에틸프로피오네이트, 에틸에톡시프로피오네이트 및 메틸-2-하이드록시 이소부티레이트와 같은 프로피오네이트; 메틸 셀로솔브 아세테이트와 같은 셀로솔브 에스테르; 톨루엔 및 크실렌과 같은 방향족 탄화수소; 그리고 아세톤, 메틸에틸케톤, 사이클로헥사논 및 2-헵타논과 같은 케톤. 상기 언급한 2, 3 또는 그 이상의 용매의 혼합물과 같은 용매의 혼합물도 적절하다. 용매는 통상적으로 포토레지스트 조성물의 총 중량에 대하여 90 내지 99 wt%, 보다 통상적으로는 95 내지 98 wt%의 함량으로 조성물 중에 존재한다.
본 발명의 포토레지스트는 일반적으로 공지 방법에 따라 제조된다. 예를 들어, 본 발명의 레지스트는 포토레지스트 성분을 적합한 용매에 용해시킨 코팅 조성물로서 제조될 수 있다. 본 발명의 레지스트의 수지 바인더 성분은 통상 레지스트의 노출 코팅층을 예를 들어 알칼리 수용액과 현상시킬 수 있도록 하기에 충분한 양으로 사용된다. 좀더 특히, 수지 바인더는 적합하게는 레지스트 총 고체의 50 내지 90 중량%를 포함한다. 광활성 성분은 레지스트의 코팅층에 잠상 (latent image)을 만들어내기에 충분한 양으로 존재하여야 한다. 좀더 구체적으로, 광활성 성분은 적합하게는 레지스트 총 고체의 1 내지 40 중량%의 양으로 존재한다. 통상, 광활성 성분의 양이 적을수록 화학적으로 증폭된 레지스트에 적합하다.
본 발명의 포토레지스트 조성물의 바람직한 총 고체 함량은 조성물 내의 특정 중합체, 최종 층 두께 및 노출 파장과 같은 인자들에 의존한다. 포토레지스트의 고체 함량은 포토레지스트 조성물의 총 중량을 기준으로 하여 통상 1 내지 10 중량%, 좀더 통상적으로 2 내지 5 중량% 범위이다.
본 발명의 바람직한 네거티브-작용성 (negative-acting) 포토레지스트 조성물은 산에 노출되었을 때 경화 (cure), 가교 또는 경질 (harden)되는 재료의 혼합물, 및 본 발명의 광활성 성분을 포함한다. 바람직한 네거티브 작용성 조성물은 페놀성 또는 비-방향족 수지와 같은 수지 바인더, 가교제 성분 및 본 발명의 광활성 성분을 포함한다. 이러한 조성물 및 그의 용도는 유럽 특허 출원 EP 0164248 및 EP 0232972, 및 U.S. Pat. No. 5,128,232 (Thackeray et al.)에 개시되어 있다. 수지 바인더 성분으로 사용하기에 바람직한 페놀성 수지는 노볼락 및 폴리(비닐페놀), 예를 들어 상기 논의된 것들을 포함한다. 바람직한 가교제는 아민계 재료, 예를 들어 멜라민, 글리콜루릴, 벤조구아나민계 재료 및 우레아계 재료를 포함한다. 멜라민-포름알데히드 수지가 일반적으로 가장 바람직하다. 이러한 가교제는 상업적으로 이용가능하다. 예를 들어, 상표명 Cymel 300, 301 및 303으로 Cytec에 의해 판매되는 멜라민 수지를 언급할 수 있다. 글리콜루릴 수지는 Cymel 1170, 1171, 1172로 Cytec에 의해 판매되며, 우레아계 수지는 상표명 Beetle 60, 65 및 80으로 판매되고, 벤조구아나민 수지는 상표명 Cymel 1123 및 1125로 판매된다.
본 발명의 포토레지스트는 공지 방법에 따라 사용될 수 있다. 본 발명의 포토레지스트가 건조 필름으로 적용될 수도 있지만, 이들은 바람직하게는 액체 코팅 조성물로서 기판 상에 적용되고, 가열 건조되어 용매를 바람직하게는 코팅 층에 점성이 없을 때까지 제거하고, 포토마스크를 통해 활성화 조사에 노출되고, 임의로 노출 후 구워져서 레지스트 코팅층의 노출 영역 및 비노출 영역 사이의 용해도 차이를 야기시키거나 증가시킨 후, 바람직하게는 수성 알칼리 현상제와 함께 현상되어 릴리프 이미지를 형성한다. 본 발명의 레지스트가 적용되고 가공되는 기판은 적합하게는 마이크로전자 웨이퍼와 같은 포토레지스트가 관여된 방법에서 사용되는 임의의 기판일 수 있다. 예를 들어, 기판은 실리콘, 이산화실리콘 또는 알루미늄-알루미늄옥사이드 마이크로전자 웨이퍼일 수 있다. 갈륨 아르제나이드, 세라믹, 석영 또는 구리 기판도 사용될 수 있다. 인쇄 회로 보드 기판, 예를 들어, 구리 피복 라미네이트도 적당한 기판이다. 액정 디스플레이 및 기타 평판 디스플레이 적용에 사용되는 기판, 예를 들어, 유리 기판, 인듐 주석 옥사이드 코팅된 기판 등도 바람직하게 사용된다. 액체 코팅 레지스트 조성물은 스피닝, 디핑 또는 롤러 코팅과 같은 임의의 표준 방법에 의해 적용될 수 있다.
포토레지스트 층 (만약 존재한다면, 과코팅된 배리어 조성물 층과 함께)은 바람직하게는 침지 리소그래피 시스템에서 활성화 조사에 노출되며, 여기에서 노출 도구 (특별히 프로젝션 렌즈) 및 포토레지스트 코팅된 기판 사이의 공간이 침지액, 예를 들어 물 또는 하나 이상의 첨가제, 예를 들어, 세슘 설페이트와 혼합된 물에 의해 점유되고, 이는 개선된 굴절 지수를 갖는 유체를 제공할 수 있다. 바람직하게는 침지액 (예를 들어, 물)을 처리하여 거품을 피하며, 예를 들어, 물을 탈기시켜 나노거품을 피할 수 있다. 본 명세서에서 "침지 노출" 또는 기타 유사한 용어는 노출이 노출 도구와 코팅된 포토레지스트 조성물 층 사이에 위치한 유체층 (예를 들어, 물 또는 물과 첨가제)과 함께 수행됨을 나타낸다.
노출 에너지는 조사에 민감한 시스템의 광활성 성분을 효과적으로 활성화시켜 레지스트 코팅층에 패턴 이미지를 생성하기에 충분하여야 한다. 적합한 노출 에너지는 통상 약 1 내지 300 mJ/cm2 범위이다. 적합한 노출후 베이킹 온도는 50 ℃ 이상이며, 좀더 구체적으로 50 내지 140 ℃이다. 산-경질성 네거티브-작용성 레지스트의 경우, 현상 후 바람직하게는 100 내지 150 ℃의 온도에서 수분이상 베이킹하여 현상에 의해 형성된 릴리프 이미지를 추가로 경화시킨다. 현상 및 임의의 현상후 경화를 수행한 후, 현상에 의해 드러난 기판 표면을 선택적으로 가공할 수 있으며, 예를 들어, 당업계의 공지 방법에 따라 화학적으로 에칭하거나 포토레지스트가 벗겨진 기판 면적을 도금할 수 있다. 적합한 에칭제는 불화수소산 에칭 용액 및 플라스마 기체 에칭제, 예를 들어, 산소 플라스마 에칭제를 포함한다.
본 발명은 또한, 본 발명의 포토레지스트의 릴리프 이미지를 형성하는 방법, 예를 들어, 서브-쿼터 ㎛ 치수 이하, 예를 들어, 서브-0.2 또는 서브-0.1 ㎛ 치수를 갖는 고해상도의 패턴화된 포토레지스트 이미지 (예를 들어, 본질적으로 수직의 측벽을 갖는 패턴화된 선)을 형성하는 방법을 제공한다.
본 발명은 또한, 본 발명의 포토레지스트 및 릴리프 이미지가 코팅된 마이크로전자 웨이퍼 또는 평판 디스플레이 기판과 같은 기판을 포함하는 제품을 제공한다.
실시예 1:
반응식 1 및 하기 단락에 설명된 다단계 합성에 의해 포토애시드 발생기 TPS NBHFA-TFPS를 제조하였다. 구체적인 합성 방법은 하기에 나타내었다.
반응식 1
Figure pat00023

150 mL 테트라하이드로푸란 중의 4-브로모-3,3,4,4-테트라플루오로부탄산 (1.26g, 102.7 mmol) 용액에 카보닐디이미다졸 (CDI, 16.7g, 103.0 mmol)을 가하고 혼합물을 실온에서 2 시간 교반하였다. 반응 혼합물을 70 ℃로 가열한 다음 화합물 2 (30g, 102.6 mmol)를 가하고 반응액을 70 ℃의 질소 하에서 16시간 교반하였다. 용매를 감압하에 제거하고 생성된 오일성 잔류물을 200 mL 메틸렌 클로라이드에 용해시켰다. 후자 용액을 200 mL 1 N HCl로 2회 세척하고, 200 mL 물로 1회 세척하고, MgSO4 상에서 건조시키고, 용매를 감압하에 제거하여 무색 오일로서 화합물 3을 얻었다.
제2 단계에서, 화합물 3 (45 g, 85.35 mmol)을 200 mL 아세토니트릴에 용해시켰다. 소듐 디티오나이트 (32.69 g, 187.75 mmol) 및 소듐 비카보네이트 (21.5 g, 255.9 mmol)를 200 mL 탈이온수에 용해시켰다. 수용액을 교반된 아세토니트릴 용액에 가하고 반응 혼합물을 70 ℃에서 16 시간 교반하였다. 19F NMR로 반응을 모니터하여 전환이 완결되었음을 확인하였다. 중간 산물의 추가 분리 없이 아세토니트릴 용액을 산화 단계에서 사용하였다. 아세토니트릴 용액에 100 mL 물, Na2WO4 .2H2O (50 mg)를 가한 후 H2O2 (30 w/w% 수용액, 14.5 g)를 가하였다. 주변 온도에서 반응액을 16 시간 교반하였다. 유기 상을 회전 증발기에서 증발시켰다. 잔류 고체를 100 mL 아세톤에 용해시키고, 용액을 서서히 메틸 t-부틸 에테르 (2 L)에 부었다. 얻어진 왁스상 생성물을 기울여 따르기 (decantation)에 의해 용매를 제거하여 분리하였다. 왁스상 생성물을 추가로 감압하에 건조시켰다. 조 생성물 4의 전체 수율은 30.0g (64%)이었다. 추가의 정제 없이 생성물을 다음 단계에 사용하였다.
마지막 단계에서, 200 mL 디클로로메탄 및 200 mL 탈이온수의 교반 혼합물에 조 화합물 4 (30 g, 54.5mmol) 및 트리페닐설포늄 브로마이드 (18.71 g, 54.5 mmol)를 가하였다. 반응액을 주변 온도에서 밤새 교반하였다. 상을 분리하였다. 유기상을 200 mL 탈이온수로 5회 세척하였다. 유기상을 분리하였다. 분리된 유기상을 농축시키고 메틸 t-부틸 에테르에 부어 목적하는 포토애시드 발생기 TPS NBHFA-TFPS를 생성하였다.
실시예 2: 리소그래피 평가
실시예 1에서 얻은 포토애시드 발생기 화합물 TPS NBHFA-TFPS를 리소그래피에 의해 평가하고 통상의 PAG, 트리페닐설포늄 퍼플루오로부탄 설포네이트와 비교하였다. 하기 언급된 성분 및 비율을 사용하여 포토레지스트를 포뮬레이션하였다.
리소그래피 평가 (하기)에 사용하기 위한 포토레지스트 중합체 (A1)을 하기 단량체 M1-M5를 사용하여 하기 방법에 따라 제조하였다.
Figure pat00024
1-에틸사이클로펜틸 메타크릴레이트(ECPMA, M1; 20 mmol), 1-이소프로필-아다만타닐 메타크릴레이트(IAM, M2; 20 mmol), 2-옥소-테트라히드로-푸란-3-일 메타크릴레이트(α-GBLMA, M3; 30 mmol), 3-옥소-4,10-디옥사-트리사이클로[5.2.1.02,6]데크-8(또는 9)-일 메타크릴레이트(ODOTMA, M4; 20 mmol), 및 3-히드록시-아다만타닐 메타크릴레이트(HAMA, M5; 10 mmol)을 30g의 테트라하이드로푸란(THF)에 용해시킨 용액을 질소로 버블링하여 탈기하고, 콘덴서, 질소 주입구 및 기계적 교반기가 구비된 500 mL 플라스크에 10g의 탈기된 추가 THF와 함께 투입하였다. 용액을 환류시키며, 5g의 THF에 용해된 디메틸-2,2-아조디이소부티레이트 6g을 플라스크에 투입하였다. 이어서 중합 혼합물을 환류 하에서 약 4시간 동안 교반하고, 이 시간이 지난 후 반응물을 5g의 THF로 희석하고, 중합 혼합물을 실온으로 식혔다. 1.0L의 이소프로판올을 가하여 폴리머를 침전시키고, 여과하여 수거한 뒤, 50g의 THF에 녹이고 추가의 1.0L 이소프로판올을 가하여 재침전시킨 후, 수거하고 진공 하에 45℃에서 48시간 동안 건조하여 포토레지스트 폴리머인 폴리(IAM/ECPMA/α-GBLMA/ODOTMA/HAMA)(분자량 = 8,000)를 수득하였다.
포토레지스트를 하기 표 1에 나타낸 성분 및 비율로 배합하였다. 여기서 중량 퍼센트는 조성물의 총 고형분 함량을 기준으로 하였다. 사용된 염기는 t-부틸옥시카보닐-4-히드록시피리딘(TBOC-4HP)이었고, SLA(표면 래벨링제 또는 계면활성제)는 PF 656(Omnova로부터 입수가능)이었다. 용매로서 프로필렌 글리콜 메틸 에테르 아세테이트(S1) 및 메틸 2-히드록시이소부티레이트(S2)를 1:1 중량비로 사용하여 포토레지스트를 추가로 배합하였다. 포토레지스트 및 비교 포토레지스트 각각을 희석하여 최종 고형분 4 중량%가 되도록 하였다.
[표 1]
Figure pat00025
실시예 1 및 비교예 1의 포토레지스트들을 다음과 같이 리소그래피 처리하였다. 유기 반사방지 코팅(AR™77, Dow Electronic Materials)을 갖는 200mm 실리콘 웨이퍼 상에 포토레지스트를 스핀 코팅하고, 110℃에서 60초간 베이킹하여 100nm 두께의 레지스트 필름을 형성하였다. 포토레지스트를 ArF 엑시머 레이저 조사선(193nm)에 노광시켰다. 노광은 0.75의 수치 어퍼쳐(numerical aperture (NA))를 갖는 ASML /1100 노광 도구(ASML 제조)를 사용하여, 고리형 조명(annular illumination)하에 외측/내측 시그마(sigma) 0.89/0.64 및 포커스 옵셋/스텝 0.10/0.05로 수행되었다. 선폭 90nm 및 피치 180nm를 타겟으로 하는 라인-스페이스 패턴 마스크가 피쳐(feature)의 이미지화에 사용되었다.
패턴화된 레지스트를 100℃에서 60초간 노광후 베이킹(post exposure baking(PEB))하고, 다음으로 0.26N 수성 테트라메틸암모늄 히드록사이드(TMAH) 용액으로 현상한 후, 이어서 수세하였다. 각 예에 대하여, 선폭 90nm 및 피치 180nm를 갖는 L/S 패턴이 형성되었다. 마스크 에러 팩터(MEF) 및 노광 위도(Exposure Latitude(EL))를 탑-다운 주사 전자 현미경(SEM)에 의하여 결정하였다. Hitachi 9380 CD-SEM으로 캡쳐된 이미지를 사용하였으며, 가속 전압 800 볼트(V), 프로브 전류 8.0 피코암페어(pA), 및 배율 200 Kx 로 작업하였다. 사이징 에너지(sizing energy)에 의해 정규화된 타겟 직경의 +/-10%를 프린트하기 위한 노광 에너지의 차이를 노광 위도(EL)로 정의하였다. 해상된 포토레지스트 패턴에 대한 임계 디멘젼(critical dimension(CD)) 차이 대 마스크 패턴 상의 상대적 디멘젼 차이의 비율을 마스크 에러 팩터(MEF)로 정의하였다. 비교예 1 및 실시예 1 배합물의 리소그래피 평가 결과를 표 2에 나타내었다.
[표 2]
Figure pat00026
표 2에서 알 수 있듯이, 실시예 1의 PAG를 사용하여 제조된 본 발명의 포토레지스트 배합물(PR1)은, PAG로서 상업적으로 입수가능한 트리페닐설포늄 퍼플루오로부탄 설포네이트를 사용하여 제조된 것 외에는 거의 동일한 비교 포토레지스트 배합물과 비교했을 때, 더 높은 노광 위도 및 더 낮은 MEF를 나타내었다. 따라서 본 발명의 PAG는 노광 위도(EL) 및 마스크 에러 팩터(MEF)에 기초한 향상된 리소그래피 성능을 나타낸다.
실시예 3: (4-((2,2-디플루오로-3-(메타크릴로일옥시)프로파노일)옥시)페닐)-디페닐설포늄 퍼플루오로부탄설포네이트의 합성
Figure pat00027
2,2-디플루오로-3-(메타크릴로일옥시)프로파노산: 10.1g(100 mmol)의 트리에틸아민과 디클로로메탄 250 mL의 혼합물에 12.6g(100 mmol)의 2,2-디플루오로-3-히드록시프로파노산을 가하고, 결과 혼합물을 얼음조에 두었다. 10.5g(100 mmol)의 메타크릴로일 클로라이드를 플라스크 내에 서서히 가하고, 교반하며 밤새 반응시켰다. 그 후 결과 혼합물을 200 mL의 1% NaHCO3 용액으로 세척하고, 이어서 용매를 제거하였다. 다음으로 생성물을 메탄올로부터 재결정하여 2,2-디플루오로-3-(메타크릴로일옥시)프로파노산을 예상한 우수한 수율로 얻었으며, 이는 추가의 정제없이 다음의 합성에 사용되었다.
(4-((2,2-디플루오로-3-(메타크릴로일옥시)프로파노일)옥시)페닐)-디페닐설포늄 퍼플루오로부탄설포네이트: 5g(25.7 mmol)의 2,2-디플루오로-3-(메타크릴로일옥시)프로파노산과 디클로로메탄 100 mL의 혼합물에, 5.3g(25.7 mmol)의 N,N'-디사이클로헥실카보디이미드 및 0.06g(0.5mmol)의 4-디메틸아미노피리딘을 가하고 1시간 동안 교반하였다. 다음으로 14.9g(25.7 mmol)의 p-히드록시페닐디페닐 퍼플루오로부탄설포네이트를 혼합물에 가하고, 혼합물을 24시간 동안 교반하였다. 용매를 말려 버린 후 결과 혼합물을, 디클로로메탄/메탄올(90/10 v/v)의 현상 혼합용매를 사용하여 실리카 겔 컬럼으로 추가 정제하여 6.7g(8.9mmol)의 순수한 (4-((2,2-디플루오로-3-(메타크릴로일옥시)프로파노일)옥시)페닐)-디페닐설포늄 퍼플루오로부탄설포네이트를 얻었다.
35.7g(152.3 mmol)의 2-메틸-2-아다만틸 메타크릴레이트, 25.9g(152.3 mmol)의 2-메틸-아크릴산 2-옥소-테트라하이드로-푸란-3-일 에스테르, 17.4g(76.2 mmol)의 히드록시나프틸메틸 아크릴레이트 및 15.1g(20.0 mmol)의 상기 (4-((2,2-디플루오로-3-(메타크릴로일옥시)프로파노일)옥시)페닐)-디페닐설포늄 퍼플루오로부탄설포네이트를 100g의 아세토니트릴:테트라하이드로푸란 2:1 혼합물에 함유시킨 용액에 20.0 mmol의 Vazo® 52 저온 중합 개시제(E. I. du Pont de Nemours and Company)를 가하였다. 모노머들 및 개시제 용액은 10분간 탈기되었다. 불활성 대기 하에서, 5 mL의 모노머 및 개시제 용액을 80℃로 예비가열(오일 배스)된 반응기 내에 투입하였다. 잔량의 모노머 및 개시제 혼합물은 2시간에 걸쳐 80℃에서 반응기 내로 투입되었다. 첨가가 완료된 후, 반응 혼합물을 추가의 2시간 동안 환류하였다. 다음으로 혼합물을 실온으로 식히고, 중합 용액을 다량의 디이소프로필 에테르 내에서 침전시키고, 여과하고 진공 하에서 건조하였다. 결과 조(crude) 폴리머를 25-30 중량% 테트라하이드로푸란(THF)에 용해시키고, 디이소프로필 에테르 내에서 침전시켰다. 침전된 폴리머를 여과하여 분리하고, 진공 하에 40℃에서 밤새 건조하였다. 중합체성 결합 PAG(polymeric bond PAG)의 모노머 구조를 하기 표에 요약하였다.
Figure pat00028

실시예 4:
하기 PAG 모노머들(P1-P3) 각각을 사용하여 PAG 폴리머를 제조한 것을 제외하고는, 실시에 3의 것들과 유사한 모노머들을 갖는 폴리머를 실시예 3의 일반적 절차에 따라 제조하였다.
Figure pat00029

Claims (10)

  1. 화학식 (Ⅰ) 또는 (Ⅱ)의 포토애시드 발생제 화합물:
    (Ⅰ) R5M+R6R7 r - O3S-R1 p-Xy-(R2ZwR3)x
    (Ⅱ) (Pg-R4-Z2)g1R5M+R6R7 r - O3S-R1 p-Xy-(R2Zw R3)x1(R2Z1-R4Pg)g2
    여기에서, 각각의 R1은 (C1-C10)알킬, 헤테로원자를 포함하는 (C1-C10)알킬, 플루오로(C1-C10)알킬, 헤테로원자를 포함하는 플루오로(C1-C10)알킬, (C6-C10)아릴, 및 플루오로(C6-C10)아릴로부터 선택되고; 각각의 R2는 화학 결합 또는 (C1-C30)하이드로카빌 그룹이고; 각각의 R3는 H 또는 (C1-C30)하이드로카빌 그룹이고; 각각의 R4 는 화학 결합 또는 (C1-C30)하이드로카빌 그룹이고; R5, R6 및 R7는 독립적으로, 임의로 치환된 카보사이클릭 아릴 그룹, 알릴 그룹, 및 임의로 치환된 (C1-C20)알킬 그룹으로부터 선택되고; X는 화학 결합 또는 이가의 연결 그룹이고; Z는 β-헤테로원자-치환된 락톤, 아세토아세톡시 에스테르, -C(O)-O-C(O)-R1-, -C(CF3)2O-, -COO-Rf-, -SO3-Rf-, -OCH3-z(CH2OC(=O)-Rf-)z, 및 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌 그룹으로부터 선택되고; Z1은 이가의 염기-반응성 그룹이고; Z2는 β-헤테로원자-치환된 락톤, -C(O)-O-C(O)-R1-, 아세토아세톡시 에스테르, -COO-Rf-, -SO3-Rf-, -CH3-z(CH2OC(=O)-Rf-)z, 및 염기-반응성 그룹을 포함하는 (C5-C30)사이클로하이드로카빌 그룹으로부터 선택되고; 각각의 Rf는 독립적으로 플루오로(C1-C10)알킬이고; Pg는 중합 가능한 그룹이고; p = 0-6; w = 1-3; x = 1-4; x1 = 0-4; y = 0-5; z = 1-2; g1 = 0-3; g2 = 0-3; r = 0-1; M은 S 또는 I; 여기에서 M = I일 때, r = 0, 그리고 M = S일 때, r =1이되, g1 및 g2의 적어도 하나는 0이 아니다.
  2. 폴리머화 단위체로서 제1항에 따른 화학식 (II)의 포토애시드 발생제 화합물을 포함하는 폴리머.
  3. 제2항의 폴리머를 포함하는 포토레지스트 조성물.
  4. 제1항에 있어서, X가 -C(O)O-, -C(O)S-, -SO3-, -S(O)-, -SO2-,
    Figure pat00030
    ,
    Figure pat00031
    및 이들의 배합물 중에서 선택된 포토애시드 발생제 화합물.
  5. 제1항에 있어서, β-헤테로원자 치환된 락톤이 다음 화학식 중 하나를 가지는 포토애시드 발생제 화합물:
    Figure pat00032

    상기 식에서, r1 및 r2는 독립적으로 1 - 10이고; q1 및 q2는 독립적으로 1 - 10이며; R3와 R4는 독립적으로 (C1-C10)하이드로카빌 중에서 선택되고, R5는 H 또는 (C1-C10)하이드로카빌이다.
  6. 제5항에 있어서, β-헤테로원자 치환된 락톤이 다음 화학식 중 하나를 가지는 포토애시드 발생제 화합물:
    Figure pat00033
  7. 제1항에 있어서, Pg가 (메트)아크릴릭 그룹 또는 비닐 그룹을 포함하는 포토애시드 발생제 화합물.
  8. 제1항의 포토애시드 발생제 화합물을 포함하는 포토레지스트 조성물.
  9. (a) 제8항의 포토레지스트 조성물의 코팅층을 기판에 적용하고;
    (b)포토레지스트 코팅층을 패턴화 활성 조사선에 노광한 후, 노광된 포토레지스트층을 현상하여 릴리프 이미지를 제공하는 것을 포함하는 기판에 포토레지스트 릴리프 이미지를 형성하는 방법.
  10. 제9항에 있어서, 노광된 포토레지스트 층을 수성 알칼리 현상제로 현상하여 하나 이상의 염기 반응성 그룹을 결합 분해 반응을 실시하여 하나 이상의 극성 그룹을 제공하는 것을 추가로 포함하는 방법.

KR1020110119210A 2010-11-15 2011-11-15 염기 반응성 포토애시드 발생제 및 이를 포함하는 포토레지스트 KR20120052884A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45801410P 2010-11-15 2010-11-15
US61/458,014 2010-11-15

Publications (1)

Publication Number Publication Date
KR20120052884A true KR20120052884A (ko) 2012-05-24

Family

ID=45062937

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110119210A KR20120052884A (ko) 2010-11-15 2011-11-15 염기 반응성 포토애시드 발생제 및 이를 포함하는 포토레지스트

Country Status (6)

Country Link
US (1) US9156785B2 (ko)
EP (1) EP2452932A2 (ko)
JP (2) JP2012136507A (ko)
KR (1) KR20120052884A (ko)
CN (1) CN102603586A (ko)
TW (1) TWI541226B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101498903B1 (ko) * 2012-08-31 2015-03-05 다우 글로벌 테크놀로지스 엘엘씨 포토애시드 발생제를 함유하는 말단 그룹을 포함하는 폴리머, 그 폴리머를 포함하는 포토레지스트, 및 장치의 제조방법
KR101525420B1 (ko) * 2012-06-26 2015-06-03 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 포토애시드 발생제, 포토애시드 발생제를 포함하는 포토레지스트, 및 이를 포함하는 코팅 물품
KR20180052119A (ko) * 2016-02-29 2018-05-17 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 광산-발생 모노머, 상기 모노머로부터 유도된 폴리머, 상기 폴리머를 포함하는 포토레지스트 조성물, 및 상기 포토레지스트 조성물을 사용하여 포토레지스트 릴리프 이미지를 형성하는 방법

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6064360B2 (ja) * 2011-05-11 2017-01-25 Jsr株式会社 パターン形成方法及びレジスト下層膜形成用組成物
US9046767B2 (en) * 2013-10-25 2015-06-02 Rohm And Haas Electronic Materials Llc Photoacid generator, photoresist, coated substrate, and method of forming an electronic device
TWI600966B (zh) * 2014-02-21 2017-10-01 東京威力科創股份有限公司 光敏化學增幅型光阻材料及使用該光阻材料之圖案形成方法、半導體器件、光微影用光罩,以及奈米壓印用模板
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
JP6512994B2 (ja) * 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
JP6774814B2 (ja) * 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
US9989849B2 (en) * 2015-11-09 2018-06-05 Jsr Corporation Chemically amplified resist material and resist pattern-forming method
TWI662364B (zh) * 2015-12-31 2019-06-11 Rohm And Haas Electronic Materials Llc 光致抗蝕劑組合物、包含光致抗蝕劑組合物的經塗佈基板及形成電子裝置的方法
JP6999330B2 (ja) * 2016-09-07 2022-01-18 住友化学株式会社 酸発生剤、レジスト組成物及びレジストパターンの製造方法
JP6963972B2 (ja) * 2016-12-01 2021-11-10 住友化学株式会社 酸発生剤、レジスト組成物及びレジストパターンの製造方法
JP6902896B2 (ja) * 2017-03-29 2021-07-14 東京応化工業株式会社 レジスト組成物、レジストパターン形成方法、含フッ素高分子化合物、及び化合物
JP7135456B2 (ja) * 2017-06-27 2022-09-13 住友化学株式会社 塩、酸発生剤、レジスト組成物及びレジストパターンの製造方法
JP6841183B2 (ja) * 2017-07-27 2021-03-10 信越化学工業株式会社 スルホニウム塩、ポリマー、レジスト組成物、及びパターン形成方法
WO2020149903A1 (en) * 2019-01-18 2020-07-23 Applied Materials, Inc. A film structure for electric field guided photoresist patterning process
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
US11703760B2 (en) * 2020-04-28 2023-07-18 Shin-Etsu Chemical Co., Ltd. Fluorocarboxylic acid-containing monomer, fluorocarboxylic acid-containing polymer, resist composition and patterning process
US11914301B2 (en) * 2021-07-16 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
MX170270B (es) 1984-06-01 1993-08-11 Rohm & Haas Imagenes sobre una superficie y un metodo para formar imagenes positivas y negativas termicamente estables sobre una superficie
CA1307695C (en) 1986-01-13 1992-09-22 Wayne Edmund Feely Photosensitive compounds and thermally stable and aqueous developablenegative images
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
DE69322946T2 (de) 1992-11-03 1999-08-12 Ibm Photolackzusammensetzung
US5879856A (en) 1995-12-05 1999-03-09 Shipley Company, L.L.C. Chemically amplified positive photoresists
US5861231A (en) 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US6090526A (en) 1996-09-13 2000-07-18 Shipley Company, L.L.C. Polymers and photoresist compositions
ATE248823T1 (de) 1996-12-10 2003-09-15 Zeria Pharm Co Ltd 1,5-benzodiazepinderivate
KR100382960B1 (ko) 1998-07-03 2003-05-09 닛뽕덴끼 가부시끼가이샤 락톤 구조를 갖는 (메트)아크릴레이트 유도체, 중합체,포토레지스트 조성물, 및 이것을 사용한 패턴 형성 방법
KR100574574B1 (ko) 1998-08-26 2006-04-28 스미또모 가가꾸 가부시키가이샤 화학 증폭형 포지티브 내식막 조성물
JP4131062B2 (ja) 1998-09-25 2008-08-13 信越化学工業株式会社 新規なラクトン含有化合物、高分子化合物、レジスト材料及びパターン形成方法
US6492086B1 (en) 1999-10-08 2002-12-10 Shipley Company, L.L.C. Phenolic/alicyclic copolymers and photoresists
EP1179750B1 (en) 2000-08-08 2012-07-25 FUJIFILM Corporation Positive photosensitive composition and method for producing a precision integrated circuit element using the same
DE60136100D1 (de) 2000-12-06 2008-11-20 Mitsubishi Rayon Co (meth)acrylatester, alkohol-ausgangsverbindungen für deren herstellung, verfahren zur herstellung dieser beiden verbindungen, polymere der ester, chemisch amplifizierbare schutzlackzusammensetzungen und verfahren zur bildung von mustern
US7122589B2 (en) 2002-09-30 2006-10-17 Fuji Photo Film Co., Ltd Positive resist composition and pattern formation method using the same
US6841333B2 (en) 2002-11-01 2005-01-11 3M Innovative Properties Company Ionic photoacid generators with segmented hydrocarbon-fluorocarbon sulfonate anions
US7122294B2 (en) 2003-05-22 2006-10-17 3M Innovative Properties Company Photoacid generators with perfluorinated multifunctional anions
WO2005089355A2 (en) * 2004-03-16 2005-09-29 Cornell Research Foundation, Inc. Environmentally friendly photoacid generators (pags) with no perfluorooctyl sulfonates (pfos)
JP4484681B2 (ja) * 2004-12-03 2010-06-16 富士フイルム株式会社 感光性組成物及び該感光性組成物を用いたパターン形成方法
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP4905666B2 (ja) * 2005-10-31 2012-03-28 信越化学工業株式会社 新規スルホン酸塩及びその誘導体、光酸発生剤並びにこれを用いたレジスト材料及びパターン形成方法
EP1780198B1 (en) * 2005-10-31 2011-10-05 Shin-Etsu Chemical Co., Ltd. Novel fluorosulfonyloxyalkyl sulfonate salts and derivatives, photoacid generators, resist compositions, and patterning process
JP5070814B2 (ja) * 2005-11-21 2012-11-14 住友化学株式会社 化学増幅型レジスト組成物の酸発生剤用の塩
JP4682064B2 (ja) * 2006-03-09 2011-05-11 富士フイルム株式会社 感光性組成物、該組成物を用いたパターン形成方法及び該組成物に用いる化合物
JP4548616B2 (ja) * 2006-05-15 2010-09-22 信越化学工業株式会社 熱酸発生剤及びこれを含むレジスト下層膜材料、並びにこのレジスト下層膜材料を用いたパターン形成方法
JP5124806B2 (ja) * 2006-06-27 2013-01-23 信越化学工業株式会社 光酸発生剤並びにこれを用いたレジスト材料及びパターン形成方法
GB2441032B (en) * 2006-08-18 2008-11-12 Sumitomo Chemical Co Salts of perfluorinated sulfoacetic acids
TWI412888B (zh) 2006-08-18 2013-10-21 Sumitomo Chemical Co 適合作為酸產生劑之鹽及含有該鹽之化學放大型正光阻組成物
JP5083528B2 (ja) * 2006-09-28 2012-11-28 信越化学工業株式会社 新規光酸発生剤並びにこれを用いたレジスト材料及びパターン形成方法
JP4893580B2 (ja) * 2006-10-27 2012-03-07 信越化学工業株式会社 重合性アニオンを有するスルホニウム塩及び高分子化合物、レジスト材料及びパターン形成方法
US7569326B2 (en) * 2006-10-27 2009-08-04 Shin-Etsu Chemical Co., Ltd. Sulfonium salt having polymerizable anion, polymer, resist composition, and patterning process
CN101687781B (zh) * 2007-02-15 2015-08-12 中央硝子株式会社 光产酸剂用化合物以及使用它的抗蚀剂组合物、图案形成方法
TWI438182B (zh) * 2007-07-25 2014-05-21 Sumitomo Chemical Co 適用於酸產生劑之鹽以及含有該鹽之化學放大正型抗蝕劑組成物
US8257902B2 (en) 2007-11-05 2012-09-04 Deyan Wang Compositons and processes for immersion lithography
JP2009160205A (ja) * 2008-01-04 2009-07-23 Toshiba Corp 医療支援装置、医療支援プログラム及び放射線撮影装置
KR100940915B1 (ko) * 2008-03-13 2010-02-08 금호석유화학 주식회사 화학증폭형 레지스트 조성물용 산발생제
JP5245956B2 (ja) * 2008-03-25 2013-07-24 信越化学工業株式会社 新規光酸発生剤並びにこれを用いたレジスト材料及びパターン形成方法
JP4998746B2 (ja) * 2008-04-24 2012-08-15 信越化学工業株式会社 スルホニウム塩を含む高分子化合物、レジスト材料及びパターン形成方法
JP5125832B2 (ja) * 2008-07-14 2013-01-23 Jsr株式会社 感放射線性樹脂組成物
JP5407203B2 (ja) * 2008-07-14 2014-02-05 セントラル硝子株式会社 新規スルホン酸塩及びその誘導体、光酸発生剤並びにスルホン酸塩の製造方法
JP5201363B2 (ja) * 2008-08-28 2013-06-05 信越化学工業株式会社 重合性アニオンを有するスルホニウム塩及び高分子化合物、レジスト材料及びパターン形成方法
KR101054485B1 (ko) * 2008-09-23 2011-08-04 금호석유화학 주식회사 오늄염 화합물, 이를 포함하는 고분자 화합물, 상기 고분자화합물을 포함하는 화학증폭형 레지스트 조성물 및 상기 조성물을 이용한 패턴 형성 방법
JP5559501B2 (ja) * 2008-09-30 2014-07-23 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
TWI400226B (zh) * 2008-10-17 2013-07-01 Shinetsu Chemical Co 具有聚合性陰離子之鹽及高分子化合物、光阻劑材料及圖案形成方法
JP5401910B2 (ja) * 2008-10-17 2014-01-29 セントラル硝子株式会社 重合性アニオンを有する含フッ素スルホン塩類とその製造方法、含フッ素樹脂、レジスト組成物及びそれを用いたパターン形成方法
KR100998503B1 (ko) * 2008-10-30 2010-12-07 금호석유화학 주식회사 방향족 환을 포함하는 산 발생제
JP5573098B2 (ja) * 2008-11-14 2014-08-20 住友化学株式会社 化学増幅型フォトレジスト組成物
JP5398246B2 (ja) * 2008-12-10 2014-01-29 東京応化工業株式会社 レジスト組成物およびレジストパターン形成方法
JP5417150B2 (ja) * 2008-12-18 2014-02-12 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、それを用いたパターン形成方法、及び樹脂
JP5433251B2 (ja) * 2009-02-16 2014-03-05 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物及びそれを用いたパターン形成方法
JP5427436B2 (ja) * 2009-02-26 2014-02-26 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物及び該組成物を用いたパターン形成方法
JP5549288B2 (ja) * 2009-03-12 2014-07-16 セントラル硝子株式会社 フルオロアルカンスルホン酸アンモニウム塩類およびその製造方法
US8278023B2 (en) 2009-05-28 2012-10-02 Sumitomo Chemical Company, Limited Salt and photoresist composition containing the same
EP2280308A1 (en) 2009-06-08 2011-02-02 Rohm and Haas Electronic Materials, L.L.C. Processes for photolithography
JP5808902B2 (ja) * 2009-11-10 2015-11-10 住友化学株式会社 塩及びレジスト組成物
JP5851688B2 (ja) 2009-12-31 2016-02-03 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 感光性組成物

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101525420B1 (ko) * 2012-06-26 2015-06-03 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 포토애시드 발생제, 포토애시드 발생제를 포함하는 포토레지스트, 및 이를 포함하는 코팅 물품
KR101498903B1 (ko) * 2012-08-31 2015-03-05 다우 글로벌 테크놀로지스 엘엘씨 포토애시드 발생제를 함유하는 말단 그룹을 포함하는 폴리머, 그 폴리머를 포함하는 포토레지스트, 및 장치의 제조방법
KR20180052119A (ko) * 2016-02-29 2018-05-17 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 광산-발생 모노머, 상기 모노머로부터 유도된 폴리머, 상기 폴리머를 포함하는 포토레지스트 조성물, 및 상기 포토레지스트 조성물을 사용하여 포토레지스트 릴리프 이미지를 형성하는 방법

Also Published As

Publication number Publication date
US20120129108A1 (en) 2012-05-24
JP2012136507A (ja) 2012-07-19
JP2017008068A (ja) 2017-01-12
US9156785B2 (en) 2015-10-13
CN102603586A (zh) 2012-07-25
EP2452932A2 (en) 2012-05-16
TWI541226B (zh) 2016-07-11
TW201229019A (en) 2012-07-16

Similar Documents

Publication Publication Date Title
KR20120052884A (ko) 염기 반응성 포토애시드 발생제 및 이를 포함하는 포토레지스트
KR101841452B1 (ko) 락톤 광산발생제, 수지 및 이들을 포함하는 포토레지스트
TWI444390B (zh) 含鋶鹽之高分子化合物、光阻材料及圖案形成方法、與鋶鹽單體及其製造方法
KR102166402B1 (ko) 폴리머, 레지스트 재료 및 패턴 형성 방법
JP5687442B2 (ja) 光酸発生剤およびこれを含むフォトレジスト
TWI481626B (zh) 高分子化合物及包含此高分子化合物之光阻材料及圖案形成方法、該高分子化合物之製造方法
KR102291481B1 (ko) 술포늄 화합물, 포지티브형 레지스트 조성물, 및 레지스트 패턴 형성 방법
KR101761974B1 (ko) 포지티브형 레지스트 재료, 중합성 모노머, 고분자 화합물 및 이것을 이용한 패턴 형성 방법
KR102210293B1 (ko) 레지스트 재료, 패턴 형성 방법, 및 바륨염
KR20070096977A (ko) 포지티브 레지스트 조성물 및 이것을 사용한 패턴형성방법
JP2000147753A (ja) イオン型および非イオン型光酸発生剤を混合してなるフォトレジスト組成物
TW201437748A (zh) 光阻組成物、光阻圖型之形成方法、高分子化合物、化合物
KR100629124B1 (ko) 고분자 화합물, 이러한 고분자 화합물을 함유하는레지스트 조성물 및 용해 제어제
KR101738725B1 (ko) 레지스트 재료, 이것을 이용한 패턴 형성 방법 및 고분자 화합물
TWI624723B (zh) 光阻材料及使用該光阻材料的圖案形成方法
TWI602870B (zh) 高分子化合物、正型光阻組成物、疊層體及光阻圖案形成方法
TW201435491A (zh) 光阻組成物、高分子化合物、化合物及光阻圖型之形成方法
US20090191709A1 (en) Method for Manufacturing a Semiconductor Device
KR20130128331A (ko) 레지스트 재료, 이것을 이용한 패턴 형성 방법, 및 중합성 모노머 및 고분자 화합물
TWI545137B (zh) 正型光阻材料及利用此之圖案形成方法
JP4996898B2 (ja) ポジ型レジスト組成物およびそれを用いたパターン形成方法
KR20020038283A (ko) 포토레지스트 단량체, 그의 중합체 및 이를 함유하는포토레지스트 조성물
KR20130128332A (ko) 레지스트 재료 및 이것을 이용한 패턴 형성 방법
JP4386710B2 (ja) ホトレジスト組成物、該ホトレジスト組成物用低分子化合物および高分子化合物
TWI567491B (zh) 正型光阻材料及利用此之圖案形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application