KR20110132271A - 포토레지스트 조성물 및 포토리소그래픽 패턴 형성 방법 - Google Patents

포토레지스트 조성물 및 포토리소그래픽 패턴 형성 방법 Download PDF

Info

Publication number
KR20110132271A
KR20110132271A KR1020110051877A KR20110051877A KR20110132271A KR 20110132271 A KR20110132271 A KR 20110132271A KR 1020110051877 A KR1020110051877 A KR 1020110051877A KR 20110051877 A KR20110051877 A KR 20110051877A KR 20110132271 A KR20110132271 A KR 20110132271A
Authority
KR
South Korea
Prior art keywords
polymer
photoresist composition
layer
photoresist
substituted
Prior art date
Application number
KR1020110051877A
Other languages
English (en)
Other versions
KR102064805B1 (ko
Inventor
배영철
왕데얀
토마스 카르돌라시아
강석호
로즈마리 벨
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Publication of KR20110132271A publication Critical patent/KR20110132271A/ko
Application granted granted Critical
Publication of KR102064805B1 publication Critical patent/KR102064805B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/52Esters of acyclic unsaturated carboxylic acids having the esterified carboxyl group bound to an acyclic carbon atom
    • C07C69/533Monocarboxylic acid esters having only one carbon-to-carbon double bond
    • C07C69/54Acrylic acid esters; Methacrylic acid esters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0395Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having a backbone with alicyclic moieties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/56Ring systems containing bridged rings
    • C07C2603/58Ring systems containing bridged rings containing three rings
    • C07C2603/70Ring systems containing bridged rings containing three rings containing only six-membered rings
    • C07C2603/74Adamantanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • C08F220/283Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing one or more carboxylic moiety in the chain, e.g. acetoacetoxyethyl(meth)acrylate

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

네거티브 톤 현상 공정에 의해 포토리소그래픽 패턴을 형성하는 데에 유용한 포토레지스트 조성물이 제공된다. 네거티브 톤 현상 공정에 의한 포토리소그래픽 패턴 형성 방법 및 이 포토레지스트 조성물로 코팅된 기판이 또한 제공된다. 이 조성물, 방법 및 코팅된 기판은 반도체 장치의 제조에 특히 적용가능하다.

Description

포토레지스트 조성물 및 포토리소그래픽 패턴 형성 방법{PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS}
본 발명은 일반적으로 전자 장치의 제조에 관한 것이다. 보다 상세하게는, 본 발명은 포토레지스트 조성물 및 네거티브 톤 현상 공정을 사용하여 미세 패턴을 형성할 수 있는 포토리소그래피 공정에 관한 것이다. 포토레지스트 조성물은 레지스트의 수지 성분과 실질적으로 비-혼화성인 하나 이상의 폴리머 첨가제를 포함한다. 본 발명의 바람직한 조성물 및 방법은 포토리소그래피 처리에서의 결함 및 공정 윈도우(process window)를 개선시킨다.
반도체 제조 산업에 있어서, 포토레지스트 물질은 이미지를 하나 이상의 하부에 위치하는(underlying) 층, 예컨대 기판 자체뿐만 아니라, 반도체 기판 위에 배치된 금속, 반도체 또는 유전체 층에 전달하는데 사용된다. 반도체 장치의 집적 밀도를 증가시키고 나노미터(nm) 범위의 크기를 갖는 구조물의 형성을 가능케 하기 위하여, 고-해상능을 가진 포토레지스트 및 포토리소그래피 처리 툴(tool)이 개발되었고 계속 개발 중이다.
반도체 장치에서 나노미터-스케일 피처 크기를 달성하기 위한 한 방법은 화학적으로 증폭된 포토레지스트의 노광 도중 빛의 단파장, 예를 들어 193nm 이하의 단파장을 사용하는 것이다. 침지(immersion) 리소그래피는, 예를 들어 KrF 또는 ArF 광원을 갖는 스캐너와 같은 이미징 장치의 렌즈의 개구수(numerical aperture)를 효과적으로 증가시킨다. 이는 이미징 장치의 최종 표면과 반도체 웨이퍼의 상부 표면 사이에 상대적으로 고 굴절 인덱스 유체(즉, 침지 유체)를 사용함에 의해 달성된다. 침지 유체는 공기 또는 비활성 가스 매체를 사용한 경우보다 훨씬 많은 양의 빛이 레지스트 층에 집중되게 할 수 있다.
레일리 방정식(Rayleigh equation)에 의해 정의되는 이론적 분해 한계(resolution limit)를 아래에 나타내었다:
Figure pat00001
상기 식에서, k 1은 공정 인자(process factor)이고, λ는 이미징 툴의 파장이며, NA는 이미징 렌즈의 개구수이다. 침지 유체로 물을 사용할 경우, 최대 개구수는, 예를 들어 1.2에서 1.35로 증가할 수 있다. 라인 및 스페이스 패턴을 프린팅하는 경우 k 1이 0.25일 때, 193nm 침지 스캐너는 단지 36nm 절반(half)-피치 라인 및 스페이스 패턴을 분해할 수 있을 것이다. 접촉 홀 또는 임의의 2D 패턴을 프린팅하기 위한 해상도는, k 1에 대한 이론적 한계가 0.35인 다크 필드 마스크(dark field mask)를 갖는 낮은 공간 이미지 콘트라스트(contrast)로 인해 보다 제한적이다. 따라서 접촉 홀의 가장 작은 절반-피치는 약 50nm로 제한된다. 표준 침지 리소그래피 공정은 일반적으로 보다 높은 해상도를 요구하는 장치의 제조에는 적합하지 않다.
침지 리소그래피에서 포지티브 톤 현상의 실제 해상능을 확장하기 위해 물질 및 처리의 관점 모두에서 상당한 노력이 있어왔다. 이러한 일 예는 전통적 포지티브형 화학적으로 증폭된 포토레지스트의 네거티브 톤 현상(negative tone development, NTD)과 관련된다. NTD는 크리티컬(critical) 다크 필드 층을 프린팅하기 위한 브라이트 필드 마스크(bright field mask)와 함께 얻어지는 월등한 이미징 품질을 사용할 수 있게 하는 이미지 반전 기술이다. NTD 레지스트는 전형적으로 산-불안정성(acid-labile) (또는 산-분열성(acid-cleavable)) 기 및 포토애시드 발생제(photoacid generator)를 갖는 수지를 이용한다. 화학 조사선(actinic radiation)에 노광시키면 포토애시드 발생제가 산을 형성하게 되고, 이 산이 노광후 베이킹(post-exposure baking) 동안 수지 내의 산-불안정성 기를 분열시킨다. 결과적으로, 레지스트의 노광 영역과 미노광 영역 간에 특별한 유기 현상제에서의 용해도 특성 차이가 생기며 레지스트의 미노광 영역은 현상제에 의해 제거되어 불용성의 노광 영역에 의해 생성되는 패턴이 남게 된다. 이러한 공정은, 예를 들어, 구달(Goodall) 등의 미국특허 제6,790,579호에 기재되어 있다. 이 문헌은 산-발생 개시제 및 폴리머 백본을 따라 반복되는(recurring) 산-불안정성 펜던트 기를 함유하는 폴리시클릭 폴리머를 포함하는 포토레지스트 조성물을 개시한다. 노광 영역을 알칼리 현상제로 선택적으로 제거하거나, 미노광 영역을 네거티브 톤 현상을 위한 적절한 비극성 용매로 처리하여 선택적으로 제거할 수 있다.
네거티브 톤 현상 공정에서 고해상도 패턴을 프린팅할 때 화학적으로 증폭된 포토레지스트를 사용하는 것과 관련된 하나의 문제는 표면 억제(surface inhibition) (또는 윗면 억제(top inhibition))이다. 이 효과는 노광 도중 마스크 패턴의 가장자리 아래에서 포토레지스트의 다크-필드 영역과 접촉하는 미광(stray light) 때문인 것으로 여겨진다. 이는 마스크 패턴에 인접한 다크-필드 영역, 특히 레지스트의 상부 표면에서 포토레지스트 수지의 산-불안정성 기를 분열시킬 수 있다. 이는 그러한 영역의 NTD 현상제에서의 가용성을 그렇지 않은 경우의 영역보다 더욱 낮게 만든다.
전자 장치 제조에서 미세 패턴을 형성할 수 있고 당 분야의 상태와 관련된 하나 이상의 문제점을 제기하는 개선된 네거티브 톤 현상용 조성물 및 포토리소그래피 방법이 당 분야에서 지속적으로 요구된다.
본 발명의 제1 측면에 따라, 포토레지스트 조성물이 제공된다. 포토레지스트 조성물은 산 민감성인 제1 폴리머; 하기 일반식 (I)을 갖는 모노머로부터 형성된 제2 폴리머; 포토애시드 발생제(photoacid generator); 및 용매를 포함한다.
Figure pat00002
상기 식에서, P는 중합성(polymerizable) 작용기이고; Z는, 임의로 -O-, -S-, -COO- 및 -CONR1-로부터 선택된 하나 이상의 연결 부위(linking moiety)를 갖는, 임의로 치환된 선형 또는 분지형 지방족 및 방향족 탄화수소, 및 그 조합으로부터 선택된 스페이서 단위(spacer unit)이고, 여기서 R1은 수소, 및 치환 및 비치환 C1 내지 C10 선형, 분지형 및 시클릭 탄화수소로부터 선택되며; n은 0 내지 5의 정수이고; R은 치환 및 비치환 C1 내지 C20 선형, 분지형 및 시클릭 탄화수소로부터 선택된다.
여기에서, 상기 제2 폴리머는 산 불감성(acid insensitive)이고 불소 및 실리콘을 함유하지 않으며, 또한 상기 제2 폴리머는 상기 제1 폴리머의 표면 에너지보다 낮은 표면 에너지를 갖는다.
또 다른 측면에 따라, 코팅된 기판이 제공된다. 코팅된 기판은 기판; 및 그 기판 표면 위에 본 발명의 포토레지스트 조성물의 층을 포함한다.
또 다른 측면에 따라, 포토리소그래픽 패턴의 형성 방법이 제공된다. 본 방법은 (a) 기판 표면상에서 패턴화될 하나 이상의 층을 포함하는 기판을 제공하는 단계; (b) 상기 패턴화될 하나 이상의 층 위에 본 발명의 포토레지스트 조성물의 층을 도포하는 단계; (c) 상기 포토레지스트 조성물 층을 화학 조사선에 패턴화 노광시키는 단계; (d) 상기 노광된 포토레지스트 조성물 층을 노광후 베이킹(post-exposure bake) 공정에서 가열하는 단계; 및 (e) 상기 포토레지스트 조성물 층에 현상제를 적용하는 단계(여기서, 포토레지스트 층의 미노광 부분은 현상제에 의해 제거되고, 포토레지스트 패턴이 패턴화될 하나 이상의 층 위에 남는다);를 포함한다. 패턴화 노광은 침지 리소그래피에 의해 또는, 대체적으로, 건조 노광 기술을 사용하여 수행될 수 있다.
또 다른 측면에 따라, 상기 네거티브 톤 현상 공정에 의해 형성된 전자 장치가 제공된다.
본원에서, "g"는 그램을 의미하고; "L"은 리터를 의미하고; "ml"은 밀리리터를 의미하고; "nm"은 나노미터를 의미하고; "Å"은 옹스트롬을 의미하고; "mol%"는 몰 퍼센트를 의미하고; "Mw"는 중량평균분자량을 의미하고; "Mn"은 수평균분자량을 의미하고; wt%는 중량 퍼센트를 의미하고; 관사 하나의("a") 및 하나의("an")는 하나 이상을 의미한다.
본 발명을 하기 도면을 참조하여 설명한다. 도면상에서 동일한 참조 숫자는 동일한 피처를 나타낸다.
본 발명의 바람직한 조성물 및 방법은 포토리소그래피 처리에서의 결함 및 공정 윈도우를 개선시킨다.
도 1A-E는 본 발명의 제1의 대표적 측면에 따른 포토리소그래픽 패턴 형성을 위한 공정 흐름을 나타낸다.
포토레지스트 조성물
본 발명의 포토레지스트 조성물은 네거티브 톤 현상 공정에서의 용도에 특히 적절하다. 포토레지스트 조성물은 산-민감성인 제1 매트릭스 폴리머; 산-불감성이고, 불소 및 실리콘을 함유하지 않으며, 상기 제1 폴리머의 표면 에너지보다 낮은 표면 에너지를 갖는 제2 첨가제 폴리머; 포토애시드 발생제; 용매; 및 다양한 임의의 성분을 포함한다.
네거티브 톤 현상 공정에 사용시, 특히 바람직한 본 발명의 포토레지스트 조성물은 개선된 초점 허용범위(focus latitude) 및 노광 허용범위(exposure latitude), 기하학적으로 균일한 레지스트 패턴, 예컨대 라인 및 접촉 홀, 및 감소된 결함 중 하나 또는 바람직하게는 하나 이상을 제공한다. 이들 이점들은 건조 리소그래피(dry lithography) 또는 침지 리소그래피(immersion lithography) 공정에서 조성물을 사용할 때 달성될 수 있다. 침지 리소그래피에 사용시, 바람직한 포토레지스트 조성물은 또한 포토레지스트 물질의 침지 유체 내로 이동 (리칭, leaching) 감소를 나타낸다. 유의적으로, 이것은 포토레지스트 위에 탑코트(topcoat) 층을 사용하지 않고 달성될 수 있다.
첨가제 폴리머는 포토레지스트 조성물의 코팅 도중 레지스트 코팅 층의 상부 표면 쪽으로 이동하고, 이로 인해 실질적으로 첨가제 폴리머로 구성된 표면 층을 형성한다. 노광 및 노광후 베이킹(PEB)한 다음, 현상제, 전형적으로 유기 용매에서 레지스트 코팅 층이 현상된다. 현상제는 포토레지스트 층의 미노광 영역 및 노광 영역의 표면 층을 제거한다. 노광된 레지스트 부분의 표면 층이 제거되어 표면 억제가 감소된 결과 개선된 레지스트 프로파일을 제공하는 것으로 여겨진다. 또한 첨가제 폴리머의 표면 이동 결과, 포토레지스트 조성물 층은, 침지 리소그래피 공정의 경우, 레지스트 층으로부터 침지 유체 내로의 포토레지스트 물질의 이동을 효과적으로 억제할 수 있다.
본 발명의 포토레지스트 조성물은 바람직하게는 화학적으로 증폭된 물질이다. 전통적으로 포지티브형 물질로 일컬어지는 것에 대해 네거티브 톤 현상 공정은 일반적으로 레지스트 층의 미노광 영역을 제거한다. 본원에서, 용어 "포지티브형(positive-type)" (또는 "포지티브-작용성(positive-working 또는 positive-acting)")은 네거티브 톤 현상 공정의 최종 결과에 기초한 것이 아닌 전통적 의미에서의 포토레지스트 조성물을 설명하기 위해 사용된다. 전형적으로 바람직한 화학적으로 증폭된 포지티브 레지스트 조성물은 활성화 조사선 및 열 처리에 노출시 포토애시드-촉진 탈보호 반응(photoacid-promoted deprotection reaction)을 겪는 포토애시드-불안정성 에스테르 또는 아세탈 기와 같은 포토애시드-불안정성 기를 포함하는 하나 이상의 매트릭스 폴리머를 포함한다.
A. 매트릭스 폴리머
포토레지스트 조성물은 산-민감성인 하나 이상의 매트릭스 폴리머를 포함한다. 이는 매트릭스 폴리머가 포토레지스트 조성물 층의 일부로서 소프트베이킹(softbake), 활성화 조사선에의 노광 및 노광후 베이킹 후에 본원에서 포토애시드 발생제로부터 발생된 산과의 반응 결과 현상제에서의 용해도 변화를 겪음을 의미한다.
서브-200nm 파장, 예컨대 193nm에서의 이미징을 위해, 전형적으로 매트릭스 폴리머는 페닐, 벤질 또는 기타의 방향족 기 (이러한 기들은 조사선을 고도로 흡수함)가 실질적으로 없는 (예컨대, 15 mole% 미만) 것이다. 방향족 기가 실질적으로 또는 완전히 없는 적절한 폴리머가 유럽출원 제EP930542A1호, 및 미국특허 제6,692,888호 및 제6,680,159호 (모두 Shipley Company사)에 개시되어 있다.
포지티브-작용성의 화학적으로 증폭된 포토레지스트 조성물에서, 매트릭스 폴리머는 전형적으로 산 불안정성 기를 포함하고, 활성화 조사선 및 열 처리에 노출시 포토애시드-촉진 탈보호 반응을 겪는다. 바람직한 산 불안정성 기는, 예를 들어, 매트릭스 폴리머 에스테르의 카복실 산소에 공유결합적으로 연결된 터셔리 비-시클릭(non-cyclic) 알킬 탄소 (예컨대, t-부틸) 또는 터셔리 알리시클릭 탄소 (예컨대, 메틸아다만틸)을 함유하는 아세탈 기 또는 에스테르 기를 포함한다.
적절한 매트릭스 폴리머는 추가로 알킬 아크릴레이트 단위, 바람직하게는 산-불안정성 아크릴레이트 단위, 예컨대 t-부틸 아크릴레이트, t-부틸 메타크릴레이트, 메틸아다만틸 아크릴레이트, 메틸 아다만틸 메타크릴레이트, 에틸펜킬아크릴레이트, 에틸펜킬메타크릴레이트 등을 포함하는 알킬 아크릴레이트 단위, 및 기타의 비-시클릭(non-cyclic) 알킬 및 알리시클릭 아크릴레이트를 함유하는 폴리머를 포함한다. 이러한 폴리머는, 예를 들어 미국특허 제6,057,083호, 유럽공개출원 제EP01008913A1호 및 제EP00930542A1호, 및 미국특허 제6,136,501호에 기재된바 있다.
기타의 적절한 매트릭스 폴리머는, 예를 들어, 비-방향족(non-aromatic) 시클릭 올레핀 (엔도시클릭 이중결합), 예컨대 임의로 치환된 노보넨, 예를 들어 미국특허 제5,843,624호 및 제6,048,664호에 기재된 폴리머의 중합 단위를 함유하는 것들을 포함한다.
또 다른 적절한 매트릭스 폴리머는 중합된 무수물 단위, 특히 중합된 말레산 무수물 및/또는 이타콘산 무수물 단위, 예컨대 유럽공개출원 제EP01008913A1호 및 미국특허 제6,048,662호에 개시된 것을 함유하는 폴리머를 포함한다.
헤테로 원자, 특히 산소 및/또는 황 (단 무수물 제외, 즉, 단위는 케토 고리 원자를 함유하지 않음)을 함유하는 반복 단위를 함유하는 수지가 매트릭스 폴리머로서 또한 적절하다. 헤테로알리시클릭 단위는 폴리머 백본에 접합될 수 있고, 접합된 탄소 알리시클릭 단위, 예컨대 노보넨 그룹의 중합에 의해 제공되는 것 및/또는 무수물 단위, 예컨대 말레산 무수물 또는 이타콘산 무수물의 중합에 의해 제공되는 것을 포함할 수 있다. 이러한 폴리머는 제PCT/US01/14914호 및 미국특허 제6,306,554호에 개시되어 있다. 매트릭스 폴리머를 함유하는 기타의 적절한 헤테로-원자 그룹은 기, 예를 들어, 히드록시 나프틸 기, 예컨대 미국특허 제7,244,542호에 개시된 것을 함유하는 하나 이상의 헤테로-원자 (예컨대, 산소 또는 황)로 치환된 중합된 카보시클릭 아릴 단위를 함유하는 폴리머를 포함한다.
2 이상의 상기 매트릭스 폴리머의 블렌드가 본 발명의 포토레지스트 조성물에 적절히 사용될 수 있다.
200nm 이상의 파장에 대하여, 적절한 수지 물질은, 예를 들어, 248nm에서의 이미징에 특히 적절한 화학적으로 증폭된 포지티브 레지스트를 제공할 수 있는 산-불안정성 기를 함유하는 페놀 수지를 포함한다. 이러한 종류의 특히 바람직한 수지는 다음을 포함한다: i) 비닐 페놀 및 알킬 아크릴레이트의 중합된 단위를 함유하는 폴리머(여기에서 중합된 알킬 아크릴레이트 단위는 포토애시드 존재하에 탈블록킹 반응(deblocking reaction)을 겪을 수 있다). 포토애시드-유도(photoacid-induced) 탈블록킹 반응을 겪을 수 있는 대표적인 알킬 아크릴레이트는, 예컨대 t-부틸 아크릴레이트, t-부틸 메타크릴레이트, 메틸아다만틸 아크릴레이트, 메틸 아다만틸 메타크릴레이트, 및 포토애시드-유도 반응을 겪을 수 있는 기타의 비-시클릭(non-cyclic) 알킬 및 알리시클릭 아크릴레이트, 예컨대 미국특허 제6,042,997호 및 제5,492,793호에 개시된 폴리머를 포함함; ii) 비닐 페놀, 히드록시 또는 카복시 고리 치환체를 함유하지 않는 임의로 치환된 비닐 페닐 (예컨대, 스티렌), 및 알킬 아크릴레이트, 예컨대 상기 폴리머 i), 예컨대 미국특허 제6,042,997호에 기재된 폴리머와 함께 기재된 탈블록킹 기의 중합 단위를 함유하는 폴리머; 및 iii) 포토애시드과 반응할 아세탈 또는 케탈 부분, 및 임의로 방향족 반복 단위, 예컨대 페닐 또는 페놀 기를 포함하는 반복 단위를 함유하는 폴리머(이러한 폴리머는 미국특허 제5,929,176호 및 제6,090,526호에 기재되어 있음), 및 i) 및/또는 ii) 및/또는 iii)의 블렌드. 산-불안정성 기를 함유하지 않는 페놀 수지, 예컨대 I-라인 및 G-라인 포토레지스트에서 디아조나프토퀴논 광활성 화합물과 함께 이용될 수 있고 예컨대 미국특허 제4,983, 492호; 제5,130,410호; 제5,216,111호 및 제5,529,880호에 기재된바 있는 폴리(비닐페놀) 및 노볼락 수지가 또한 적절하다.
본 발명의 포토레지스트 조성물에 사용하기에 적절한 매트릭스 폴리머는 상업적으로 입수가능하고, 당업자가 용이하게 제조할 수 있다. 매트릭스 폴리머는 노광된 레지스트의 코팅 층을 적절한 현상제 용액에서 현상하기에 충분한 양으로 레지스트 조성물에 존재한다. 전형적으로, 매트릭스 폴리머는 조성물 내에 레지스트 조성물의 전체 고체 기준으로 50 내지 95 wt%의 양으로 존재한다. 매트릭스 폴리머의 중량평균분자량 Mw는 전형적으로 100,000 미만, 예를 들어 5,000 내지 100,000, 보다 전형적으로 5,000 내지 15,000이다.
B. 첨가제 폴리머
첨가제 폴리머는 매트릭스 폴리머의 표면 에너지보다 낮은 표면 에너지를 갖고 매트릭스 폴리머와 실질적으로 비-혼화성이어야 하는 물질이다. 이런 식으로, 코팅 공정 도중 적용된 포토레지스트 층의 최상부 또는 상부로의 제1 첨가제의 분리 또는 이동이 촉진된다.
또한, 첨가제 폴리머는 산-불감성이다. 이는 첨가제 폴리머가 포토레지스트 조성물 층의 일부로서 소프트베이킹, 활성화 조사선에의 노광 및 노광후 베이킹 후에 포토애시드 발생제로부터 발생된 산과 반응하지 않음을 의미한다. 따라서, 첨가제 폴리머는 포지티브-작용성 화학적으로 증폭된 포토레지스트의 매트릭스 폴리머에 전형적으로 포함되는 기인 포토애시드-불안정성 기, 예컨대 포토애시드-불안정성 에스테르 또는 아세탈 기를 함유하지 않아야 한다. 코팅 도중 레지스트 표면으로 첨가제 폴리머가 이동하고 첨가제 폴리머는 산-비활성이므로, 포토마스크에 의해 차단된 레지스트 영역에서 미광(stray light)의 존재에 의해 야기되는 트렌치(trench) 형성에서의 마이크로-브릿지 결함(micro-bridge defect) 및 접촉 홀 상실 결함(missing contact hole defect)이 최소화되거나 방지된다.
첨가제 폴리머는 또한 실리콘 및 불소를 함유하지 않는다. 실리콘-함유 폴리머는 특정 에천트(etchant)에서 유기 포토레지스트 폴리머보다 상당히 낮은 에칭 속도(etch rate)를 나타낸다. 그 결과, 에칭 공정 도중 유기 매트릭스 폴리머-기반 레지스트 층 표면에서의 실리콘-함유 첨가제 폴리머의 응집(aggregation)이 콘 결함(cone defect)을 야기할 수 있다. 따라서, 첨가제 폴리머는 실리콘을 함유하지 않는 것이 바람직하다. 유사하게, 불소-함유 첨가제 폴리머를 피하는 것이 바람직하다. 이와 관련하여, 특정 불소-기반 폴리머의 소수성은 네거티브 톤 현상에 유용한 유기 용매에서의 제한된 용해도로 인해 문제를 야기할 수 있다. 더욱이, 환경 목적상 불화(불소화) 물질의 사용을 줄이는 것이 바람직하다.
바람직한 첨가제 폴리머는 포토레지스트 조성물을 제제화하기 위해 사용된 동일한 유기 용매(들)에 용해된다. 바람직한 첨가제 폴리머는 또한 노광 후 베이킹시에(예를 들면 120 ℃에서 60 초) 네거티브 톤 현상 공정에 사용된 유기 현상제에 용해되거나 용해되기 시작할 것이다.
첨가제 폴리머는 선형, 분지형 또는 고분지형일 수 있다. 본 원에서 "고분지형 폴리머"로는 IUPAC 명명하에 "고분지형 폴리머"로 불리는 물질이 포함된다 (IUPAC. Compendium of Macromolecular Nomenclature (The Purple Book); Metanomski, W. V., Ed.; Blackwell Scientific Publications, Oxford, UK, 1991 참조). 요컨대, 이 명명법하에서, 고분지형 폴리머는 각각 2를 초과한 공유결합을 가지는 구조상 반복 단위(또는 IUPAC에 따른 구성 반복 단위)를 포함한다. 특히 바람직한 고분지형 폴리머는 최소(예를 들면, 5, 4, 3, 2 또는 1 중량% 미만)의 방향족 함량을 갖거나, 방향족을 전혀 함유하지 않는다. 하나 이상의 아크릴레이트 반복 단위를 가지는 고분지형 폴리머가 많은 응용에 특히 적합하다. 다작용성 아크릴레이트 모노머, 예를 들면 트리메틸프로판 트리아크릴레이트 (TMPTA)와 같은 비닐 그룹을 다수 가지는 아크릴레이트 모노머로부터 형성된 첨가제 폴리머 또한 바람직하다.
제2 폴리머는 하기 일반식 (I)을 가지는 모노머로부터 형성된다:
Figure pat00003
상기 식에서,
P는 중합성(polymerizable) 작용기이고;
Z는, 임의로 -O-, -S-, -COO- 및 -CONR1-로부터 선택된 하나 이상의 연결 부위(linking moiety)를 갖는, 임의로 치환된 선형 또는 분지형 지방족 및 방향족 탄화수소 및 그 조합으로부터 선택된 스페이서 단위(spacer unit)이고, 여기서 R1은 수소, 및 치환 및 비치환 C1 내지 C10 선형, 분지형 및 시클릭 탄화수소, 바람직하게는 알킬로부터 선택되며;
n은 0 내지 5의 정수이고;
R은 치환 및 비치환 C1 내지 C20, 전형적으로 C1 내지 C6, 선형, 분지형 및 시클릭 탄화수소, 바람직하게는 알킬로부터 선택된다.
R은, 예를 들어, 식 CnH2n+1로 나타내어질 수 있으며, 여기서 n은 1 내지 20, 전형적으로 1 내지 6의 정수이다.
중합성 작용기 P는, 예를 들어 하기 일반식 (I-A), (I-B) 및 (I-C)로부터 선택될 수 있다:
Figure pat00004
Figure pat00005
Figure pat00006
상기 식에서,
R2는 수소, 및 치환 및 비치환 C1 내지 C3 알킬로부터 선택되고;
X는 산소이거나 또는 식 NR3로 표시되며, 여기서 R3은 수소, 및 치환 및 비치환 C1 내지 C10 선형, 분지형 및 시클릭 탄화수소로부터 선택되며;
R4는 수소 및 치환 및 비치환 C1 내지 C3 알킬로부터 선택되고;
m은 0 내지 3의 정수이다.
본 발명의 포토레지스트 조성물에 사용하기에 적합한 첨가제 폴리머 및 첨가제 폴리머를 제조하기 위한 모노머는 상업적으로 입수할 수 있고/있거나, 당업자들이 제조할 수 있다. 일반식 (I)의 적합한 모노머로서 예시할 수 있는 것은 후술하는 것을 들 수 있으나, 이들 구조에 한정되지는 않는다. 이들 구조에서, "R2" 및 "X"는 상기 정의된 바와 같다.
Figure pat00007
Figure pat00008
Figure pat00009
Figure pat00010
Figure pat00011
이들 구조에서, 구조 I-1 내지 I-4 및 I-78 내지 I-82의 모노머가 바람직하다.
하나 이상의 첨가제 폴리머는 전형적으로 포토레지스트 조성물에 비교적 소량이지만 여전히 효과적인 결과를 제공하는 양으로 존재할 수 있다. 첨가제 폴리머의 함량은, 예를 들어, 리소그래피가 건식 또는 침지형 공정인지에 따라 달라질 수 있다. 예를 들어, 침지 리소그래피의 첨가제 폴리머 하한은 일반적으로 레지스트 성분의 침출 방지 필요성에 좌우된다. 고 첨가제 폴리머 함량은 전형적으로 패턴 붕괴로 이어진다. 하나 이상의 폴리머 첨가제는 전형적으로 본 발명의 조성물중에 포토레지스트 조성물의 총 고체를 기준으로 0.1 내지 10 wt%, 더욱 전형적으로 1 내지 5 wt%의 양으로 존재한다. 첨가제 폴리머의 중량평균 분자량은 전형적으로 400,000 미만, 예를 들어 5000 내지 50,000이다.
C. 포토애시드 발생제
감광성 조성물은 추가로 활성화 조사선에 노광시 조성물의 코팅층에 잠상(latent image)을 생성하기에 충분한 양으로 사용되는 포토애시드 발생제(PAG)를 포함한다. 예를 들면, 포토애시드 발생제는 포토레지스트 조성물의 총 고체분의 약 1 내지 20 wt%의 양으로 적절히 존재한다. 전형적으로, 화학증폭형 레지스트에는 광활성 성분이 더 적은 것이 적합하다.
적합한 PAG는 화학증폭 포토레지스트 업계에 공지되었으며, 예를 들어 오늄염, 예를 들면, 트리페닐설포늄 트리플루오로메탄설포네이트, (p-tert-부톡시페닐)디페닐설포늄 트리플루오로메탄설포네이트, 트리스(p-tert-부톡시페닐)설포늄 트리플루오로메탄설포네이트, 트리페닐설포늄 p-톨루엔설포네이트; 니트로벤질 유도체, 예를 들어, 2-니트로벤질 p-톨루엔설포네이트, 2,6-디니트로벤질 p-톨루엔설포네이트, 및 2,4-디니트로벤질 p-톨루엔설포네이트; 설폰산 에스테르, 예를 들어, 1,2,3-트리스(메탄설포닐옥시)벤젠, 1,2,3-트리스(트리플루오로메탄설포닐옥시)벤젠, 및 1,2,3-트리스(p-톨루엔설포닐옥시)벤젠; 디아조메탄 유도체, 예를 들어, 비스(벤젠설포닐)디아조메탄, 비스(p-톨루엔설포닐)디아조메탄; 글리옥심 유도체, 예를 들어, 비스-O-(p-톨루엔설포닐)-α-디메틸글리옥심, 및 비스-O-(n-부탄설포닐)-α-디메틸글리옥심; N-히드록시이미드 화합물의 설폰산 에스테르 유도체, 예를 들어, N-히드록시숙신이미드 메탄설폰산 에스테르, N-히드록시숙신이미드 트리플루오로메탄설폰산 에스테르; 및 할로겐-함유 트리아진 화합물, 예를 들어, 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진 및 2-(4-메톡시나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진을 포함한다. 하나 이상의 상기 PAG가 사용될 수 있다.
D. 용매
본 발명의 포토레지스트 조성물에 적합한 용매로는, 예를 들어 2-메톡시에틸 에테르 (디글림), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르와 같은 글리콜 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 메틸 락테이트 및 에틸 락테이트와 같은 락테이트; 메틸 프로피오네이트, 에틸 프로피오네이트, 에틸 에톡시 프로피오네이트 및 메틸 2-히드록시 이소부티레이트와 같은 프로피오네이트; 메틸 셀로솔브 아세테이트와 같은 셀로솔브(Cellosolve) 에스테르; 톨루엔 및 크실렌과 같은 방향족 탄화수소; 및 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논과 같은 케톤이 포함된다. 상술된 2, 3 또는 그 이상의 용매의 용매 블렌드도 적합하다. 용매는 전형적으로, 포토레지스트 조성물의 고체 함량의 90 내지 99 wt%, 더욱 전형적으로 95 내지 98 wt%의 양으로 조성물중에 존재한다.
E. 임의 성분
포토레지스트 조성물은 또한 기타 임의적인 성분을 포함할 수 있다. 예를 들어, 네거티브-작용성 레지스트 조성물은 또한 전형적으로 가교 성분을 포함한다. 적합한 가교 성분은, 예를 들어 산 노광시 경화, 교차 또는 고화하는 아민계 물질, 예컨대 멜라민 수지를 포함한다. 바람직한 가교제는 멜라민, 글리콜우릴 등을 포함하는 아민계 물질, 벤조구아나민계 물질 및 우레아계 물질들을 포함한다. 멜라민-포름알데하이드 수지가 일반적으로 가장 바람직하다. 가교제는 상업적으로 입수가능하며, 예컨대 아메리칸 시아나미드에서 상표명 Cymel 300, 301 및 303으로 시판하는 멜라민 수지; 아메리칸 시아나미드에서 상표명 Cymel 1170, 1171, 1172로 시판중인 글리콜우릴 수지; 상표명 Beetle 60, 65 및 80으로 시판중인 우레아계 수지; 및 상표명 Cymel 1123 및 1125로 시판중인 벤조구아나민 수지를 들 수 있다. 서브-200 nm 파장, 예컨대 193 nm에서 이미징하는데 바람직한 네거티브-작용성 포토레지스트는 쉬플리 컴퍼니에 의한 WO 03077029호에 개시되어 있다.
포지티브- 또는 네거티브-작용성 조성물에 대한 기타 임의적 첨가제로는, 예를 들어, 화학선 염료, 조영제, 줄무늬 방지제(anti-striation agent), 가소제, 속도 증강제(speed enhancer), 감광제 등을 포함한다. 이러한 임의의 첨가제는 사용될 경우, 전형적으로 낮은 농도(minor concentration)로 포토레지스트 조성물 내에 존재할 것이나, 필러(filler) 및 염료(dye)는 예외로서 상대적으로 높은 농도, 예컨대 포토레지스트 조성물의 총 고체를 기준으로 5 내지 30 wt%의 양으로 존재할 수 있다.
본 발명의 레지스트 조성물에 바람직한 임의 첨가제는 첨가 염기로서, 예를 들면, 현상 레지스트 릴리프 이미지의 해상도를 높일 수 있는 카프로락탐이다. 첨가 염기는 비교적 소량, 예를 들어, PAG에 대해 1 내지 20 wt%, 더욱 전형적으로 5 내지 15 wt%의 양으로 적절히 사용된다. 그밖의 적합한 염기성 첨가제로는 알킬 아민, 예컨대 트리프로필아민 및 도데실아민, 아릴 아민, 예컨대 디페닐아민, 트리페닐아민, 아미노페놀, 2-(4-아미노페닐)-2-(4-히드록시페닐)프로판 등을 들 수 있다.
포토레지스트 조성물의 제조
본 발명에 따라 사용되는 포토레지스트는 공지된 방법에 따라 일반적으로 제조된다. 예를 들어, 포토레지스트의 성분을 적절한 용매, 예컨대, 2-메톡시에틸 에테르 (디글림), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르와 같은 글리콜 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 에틸 락테이트 또는 메틸 락테이트와 같은 락테이트(에틸 락테이트가 바람직하다); 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트 및 에틸 에톡시 프로피오네이트; 메틸 셀로솔브 아세테이트와 같은 셀로솔브 에스테르; 톨루엔 또는 크실렌과 같은 방향족 탄화수소; 또는 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논과 같은 케톤중 하나 이상에 용해시킴으로써 코팅 조성물로서 제조될 수 있다. 바람직한 포토레지스트의 총 고체 함량은 조성물내 특정 폴리머, 최종층 두께 및 노과 파장과 같은 인자에 따라 달라질 것이다. 전형적으로, 포토레지스트의 고체 함량은 포토레지스트 조성물의 총중량을 기준으로 약 2 내지 25 wt%로 변한다.
네거티브 톤 현상법
본 발명은 또한 본 발명의 포토레지스트를 사용한 포토레지스트 릴리프 이미지의 형성방법 및 전자 디바이스의 제조방법을 제공한다. 본 발명은 또한 본 발명의 포토레지스트로 코팅된 기판을 포함하는 신규 제품을 제공한다. 이하 본 발명이 네거티브 톤 현상으로 포토리소그래픽 패턴을 형성하기 위한 제1 예시 공정 흐름도를 나타내는 도 1A-E를 참조하여 설명된다.
도 1A는 다양한 층 및 피처를 포함할 수 있는 기판(100)의 횡단면을 도시한 것이다. 기판은 예컨대 실리콘 또는 화합물 반도체(예를 들어, III-V 또는 II-VI)와 같은 반도체, 유리, 석영, 세라믹, 구리 등과 같은 물질일 수 있다. 전형적으로, 기판은 단결정 실리콘 또는 화합물 반도체 웨이퍼와 같은 반도체 웨이퍼이며, 그의 표면상에 형성된 하나 이상의 층 및 패턴화된 피처를 가질 수 있다. 패턴화될 하나 이상의 층(102)이 기판(100) 위에 제공될 수 있다. 임의로는, 예를 들어 기판 물질 내에 트렌치(trenches)를 형성하고자 할 경우, 하부 베이스 기판 물질 자체도 패턴화될 수 있다. 베이스 기판 물질 자체를 패터닝할 경우, 패턴은 기판의 층 내에 형성될 것으로 판단된다.
상기 층은, 하나 이상의 전도층, 예컨대 알루미늄층, 구리층, 몰리브덴층, 탄탈륨층, 티타늄층, 텅스텐층, 이들 금속의 합금층, 니트라이드층 또는 실리사이드층(silicides), 도핑된 무정형 실리콘층 또는 도핑된 폴리실리콘층, 하나 이상의 유전체층, 예컨대 실리콘 옥사이드층, 실리콘 니트라이드층, 실리콘 옥시니트라이드층, 또는 금속 옥사이드층, 단결정 실리콘과 같은 반도체층 및 이들의 조합을 포함할 수 있다. 에칭될 층은 플라즈마-증강 CVD, 저압력 CVD 또는 에피택셜 성장과 같은 화학 증착법(CVD); 스퍼터링 또는 증발과 같은 물리적 증착법(PVD); 또는 일렉트로플레이팅법 등과 같은 다양한 기술로 형성될 수 있다. 에칭될 하나 이상의 층(102)의 특정 두께는 형성될 물질 및 장비에 의존하여 변경될 것이다.
에칭될 특정 층, 필름 두께 및 사용될 포토리소그래피 물질 및 방법에 따라, 층(102) 위에 하드 마스크층(104) 및/또는 바닥 반사방지 코팅(BARC; 106)을 배치하고 그 위에 포토레지스트층(108)이 코팅되는 것이 필요할 수 있다. 하드마스크층은, 예를 들면, 매우 얇은 레지스트층과 함께 에칭될 층이 상당한 에칭 깊이를 필요로하고/거나 특정 부식제가 레지스트 선택성이 낮은 경우, 그 사용이 필요할 수 있다. 하드마스크층이 사용되는 경우, 형성되는 레지스트 패턴은 하드마스크층으로 이송될 수 있으며, 이는 차례로 하부층(102)을 에칭하는 마스크로 사용될 수 있다. 적합한 하드마스크 물질 및 형성 방법은 본 기술분야에 알려져 있다. 대표적인 물질은, 예를 들면, 텅스텐, 티타늄, 티타늄 니트라이드, 티타늄 옥사이드, 지르코늄 옥사이드, 알루미늄 옥사이드, 알루미늄 옥시니트라이드, 하프늄 옥사이드, 무정형 카본, 실리콘 옥시니트라이드 및 실리콘 니트라이드를 포함한다. 하드마스크층(104)은 단일 또는 복수의 상이한 물질층을 포함할 수 있다. 하드마스크층은 예를 들면, 화학적 또는 물리적 증착기술에 의해 형성될 수 있다.
기판 및/또는 하부층이 포토레지스트 노광 동안 입사광선의 상당량을 반사하여 형성되는 패턴의 품질에 유해한 영향을 줄 수 있는 경우, 바닥 반사방지 코팅(106)이 필요할 수 있다. 이러한 코팅은 초점 심도, 노출 위도, 라인폭의 균일성 및 CD 조절을 개선할 수 있다. 반사방지 코팅은 일반적으로 레지스트가 심자외선(300 nm 이하), 예를 들면 KrF 엑시머 레이저광(248 nm), ArF 엑시머 레이저광(193 nm)에 노광될 경우 사용된다. 반사방지코팅(106)은 단일 또는 복수의 상이한 층을 포함할 수 있다.
적합한 반사방지물질과 제조방법은 당분야에 알려져 있다. 반사방지물질은, 예를 들면 Rohm and Haas Electronic Materials LLC (Marlborough, MA USA)가 ARTM 상표로 판매하는 제품을 구입할 수 있으며, 예를 들면 ARTM40A 및 ARTM124 반사방지물질 등이 있다.
여기에 기술된 포토레지스트 조성물을 반사방지층(106)(존재한다면) 위의 기판에 적용하여 포토레지스트층(108)을 형성한다. 포토레지스트 조성물을 스핀 코팅, 딥핑, 롤러 코팅 또는 다른 일반적인 코팅방법에 의해 기판에 적용할 수 있다. 이들 중에서 스핀 코팅이 전형적이다. 스핀 코팅에 있어서, 코팅 용액 중의 고체 함량을 조절하여 사용되는 특정한 코팅 장치, 용액의 점도, 코팅 장비의 속도 및 스피닝에 허용되는 시간에 따라 원하는 필름 두께를 제공할 수 있다. 포토레지스트층(108)의 전형적인 두께는 약 500 내지 3000 Å이다.
다음으로, 포토레지스트층을 소프트베이킹하여 층 내의 용매 함량을 최소화하고, 고착건조(tack-free) 코팅을 형성하여 기판에 대한 층의 점착을 개선한다. 소프트베이킹은 핫플레이트 상에서 또는 오븐에서 수행할 수 있으며, 핫플레이트가 전형적이다. 소프트베이킹 시간과 온도는, 예를 들면 포토레지스트의 미립자 물질 및 두께에 따라 달라질 수 있다. 전형적인 소프트베이킹은 약 90 내지 150 ℃의 온도에서 약 30 내지 90초 동안 수행한다.
본 발명의 방법은 다양한 이미지 파장, 예를 들면 서브-400nm, 서브-300 또는 서브-200nm 노광 파장의 파장을 가지는 조사선과 사용될 수 있으며, 248nm와 193nm가 전형적인 노광 파장이다. 예시적인 측면에서, 포토레지스트는 서브-200nm 파장, 예를 들면 193nm를 사용하고 이미지화하는데 적합하다. 이러한 파장에서, 이 방법은 침지 또는 건조(비침지) 리소그래피 방법에서 사용할 수 있다. 침지 리소그래피에서는, 포토레지스트 조성물이 탑코트 층에 의한 작용, 예를 들면 광학 렌즈의 오염과 효과적인 굴절률 및 침지액의 투과성의 변화를 유발할 수 있는 포토레지스트 성분의 침지액으로의 유출을 방지할 수 있기 때문에 탑코트 층의 사용이 불필요하다. 상기한 바와 같이, 이러한 효과는 첨가 폴리머가 레지스트 코팅층의 상부 표면으로 이동하여 실질적으로 첨가 폴리머로 제조되는 표면층을 형성하기 때문인 것으로 생각된다.
다음으로, 포토레지스트층(108)은 제1 포토마스크(112)를 통해 활성 조사선 (110)에 노광되어 노광된 영역과 노광되지 않은 영역 사이에서 용해도 차를 생성한다. 여기에서 조성물을 활성화하는 조사선에 포토레지스트 조성물을 노광한다는 것은 조사선이 포토레지스트 조성물에서 잠상을 형성할 수 있음을 나타낸다. 포토마스크는 예시된 바와 같은 포지티브 활성 물질의 후속 현상 단계에서 각각 남는 것과 제거되는 레지스트층의 영역에 해당하는 광학적으로 투명한 영역과 광학적으로 불투명한 영역(113, 114)을 가진다. 본 발명의 리소그래피 시스템의 전형적인 이미지화 파장은 상기한 바와 같으며, 서브-200nm 파장, 예를 들면 193nm가 바람직하다. 노광 에너지는 노광 장비 및 광감작성 조성물의 성분에 따라 약 20 내지 80 mJ/cm2이다.
도 1B에 나타낸 바와 같이, 노광된 레지스트층은 노광되지 않은 영역과 노광된 영역(108a, 108b)으로 구성된다. 포토레지스트층(108)을 노광한 후, 노광후 베이킹(PEB)을 수행한다. PEB는, 예를 들면 핫플레이트 또는 오븐에서 수행할 수 있다. PEB의 조건은, 예를 들면 포토레지스트층의 미립자 물질과 두께에 따라 달라질 수 있다. PEB는 전형적으로 약 80 내지 150 ℃의 온도에서 30 내지 90초 동안 수행한다.
다음으로, 노광된 포토레지스트층을 현상하여 도 1C에 나타낸 바와 같이 노광되지 않은 영역(108a)을 제거하고, 노광된 영역(108b)을 탈리하여 레지스트 패턴을 형성한다. 현상제는 전형적으로 유기 현상제, 예를 들면 케톤, 에스테르, 에테르, 탄화수소 및 이들의 혼합물에서 선택되는 용매이다. 적합한 케톤 용매는, 예를 들면 아세톤, 2-헥사논, 5-메틸-2-헥사논, 2-헵타논, 4-헵타논, 1-옥타논, 2-옥타논, 1-노나논, 2-노나논, 디이소부틸 케톤, 시클로헥사논, 메틸시클로헥사논, 페닐아세톤, 메틸 에틸 케톤 및 메틸 이소부틸 케톤 등이다. 적합한 에스테르 용매는, 예를 들면 메틸 아세테이트, 부틸 아세테이트, 에틸 아세테이트, 이소프로필 아세테이트, 아밀 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 디에틸렌 글리콜 모노부틸 에테르 아세테이트, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, 에틸-3-에톡시프로피오네이트, 3-메톡시부틸 아세테이트, 3-메틸-3-메톡시부틸 아세테이트, 메틸 포르메이트, 에틸 포르메이트, 부틸 포르메이트, 프로필 포르메이트, 에틸 락테이트, 부틸 락테이트 및 프로필 락테이트 등이다. 적합한 에테르 용매는, 예를 들면 디옥산, 테트라하이드로푸란 및 글리콜 에테르 용매, 예를 들면 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르 및 메톡시메틸 부탄올 등이다. 적합한 아미드 용매는, 예를 들면 N-메틸-2-피롤리돈, N,N-디메틸아세트아미드 및 N,N-디메틸포름아미드 등이다. 적합한 탄화수소 용매는, 예를 들면 방향족 탄화수소 용매, 예를 들면 톨루엔, 크실렌 등이다. 또한 이 용매들의 혼합물, 또는 1 이상의 열거된 용매와 상기한 용매 이외의 용매 또는 물과의 혼합물을 사용할 수 있다. 이들 중에서 2-헵타논과 5-메틸-2-헥사논이 특히 바람직하다. 다른 적합한 용매로는 포토레지스트 조성물에 사용되는 용매를 사용할 수 있다.
용매는 실질적으로 순수물로서, 예를 들면 현상제의 전체 중량에 대하여 95 wt%를 초과, 98 wt%를 초과하거나 또는 99 wt%를 초과하는 양으로 존재할 수 있다. 용매의 혼합물을 현상제에서 사용하는 경우에 용매의 끓는점은 바람직하게 유사하다. 현상제의 용매는 전형적으로 현상제의 전체 중량에 대하여 50 wt% 내지 100 wt%, 더욱 전형적으로 80 wt% 내지 100 wt%의 양으로 존재한다.
현상제 물질은 임의의 첨가제, 예를 들면 포토레지스트와 관련하여 상기한 바와 같은 계면활성제 등을 포함할 수 있다. 이러한 임의의 첨가제는 전형적으로 미량 농도로 존재할 수 있으며, 예를 들면 현상제의 전체 중량에 대하여 약 0.01 내지 5 wt%의 양으로 존재한다.
현상제는 공지된 방법, 예를 들면 스핀 코팅 또는 퍼들(puddle) 코팅 등에 의해 기판에 적용할 수 있다. 현상시간은 포토레지스트의 노광되지 않은 영역을 제거하는데 효과적인 시간 동안이며, 5 내지 30초가 전형적이고, 전형적으로 실온에서 수행한다.
바람직하게, 현상과정은 현상 후에 클리닝 린스를 사용하지 않고 수행할 수 있다. 이와 관련하여, 현상과정이 추가의 린스 단계를 필요로 하지 않는 잔류물이 없는 웨이퍼 표면을 생성할 수 있는 것을 확인하였다.
BARC층(106)은, 존재할 경우, 에치 마스크로서 레지스트 패턴(108b)을 사용하여 선택적으로 에칭되어 도 1D에 나타낸 바와 같이 하위의 하드마스크층(104)을 노출한다. 이어서 하드마스크층은 다시 에치 마스크로서 레지스트 패턴(108b)을 사용하여 선택적으로 에칭되어, 패턴화된 BARC와 하드마스크층(106', 104')을 생성한다. BARC층과 하드마스크층을 에칭하는 적합한 에칭방법과 화학처리방법은 당분야에 알려져 있으며, 예를 들면 이러한 층들의 미립자 물질에 따라 달라진다. 반응성 이온 에칭과 같은 드라이에칭 방법이 전형적이다. 다음으로 레지스트 패턴(108b)과 패턴화된 BARC층(106')을 공지된 방법, 예를 들면 산소 플라즈마 애쉬를 사용하여 기판에서 제거한다.
에치 마스크로서 하드마스크 패턴(104')을 사용하여, 1 이상의 층(102)을 선택적으로 에칭한다. 하위층(102)을 에칭하기 위한 적합한 에칭방법과 화학적처리방법은 당분야에 알려져 있으며, 반응성 이온 에칭과 같은 드라이에칭 방법이 전형적이다. 패턴화된 하드마스크층(104')은 종래의 방법, 예를 들면 반응성 이온 에칭과 같은 드라이에칭 방법을 사용하여 기판 표면에서 제거할 수 있다. 생성된 구조는 도 1e에 나타낸 바와 같이 에칭된 피쳐(feature)(102')의 패턴이다. 예시적인 대안 방법에 있어서, 층(102)을 레지스트 패턴(108b)을 사용하여 하드마스크층(104) 없이 직접 패턴화하는 것이 바람직하다. 직접 패턴화를 적용할 것인지는 관련 물질, 레지스트 선택성, 레지스트 패턴 두께 및 패턴 디멘젼 등의 인자에 따라 결정된다.
본 발명의 네가티브 톤 현상방법은 상기한 예시적인 방법에 제한되지 않는다. 예를 들면, 본 발명의 포토레지스트 조성물은 접촉홀을 제조하기 위한 네가티브 톤 현상 이중 노광법에서도 사용될 수 있다. 이러한 예시적인 방법은 도 1을 참조로 하여 기술된 방법의 변형이지만, 제1 노광과는 상이한 패턴으로 포토레지스트층의 추가 노광을 사용한다. 이 방법에서 포토레지스트층은 화학방사선에 포토마스크를 통해 제1 노광단계에서 노광된다. 포토마스크는 마스크의 불투명 영역을 형성하는 일련의 평행선을 포함한다. 제1 노광 후에, 포토레지스트층의 제2 노광은 제1 포토마스크의 선들과 수직하는 방향의 일련의 선들을 포함하는 제2 포토마스크를 통해 수행된다. 이 패턴은 제1 포토마스크를 90°회전하여 간단하게 만들 수 있다. 얻어진 포토레지스트층은 노광되지 않은 영역, 1회 노광된 영역 및 2회 노광된 영역을 포함한다.
2차 노광 후에, 포토레지스트층은 노광후 베이킹하고 상기한 바와 같은 현상제를 사용하여 현상된다. 2개 마스크의 선들의 교차점에 해당하는 노광되지 않은 영역을 제거하고 레지스트의 1회 및 2회 노광된 영역 뒤에 남긴다. 생성 구조를 도 1을 참조하여 상기한 바와 같이 패턴화할 수 있다. 이 방법은 특히 전자장치의 제조에서 콘택트 홀의 형성에 적합하다.
실시예
매트릭스 폴리머 합성
다음 모노머들을 하기한 실시예에서 매트릭스 폴리머의 합성에 사용하였다:
Figure pat00012
실시예 1: MAMA/α-GBLMA/MNLMA 매트릭스 폴리머 합성
27.48g의 MAMA, 15.96g의 α-GBLMA, 및 6.57g의 MNLMA를 62g의 PGMEA에 용해하였다. 혼합물을 20분 동안 질소 폭기하여 탈기하였다. 콘덴서, 질소 주입구 및 기계적 교반기가 장착된 500mL 플라스크에 35g의 PGMEA를 채우고 용액의 온도를 80℃가 되게 하였다. 2.0g의 PGMEA 중에 용해된 2.52g의 V-601 아조 개시제 (디메틸-2,2'-아조비스(2-메틸프로피오네이트) (Wako Specialty Chemicals)를 플라스크에 투입하였다. 모노머 용액을 반응기에 27.42mL/h의 속도로 공급하였다. 1시간 후에, 2.0g의 PGMEA에 용해된 1.26g의 V-601 아조 개시제를 반응기에 첨가하고 다시 3시간 동안 모노머를 공급하였다. 모노머 공급이 완료된 후, 중합반응 혼합물을 추가로 3시간 동안 80℃에서 교반하였다. 7시간의 중합반응(4시간 동안의 공급, 3시간 동안의 교반) 후에, 중합반응 혼합물을 실온으로 냉각하였다. 2.0L의 이소프로필 알코올 중에서 침전을 수행하였다. 여과한 후, 폴리머를 건조하고 162g의 THF에 다시 용해한 다음, 3.2L의 이소프로필 알코올로 다시 침전시키고, 여과한 후, 진공 오븐에서 45℃에서 48 시간 동안 건조하여 다음과 같은 매트릭스 폴리머 A (Mw = 6,498 및 Mw/Mn = 1.62) 41.5g을 얻었다:
Figure pat00013
실시예 2: IPAMA/MAMA/α-GBLMA/MNLMA 매트릭스 폴리머 합성
14.47g의 IPAMA, 18.09g의 MAMA, 11.26g의 α-GBLMA, 및 6.18g의 MNLMA를 62g의 PGMEA에 용해하였다. 혼합물을 20분 동안 질소 폭기하여 탈기하였다. 콘덴서, 질소 주입구 및 기계적 교반기가 장착된 500mL 플라스크에 35g의 PGMEA를 채우고 용액의 온도를 80℃가 되게 하였다. 2.0g의 PGMEA 중에 용해된 2.03g의 V-601 아조 개시제를 플라스크에 충전하였다. 모노머 용액을 반응기에 27.42mL/h의 속도로 공급하였다. 1시간 후에, 2.0g의 PGMEA에 용해된 1.01g의 V-601 아조 개시제를 반응기에 첨가하고 다시 3시간 동안 모노머를 공급하였다. 모노머 공급이 완료된 후, 중합반응 혼합물을 추가로 3시간 동안 80℃에서 교반하였다. 7시간의 중합반응(4시간 동안의 공급, 3시간 동안의 교반) 후에, 중합반응 혼합물을 실온으로 냉각하였다. 2.0L의 이소프로필 알코올 중에서 침전을 수행하였다. 여과한 후, 폴리머를 건조하고 134g의 THF에 다시 용해한 다음, 2.7L의 이소프로필 알코올로 다시 침전시키고, 여과한 후, 진공 오븐에서 45℃에서 48 시간 동안 건조하여 다음과 같은 매트릭스 폴리머 B (Mw = 7,814 및 Mw/Mn = 1.65) 36.0g을 얻었다:
Figure pat00014
실시예 3: IPAMA/MCPMA/α-GBLMA/MNLMA 매트릭스 폴리머 합성
51.56g의 IPAMA, 46.28g의 MCPMA, 40.13g의 α-GBLMA, 및 22.03g의 MNLMA를 88g의 THF에 용해하였다. 혼합물을 20분 동안 질소 폭기하여 탈기하였다. 콘덴서, 질소 주입구 및 기계적 교반기가 장착된 500mL 플라스크에 56g의 THF를 채우고 용액의 온도를 67℃가 되게 하였다. 25g의 THF 중에 용해된 25.34g의 V-601 아조 개시제를 플라스크에 충전하였다. 모노머 용액을 반응기에 68.79mL/h의 속도로 공급하였다. 모노머를 3시간 30분 동안 공급하였다. 모노머 공급이 완료된 후, 중합반응 혼합물을 추가로 30분 동안 67℃에서 교반하였다. 4시간의 중합반응(3시간 30분 동안의 공급, 30분 동안의 교반) 후에, 80g의 THF를 첨가하고 중합반응 혼합물을 실온으로 냉각하였다. 5.0L의 이소프로필 알코올 중에서 침전을 수행하였다. 여과한 후, 폴리머를 건조하고 417g의 THF에 다시 용해한 다음, 8.3L의 이소프로필 알코올로 다시 침전시키고, 여과한 후, 진공 오븐에서 45℃에서 48 시간 동안 건조하여 다음과 같은 매트릭스 폴리머 C (Mw = 8,895 및 Mw/Mn = 1.67) 113.3g을 얻었다:
Figure pat00015
실시예 4: MAMA/α-GBLMA/CNNMA 매트릭스 폴리머 합성
25.46g의 MAMA, 13.15g의 α-GBLMA, 및 11.40g의 CNNMA를 62g의 PGMEA에 용해하였다. 혼합물을 20분 동안 질소 폭기하여 탈기하였다. 콘덴서, 질소 주입구 및 기계적 교반기가 장착된 500mL 플라스크에 35g의 PGMEA를 채우고 용액의 온도를 80℃가 되게 하였다. 2.0g의 PGMEA 중에 용해된 1.33g의 V-601 아조 개시제를 플라스크에 충전하였다. 모노머 용액을 반응기에 27.42mL/h의 속도로 공급하였다. 1시간 후에, 2.0g의 PGMEA에 용해된 0.67g의 V-601 아조 개시제를 반응기에 첨가하고 다시 3시간 동안 모노머를 공급하였다. 모노머 공급이 완료된 후, 중합반응 혼합물을 추가로 3시간 동안 80℃에서 교반하였다. 총 7시간의 중합반응(4시간 동안의 공급, 3시간 동안의 교반) 후에, 중합반응 혼합물을 실온으로 냉각하였다. 2.0L의 이소프로필 알코올 중에서 침전을 수행하였다. 여과한 후, 폴리머를 건조하고 124g의 THF에 다시 용해한 다음, 2.6L의 이소프로필 알코올로 다시 침전시키고, 여과한 후, 진공 오븐에서 45℃에서 48 시간 동안 건조하여 다음과 같은 매트릭스 폴리머 D (Mw = 17,814 및 Mw/Mn = 1.66) 42.3g을 얻었다:
Figure pat00016
실시예 5: ECPMA/α-GBLMA/CNNMA 매트릭스 폴리머 합성
22.33g의 ECPMA, 14.82g의 α-GBLMA, 및 12.85g의 CNNMA를 62g의 PGMEA에 용해하였다. 혼합물을 20분 동안 질소 폭기하여 탈기하였다. 콘덴서, 질소 주입구 및 기계적 교반기가 장착된 500mL 플라스크에 35g의 PGMEA를 채우고 용액의 온도를 80℃가 되게 하였다. 2.0g의 PGMEA 중에 용해된 2.51g의 V-601 아조 개시제를 플라스크에 충전하였다. 모노머 용액을 반응기에 27.42mL/h의 속도로 공급하였다. 1시간 후에, 2.0g의 PGMEA에 용해된 1.25g의 V-601 아조 개시제를 반응기에 첨가하고 다시 3시간 동안 모노머를 공급하였다. 모노머 공급이 완료된 후, 중합반응 혼합물을 추가로 3시간 동안 80℃에서 교반하였다. 총 7시간의 중합반응(4시간 동안의 공급, 3시간 동안의 교반) 후에, 중합반응 혼합물을 실온으로 냉각하였다. 2.0L의 이소프로필 알코올 중에서 침전을 수행하였다. 여과한 후, 폴리머를 건조하고 135g의 THF에 다시 용해한 다음, 2.7L의 이소프로필 알코올로 다시 침전시키고, 여과한 후, 진공 오븐에서 45℃에서 48 시간 동안 건조하여 다음과 같은 매트릭스 폴리머 E (Mw = 8,654 및 Mw/Mn = 1.63) 43.6g을 얻었다:
Figure pat00017
첨가 폴리머 합성
첨가 폴리머를 다음 실시예에 기술된 바와 같이 제조하였다:
실시예 6: 폴리(nBMA) 첨가 폴리머 합성 (첨가 폴리머 A)
13.01g의 n-부틸 메타크릴레이트 (nBMA)를 7g의 THF에 용해하였다. 혼합물을 20분 동안 질소 폭기하여 탈기하였다. 콘덴서, 질소 주입구 및 기계적 교반기가 장착된 500mL 플라스크에 8g의 THF를 채우고 용액의 온도를 67℃가 되게 하였다. 2.11g의 V-601 아조 개시제(모노머에 대하여 10.0 mol%)를 2g의 THF에 용해하여 플라스크에 충전하였다. 모노머 용액을 반응기에 6.29mL/h의 속도로 공급하였다. 모노머를 3시간 30분 동안 공급하였다. 모노머 공급이 완료된 후, 중합반응 혼합물을 추가로 30분 동안 67℃에서 교반하였다. 4시간의 중합반응(3시간 30분 동안의 공급, 30분 동안의 교반) 후에, 7g의 THF를 반응기에 첨가하고 중합반응 혼합물을 실온으로 냉각하였다. 0.4L의 차가운 메탄올 중에서 침전을 수행하였다. 여과한 후, 폴리머를 진공 오븐에서 60℃에서 48 시간 동안 건조하여 표 1에 나타낸 바와 같은 폴리(n-부틸 메타크릴레이트) (Mw = 12,284 및 Mw/Mn = 1.79) (첨가 폴리머 A) 8.4g을 얻었다:
실시예 7: 폴리(iBMA) 부가 폴리머 합성 (부가 폴리머 B)
13.00g의 이소부틸 메타크릴레이트(iBMA)를 7g의 THF에 용해시켰다. 혼합물을 질소로 20분간 버블링하여 탈기시켰다. 콘덴서, 질소 주입구 및 기계적 교반기가 장착된 500mL 플라스크에 8g의 THF를 채우고, 용액을 67℃로 올렸다. 2.11g의 V-601 아조 개시제(모노머에 대하여 10.0몰%)를 2g의 THF에 용해시키고 플라스크에 투입하였다. 모노머 용액을 반응기에 6.29mL/h의 속도로 공급하였다. 모노머 공급은 3시간 30분 동안 실시되었다. 모노머 공급이 완료된 후, 중합 혼합물을 추가로 30분간 67℃에서 교반하였다. 4시간(3시간 30분의 공급 및 30분의 교반)의 중합 후, 7g의 THF를 반응기에 가하고 중합 혼합물을 실온으로 냉각하였다. 0.4L의 냉-에탄올 중에서 침전을 수행하였다. 여과후, 폴리머를 60℃의 진공 오븐 내에서 48시간 동안 건조시켜, 하기 표 1에 나타낸 바와 같이 7.8g의 폴리(이소부틸 메타크릴레이트)(Mw = 8,649 및 Mw/Mn = 1.62)(부가 폴리머 B)를 얻었다.
상업적 폴리(nBMA) 폴리머
포토레지스트 조성물을 배합하는 데에 사용하기 위하여, 하기 표 1에 나타낸 바와 같은 추가의 폴리(n-부틸 메타크릴레이트) 폴리머(부가 폴리머 C, D, E 및 F)를 Polymer Source Inc. (Dorval, Canada)로부터 입수하였다.
Figure pat00018
포토레지스트 조성물 제조
포토레지스트 조성물을 하기 예에 기재한 바와 같이 제조하였다:
비교예 1
실시예 6에 기재된 바와 같이 형성된 4.087g의 매트릭스 폴리머 A를 28.58g의 PGMEA, 19.053g의 시클로헥사논 및 47.58g의 메틸-2-히드록시이소부티레이트에 용해시켰다. 이 혼합물에 0.568g의 하기 "PAG A", 0.071g의 1-(tert-부톡시카보닐)-4-히드록시피페리딘 퀀처(quencher) 및 0.007g의 POLYFOX® PF-656 계면활성제(Omnova Solutions Inc.)를 가하였다. 결과 혼합물을 롤러 상에서 6시간 동안 롤링(roll)하고, 이어서 0.2 미크론의 공극 크기를 갖는 테플론 필터를 통해 여과하였다.
Figure pat00019
비교예 2-7 및 실시예 8-20
표 2에 나타낸 물질들 및 함량을 사용하여, 비교예 1에 기재한 것과 같은 방법으로 포토레지스트 조성물을 제조하였다.
Figure pat00020
리소그래피 평가
하기 예에 기재한 바와 같이 건식(dry) 또는 침지(immersion) 리소그래피에 의해 다양한 포토레지스트 조성물들을 처리하고 평가하였다.
비교예 1-3 및 실시예 8-18의 건식 리소그래피 평가
건식 리소그래피 평가는 200mm 실리콘 웨이퍼 상에서, 0.75의 최대 개구수(numerical aperture, NA)를 갖는 ASML/1100 스캐너에 링크된 TEL CleanTrack ACT 8을 사용하여 수행되었다. 실리콘 웨이퍼는 ARTM77 하부-반사방지 코팅(BARC) 물질(Rohm and Haas Electronic Materials)로 스핀-코팅되었으며, 205℃에서 60초간 베이킹하여 840Å의 필름 두께를 얻었다. 비교예 1-3 및 실시예 8-18의 포토레지스트 배합물들을 BARC-코팅된 웨이퍼 상에 코팅하고, 100℃에서 60초간 TEL CleanTrack ACT 8 코팅기/현상기 상에서 소프트-베이킹하여 1500Å 두께의 레지스트층을 얻었다.
이어서 포토레지스트-코팅된 웨이퍼를, 접촉홀 형성용 후패턴(post pattern)을 가진 마스크를 통해, 0.75 NA 및 0.89 외측 시그마(outer sigma)와 0.64 내측 시그마(inner sigma)를 가진 환형(annular) 조명 조건을 사용하여 노광하였다. 노광 단위량(dose)은 60mJ/cm2(비교예 1, 실시예 8-12), 52mJ/cm2(비교예 2, 실시예 13-17) 또는 37.52mJ/cm2(비교예 3, 실시예 18)이었다. 노광된 웨이퍼를 100℃(비교예 1-2, 실시예 8-17) 또는 95℃(비교예 3, 실시예 18)의 온도에서 60초간 노광후(post-exposure) 베이킹하고, 다음으로 2-헵타논을 사용하여 25초간 TEL CleanTrack ACT 8 코팅기/현상기 상에서 현상하였다. Hitachi S9380 CD SEM 상에서 임계치수(CD)를 다양한 마스크 CD 및 피치에서 측정하였다.
비교예에서 매트릭스 폴리머가 부가 폴리머 없이 사용되었을 때, 프린트된 접촉홀 패턴은, 프린트되었어야 하지만 없었던 패턴(즉, "실종 접촉홀")을 갖는 영역을 포함하였다. 또한, 프린트된 접촉홀은 일반적으로 모양이 불규칙(즉, 환형이 아님)하였고, 균일성이 낮았다. 매트릭스 폴리머에 더하여 특정 부가 폴리머를 포함하는, 본 발명에 따른 실시예로부터 얻어진 패턴들은 실종 접촉홀 패턴, 모양 및 CD 균일성의 측면에서 현저히 향상되었다.
비교예 4-7 및 실시예 19-27의 침지 리소그래피 평가
TEL CLEAN TRACK™ LITHIUS™ i+ 코팅기/현상기 상에서 300mm 실리콘 웨이퍼를 AR™40A 반사방지제(Rohm and Haas Electronic Materials)로 스핀-코팅하여 제1 하부 반사방지 코팅(BARC)을 형성하였다. 웨이퍼를 215℃에서 60초간 베이킹하여 840Å 두께의 제1 BARC 필름을 얻었다. 다음으로, AR™124 반사방지제(Rohm and Haas Electronic Materials)를 사용하여 제2 BARC 층을 제1 BARC 위에 코팅하고, 205℃에서 60초간 베이킹하여 200Å 두께의 상부 BARC 층을 생성하였다. 이중으로 BARC-코팅된 웨이퍼 상에 이어서 포토레지스트 배합물을 코팅하고, TEL CLEAN TRACK™ LITHIUS™ i+ 코팅기/현상기 상에서 100℃에서 60초간 소프트-베이킹(SB)하여 1000Å 두께의 레지스트층을 얻었다. 비교예 조성물들(부가 폴리머 미함유)로부터 만들어진 포토레지스트 층들은 노광 전에 OC™2000 탑코트 물질(Rohm and Haas Electronic Materials)의 층으로 코팅하여 포토레지스트 성분들이 침지액으로 유출(leaching)되는 것을 방지하였다. 본 발명의 조성물들(부가 폴리머 함유)로부터 만들어진 포토레지스트 층들은 탑코트 층 없이 처리되었다.
포토레지스트-코팅된 웨이퍼를, 후패턴(post pattern)을 가진 마스크를 통해, 1.35의 최대 NA를 갖고 회절성 광학 요소를 사용하는 ASML TWINSCAN™ XT:1900i 침지 스캐너 상에서 노광하였다. 각 레지스트 조성물 당 3개의 노광 조건들이 사용되었다. 환형 조명, 1.35 NA, 0.96 외측 시그마, 0.69 내측 시그마 및 XY 편광, 및 (ii) C-Quad 20 조명, 1.35 NA, 0.988 외측 시그마, 0.9 내측 시그마 및 XY 편광 각각이 단일 노광에 관여하여 접촉홀을 프린트하였다. 제3 노광 조건은 수직 방향으로 라인/스페이스 패턴의 이중 노광에 관여하여 접촉홀 이미지를 프린트하였다. 제1 노광은 1.35 NA, 0.97 외측 시그마, 0.82 내측 시그마 및 X 편광을 갖는 이중극(dipole) 조명을 사용하여 수행되었다. 제1 노광 단계 직후에, 다른 마스크를 사용하여 1.35 NA, 0.97 외측 시그마, 0.82 내측 시그마 및 Y 편광을 갖는 이중극(dipole) 조명으로 웨이퍼를 다시 노광하였다. 노광된 웨이퍼를 90℃에서 60초간 노광후-베이킹하고, 다음으로 2-헵타논을 사용하여 25초간 TEL CLEAN TRACK™ LITHIUS™ i+ 코팅기/현상기 상에서 현상하여 네거티브 톤 패턴을 얻었다. 접촉홀 패턴은 후패턴으로 얻어졌으며, 트렌치 패턴은 마스크 상의 라인 패턴으로 얻어졌다. Hitachi CG4000 CD SEM 상에서 임계치수(CD)를 다양한 마스크 CD 및 피치에서 측정하였다. 실시예 19-27의 포토레지스트 조성물들은 비교예 4-7에 비하여, 형성된 접촉홀 패턴의 보다 나은 원형성(circularity)을 제공하였다. 본 발명의 포토레지스트 조성물은 탑코트 층의 필요없이 사용될 수 있음을 또한 알아내었다.

Claims (12)

  1. 산 민감성인 제1 폴리머;
    하기 일반식 (I)을 갖는 모노머로부터 형성된 제2 폴리머;
    포토애시드 발생제; 및
    용매를 포함하며,
    상기 제2 폴리머는 산 불감성(acid insensitive)이고 불소 및 실리콘을 함유하지 않으며, 또한 상기 제2 폴리머는 상기 제1 폴리머의 표면 에너지보다 낮은 표면 에너지를 갖는, 포토레지스트 조성물:
    Figure pat00021

    상기 식에서,
    P는 중합성(polymerizable) 작용기이고;
    Z는, 임의로 -O-, -S-, -COO- 및 -CONR1-로부터 선택된 하나 이상의 연결 부위(linking moiety)를 갖는, 임의로 치환된 선형 또는 분지형 지방족 및 방향족 탄화수소 및 그 조합으로부터 선택된 스페이서 단위(spacer unit)이고, 여기서 R1은 수소, 및 치환 및 비치환 C1 내지 C10 선형, 분지형 및 시클릭 탄화수소로부터 선택되며;
    n은 0 내지 5의 정수이고;
    R은 치환 및 비치환 C1 내지 C20 선형, 분지형 및 시클릭 탄화수소로부터 선택된다.
  2. 제1항에 있어서, R이 식 CnH2n+1으로 표시되며, 여기서 n은 1 내지 6의 정수인 것을 특징으로 하는 포토레지스트 조성물.
  3. 제1항 또는 제2항에 있어서, P가 하기 일반식을 갖는 중합성 작용기인 것을 특징으로 하는 포토레지스트 조성물:
    Figure pat00022

    상기 식에서,
    R2는 수소, 및 치환 및 비치환 C1 내지 C3 알킬로부터 선택되고;
    X는 산소이거나 또는 식 NR3로 표시되며, 여기서 R3은 수소, 및 치환 및 비치환 C1 내지 C10 선형, 분지형 및 시클릭 탄화수소로부터 선택된다.
  4. 제3항에 있어서, 제2 폴리머가 하기 모노머들로부터 선택된 모노머로부터 형성되는 것을 특징으로 하는 포토레지스트 조성물:
    Figure pat00023
    .
  5. 제4항에 있어서, 제2 폴리머가 폴리(n-부틸 메타크릴레이트)인 것을 특징으로 하는 포토레지스트 조성물.
  6. 제1항 또는 제2항에 있어서, 제2 폴리머가 하기 모노머들로부터 선택된 모노머로부터 형성되는 것을 특징으로 하는 포토레지스트 조성물:
    Figure pat00024
    .
  7. 제1항 또는 제2항에 있어서, P가 하기 일반 구조를 갖는 중합성 백본 부위인 것을 특징으로 하는 포토레지스트 조성물:
    Figure pat00025

    상기 식에서 R4는 수소, 및 치환 및 비치환 C1 내지 C3 알킬로부터 선택된다.
  8. 제1항 또는 제2항에 있어서, P가 하기 일반 구조를 갖는 중합성 백본 부위인 것을 특징으로 하는 포토레지스트 조성물:
    Figure pat00026

    상기 식에서 m은 0 내지 3의 정수이다.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 제1 폴리머가 산-분열성(acid-cleavable)기를 포함하는 것을 특징으로 하는 포토레지스트 조성물.
  10. 기판; 및 그 기판 표면 위에 제1항 내지 제9항 중 어느 한 항의 포토레지스트 조성물의 층;을 포함하는, 코팅된 기판.
  11. (a) 기판 표면상에서 패턴화될 하나 이상의 층을 포함하는 기판을 제공하는 단계;
    (b) 상기 패턴화될 하나 이상의 층 위에 제1항 내지 제9항 중 어느 한 항의 포토레지스트 조성물의 층을 도포하는 단계;
    (c) 상기 포토레지스트 조성물 층을 화학 조사선에 패턴화 노광시키는 단계;
    (d) 상기 노광된 포토레지스트 조성물 층을 노광후 베이킹(post-exposure bake) 공정에서 가열하는 단계; 및
    (e) 상기 포토레지스트 조성물 층에 현상제를 적용하는 단계(여기서 포토레지스트 층의 미노광 부분은 현상제에 의해 제거되고, 포토레지스트 패턴이 패턴화될 하나 이상의 층 위에 남는다);를 포함하는,
    포토리소그래픽 패턴의 형성 방법.
  12. 제11항에 있어서, 패턴화 노광이 침지 리소그래피에 의해 수행되는 것을 특징으로 하는 방법.
KR1020110051877A 2010-05-31 2011-05-31 포토레지스트 조성물 및 포토리소그래픽 패턴 형성 방법 KR102064805B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US35000310P 2010-05-31 2010-05-31
US61/350,003 2010-05-31

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020180009142A Division KR102064809B1 (ko) 2010-05-31 2018-01-25 포토레지스트 조성물 및 포토리소그래픽 패턴 형성 방법

Publications (2)

Publication Number Publication Date
KR20110132271A true KR20110132271A (ko) 2011-12-07
KR102064805B1 KR102064805B1 (ko) 2020-01-10

Family

ID=44486909

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020110051877A KR102064805B1 (ko) 2010-05-31 2011-05-31 포토레지스트 조성물 및 포토리소그래픽 패턴 형성 방법
KR1020180009142A KR102064809B1 (ko) 2010-05-31 2018-01-25 포토레지스트 조성물 및 포토리소그래픽 패턴 형성 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020180009142A KR102064809B1 (ko) 2010-05-31 2018-01-25 포토레지스트 조성물 및 포토리소그래픽 패턴 형성 방법

Country Status (7)

Country Link
US (2) US9188864B2 (ko)
EP (1) EP2390722B1 (ko)
JP (1) JP5981099B2 (ko)
KR (2) KR102064805B1 (ko)
CN (1) CN102346371B (ko)
IL (1) IL213195A0 (ko)
TW (1) TWI439806B (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2011158687A1 (ja) * 2010-06-14 2013-08-19 Jsr株式会社 パターン形成方法及び感放射線性樹脂組成物
KR101848955B1 (ko) * 2010-10-04 2018-04-13 제이에스알 가부시끼가이샤 패턴 형성 방법 및 감방사선성 수지 조성물
KR101843601B1 (ko) 2010-10-15 2018-03-29 제이에스알 가부시끼가이샤 레지스트 패턴 형성 방법 및 감방사선성 수지 조성물
KR101907705B1 (ko) 2010-10-22 2018-10-12 제이에스알 가부시끼가이샤 패턴 형성 방법 및 감방사선성 조성물
KR101853154B1 (ko) * 2011-01-28 2018-04-27 제이에스알 가부시끼가이샤 레지스트 패턴 형성 방법 및 감방사선성 수지 조성물
EP2492753A2 (en) 2011-02-28 2012-08-29 Rohm and Haas Electronic Materials LLC Developer compositions and methods of forming photolithographic patterns
JP5846957B2 (ja) * 2011-02-28 2016-01-20 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物及びレジスト膜
JP6118500B2 (ja) * 2011-02-28 2017-04-19 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト組成物、およびフォトリソグラフィパターンを形成する方法
JP5715852B2 (ja) * 2011-02-28 2015-05-13 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物及びレジスト膜
JP5298217B2 (ja) * 2011-06-29 2013-09-25 富士フイルム株式会社 パターン形成方法、これを用いた電子デバイスの製造方法、及び、電子デバイス
JP2013152450A (ja) * 2011-12-27 2013-08-08 Fujifilm Corp パターン形成方法、感活性光線性又は感放射線性樹脂組成物、レジスト膜、電子デバイスの製造方法及び電子デバイス
JP2015180950A (ja) * 2012-01-31 2015-10-15 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、並びに、これを用いたレジスト膜、パターン形成方法、電子デバイスの製造方法、及び、電子デバイス
TWI666520B (zh) * 2012-02-17 2019-07-21 富士軟片股份有限公司 圖案形成方法、感光化射線性或感放射線性樹脂組成物、抗蝕劑膜、電子元件的製造方法及電子元件
JP2013190784A (ja) * 2012-02-17 2013-09-26 Fujifilm Corp パターン形成方法、感活性光線性又は感放射線性樹脂組成物、レジスト膜、電子デバイスの製造方法及び電子デバイス
US8846295B2 (en) * 2012-04-27 2014-09-30 International Business Machines Corporation Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof
JP5934666B2 (ja) 2012-05-23 2016-06-15 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物、レジスト膜及び電子デバイスの製造方法
JP6181995B2 (ja) * 2012-07-05 2017-08-16 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
TWI602015B (zh) * 2012-07-18 2017-10-11 住友化學股份有限公司 光阻組成物及光阻圖案之產生方法
TWI581062B (zh) 2012-07-31 2017-05-01 羅門哈斯電子材料有限公司 光阻組成物及形成光微影圖案之方法
JP6118586B2 (ja) 2013-02-28 2017-04-19 富士フイルム株式会社 パターン形成方法、及び、電子デバイスの製造方法
JP5803957B2 (ja) * 2013-03-05 2015-11-04 信越化学工業株式会社 パターン形成方法及びレジスト組成物
US8936903B2 (en) * 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
JP6249735B2 (ja) * 2013-06-05 2017-12-20 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
JP6515140B2 (ja) * 2013-06-05 2019-05-15 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
US9874808B2 (en) * 2013-08-21 2018-01-23 Dai Nippon Printing Co., Ltd. Mask blank, mask blank with negative resist film, phase shift mask, and method for producing pattern formed body using same
KR102233875B1 (ko) * 2013-12-30 2021-03-30 롬엔드하스전자재료코리아유한회사 광산 발생제를 포함하는 반사방지 코팅 조성물을 이용한 패턴 형성 방법
US9383646B2 (en) * 2014-02-24 2016-07-05 Irresistible Materials Ltd Two-step photoresist compositions and methods
US9472448B2 (en) 2014-03-14 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plug without seam hole and methods of forming the same
US9229326B2 (en) * 2014-03-14 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9529265B2 (en) 2014-05-05 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of preparing and using photosensitive material
KR102249196B1 (ko) * 2014-10-06 2021-05-11 삼성전자주식회사 반도체 소자의 미세 패턴의 형성을 위한 식각 공정의 제어 방법
JP6134367B2 (ja) * 2014-10-31 2017-05-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト保護膜組成物
CN106094431B (zh) * 2015-04-30 2020-06-26 罗门哈斯电子材料韩国有限公司 光致抗蚀剂组合物和方法
JP6456238B2 (ja) * 2015-05-14 2019-01-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
TWI672562B (zh) * 2015-09-30 2019-09-21 南韓商羅門哈斯電子材料韓國公司 光致抗蝕劑組合物及方法
US11448964B2 (en) 2016-05-23 2022-09-20 Rohm And Haas Electronic Materials Korea Ltd. Coating compositions for use with an overcoated photoresist
JP7061834B2 (ja) * 2016-09-15 2022-05-16 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
US10520813B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist with high-efficiency electron transfer
US20190101829A1 (en) * 2017-09-29 2019-04-04 International Business Machines Corporation Photoresist patterning on silicon nitride
US11500291B2 (en) 2017-10-31 2022-11-15 Rohm And Haas Electronic Materials Korea Ltd. Underlying coating compositions for use with photoresists
CN109928904A (zh) 2017-11-30 2019-06-25 罗门哈斯电子材料有限责任公司 两性离子化合物和包括其的光致抗蚀剂
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR101977886B1 (ko) * 2018-06-18 2019-05-13 영창케미칼 주식회사 패턴 프로파일 개선용 화학증폭형 포지티브 포토레지스트 조성물
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
EP4018471A4 (en) * 2019-08-22 2024-01-17 Lam Res Corp SUBSTANTIALLY CARBON-FREE MOLYBDENUM AND TUNGSTEN FILMS IN SEMICONDUCTOR DEVICE MANUFACTURING
US11874603B2 (en) 2021-09-15 2024-01-16 Rohm And Haas Electronic Materials Korea Ltd. Photoresist composition comprising amide compound and pattern formation methods using the same

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US114914A (en) 1871-05-16 Improvement in propulsion of vessels
US5130410A (en) 1986-12-23 1992-07-14 Shipley Company Inc. Alternating and block copolymer resins
US5216111A (en) 1986-12-23 1993-06-01 Shipley Company Inc. Aromatic novolak resins and blends
US4983492A (en) 1988-06-06 1991-01-08 Shipley Company Inc. Positive dye photoresist compositions with 2,4-bis(phenylazo)resorcinol
EP0605089B1 (en) 1992-11-03 1999-01-07 International Business Machines Corporation Photoresist composition
US5529880A (en) 1995-03-29 1996-06-25 Shipley Company, L.L.C. Photoresist with a mixture of a photosensitive esterified resin and an o-naphthoquinone diazide compound
AU725653B2 (en) 1996-03-07 2000-10-19 B.F. Goodrich Company, The Photoresist compositions comprising polycyclic polymers with acid labile pendant groups
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5861231A (en) 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US6090526A (en) 1996-09-13 2000-07-18 Shipley Company, L.L.C. Polymers and photoresist compositions
KR100220951B1 (ko) 1996-12-20 1999-09-15 김영환 비닐 4-테트라히드로피라닐옥시벤잘-비닐 4-히드록시벤잘-비닐 테트라히드로피라닐에테르-비닐 아세테이트 공중합체, 비닐 4-테트라히드로피라닐옥시벤잘-비닐 테트라히드로피라닐에테르-비닐 아세테이트 공중합체 및 그들의 제조방법
US6057083A (en) 1997-11-04 2000-05-02 Shipley Company, L.L.C. Polymers and photoresist compositions
US6165674A (en) 1998-01-15 2000-12-26 Shipley Company, L.L.C. Polymers and photoresist compositions for short wavelength imaging
US6136501A (en) 1998-08-28 2000-10-24 Shipley Company, L.L.C. Polymers and photoresist compositions comprising same
KR20000047909A (ko) 1998-12-10 2000-07-25 마티네즈 길러모 이타콘산 무수물 중합체 및 이를 함유하는 포토레지스트조성물
US6048662A (en) 1998-12-15 2000-04-11 Bruhnke; John D. Antireflective coatings comprising poly(oxyalkylene) colorants
US6048664A (en) 1999-03-12 2000-04-11 Lucent Technologies, Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
JP3615972B2 (ja) * 1999-10-07 2005-02-02 クラリアント インターナショナル リミテッド 感光性樹脂組成物
JP3615995B2 (ja) * 2000-07-04 2005-02-02 クラリアント インターナショナル リミテッド 感光性樹脂組成物
US6692888B1 (en) 1999-10-07 2004-02-17 Shipley Company, L.L.C. Copolymers having nitrile and alicyclic leaving groups and photoresist compositions comprising same
EP1143298A1 (en) * 1999-10-07 2001-10-10 Clariant International Ltd. Photosensitive composition
US6306554B1 (en) 2000-05-09 2001-10-23 Shipley Company, L.L.C. Polymers containing oxygen and sulfur alicyclic units and photoresist compositions comprising same
JP3886358B2 (ja) * 2001-10-31 2007-02-28 松下電器産業株式会社 パターン形成方法
KR100994818B1 (ko) 2002-03-04 2010-11-16 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 단파장 이미지화용 네거티브 포토레지스트
US7244542B2 (en) 2002-05-30 2007-07-17 Shipley Company, L.L.C. Resins and photoresist compositions comprising same
US7700560B2 (en) * 2003-06-05 2010-04-20 University Of Maryland, Baltimore County Inactivators and bivalent inhibitors of glyoxalase I and methods of inhibiting tumor growth
JP4362424B2 (ja) 2004-09-01 2009-11-11 パナソニック株式会社 レジスト材料及びパターン形成方法
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP4796792B2 (ja) 2005-06-28 2011-10-19 富士フイルム株式会社 ポジ型感光性組成物及びそれを用いたパターン形成方法
JP4553835B2 (ja) 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
EP1806621A1 (en) 2006-01-08 2007-07-11 Rohm and Haas Electronic Materials LLC Coating compositions for photoresists
JP4866688B2 (ja) * 2006-09-04 2012-02-01 富士フイルム株式会社 ポジ型レジスト組成物、該ポジ型レジスト組成物に用いられる樹脂、該樹脂の合成に用いられる化合物及び該ポジ型レジスト組成物を用いたパターン形成方法
JP4562784B2 (ja) 2007-04-13 2010-10-13 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられるレジスト組成物、現像液及びリンス液
JP4590431B2 (ja) * 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
JP4617337B2 (ja) 2007-06-12 2011-01-26 富士フイルム株式会社 パターン形成方法
JP5171491B2 (ja) * 2007-09-04 2013-03-27 富士フイルム株式会社 ポジ型レジスト組成物及びそれを用いたパターン形成方法
JP2009199058A (ja) * 2007-11-05 2009-09-03 Rohm & Haas Electronic Materials Llc 液浸リソグラフィーのための組成物および方法
JP5530651B2 (ja) * 2008-07-14 2014-06-25 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物、及び該組成物を用いたパターン形成方法
JP2010102061A (ja) * 2008-10-23 2010-05-06 Panasonic Corp レジスト材料及びそれを用いたパターン形成方法
JP2010175859A (ja) * 2009-01-29 2010-08-12 Fujifilm Corp 感活性光線または感放射線性樹脂組成物、およびこれを用いたパターン形成方法
JP2010197940A (ja) * 2009-02-27 2010-09-09 Panasonic Corp レジスト材料及びそれを用いたパターン形成方法
JP5346627B2 (ja) * 2009-03-10 2013-11-20 東京応化工業株式会社 ポジ型レジスト組成物及びレジストパターン形成方法
JP2011154214A (ja) * 2010-01-27 2011-08-11 Jsr Corp ネガ型感放射線性組成物、硬化パターン形成方法及び硬化パターン
JP6118500B2 (ja) * 2011-02-28 2017-04-19 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト組成物、およびフォトリソグラフィパターンを形成する方法

Also Published As

Publication number Publication date
CN102346371A (zh) 2012-02-08
EP2390722A1 (en) 2011-11-30
KR102064805B1 (ko) 2020-01-10
IL213195A0 (en) 2011-07-31
JP2012032782A (ja) 2012-02-16
US9188864B2 (en) 2015-11-17
KR20180018602A (ko) 2018-02-21
KR102064809B1 (ko) 2020-01-10
US9482948B2 (en) 2016-11-01
CN102346371B (zh) 2013-11-20
JP5981099B2 (ja) 2016-08-31
US20160109800A1 (en) 2016-04-21
TWI439806B (zh) 2014-06-01
US20110294069A1 (en) 2011-12-01
TW201211683A (en) 2012-03-16
EP2390722B1 (en) 2016-02-10

Similar Documents

Publication Publication Date Title
KR102064809B1 (ko) 포토레지스트 조성물 및 포토리소그래픽 패턴 형성 방법
JP6525383B2 (ja) フォトレジスト上塗り組成物および電子デバイスを形成する方法
US9459534B2 (en) Photolithographic methods
KR101724384B1 (ko) 전자 장치를 형성하기 위한 조성물 및 방법
JP6118500B2 (ja) フォトレジスト組成物、およびフォトリソグラフィパターンを形成する方法
TWI556059B (zh) 光阻劑組成物及形成光微影圖案之方法
KR101910832B1 (ko) 포토레지스트 조성물 및 포토리소그래피 패턴 형성 방법
KR20130049166A (ko) 모노머, 폴리머 및 포토레지스트 조성물

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2018101000347; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20180125

Effective date: 20191031

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant