KR20110131291A - 가열 램프 시스템 및 그의 방법 - Google Patents

가열 램프 시스템 및 그의 방법 Download PDF

Info

Publication number
KR20110131291A
KR20110131291A KR1020117024338A KR20117024338A KR20110131291A KR 20110131291 A KR20110131291 A KR 20110131291A KR 1020117024338 A KR1020117024338 A KR 1020117024338A KR 20117024338 A KR20117024338 A KR 20117024338A KR 20110131291 A KR20110131291 A KR 20110131291A
Authority
KR
South Korea
Prior art keywords
lamps
lamp holder
lamp
assembly
disposed
Prior art date
Application number
KR1020117024338A
Other languages
English (en)
Inventor
강 헤
그레그 히가쉬
쿠세드 소랍지
로저 하맘지
앤드레스 헤게더스
Original Assignee
알타 디바이씨즈, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 알타 디바이씨즈, 인크. filed Critical 알타 디바이씨즈, 인크.
Publication of KR20110131291A publication Critical patent/KR20110131291A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 실시예들은 일반적으로 화학적 증기 증착(CVD)에 대한 장치 및 방법에 관한 것이다. 일 실시예에서, CVD 반응기 시스템용 가열 램프 어셈블리는 제공되고, 상기 가열 램프 어셈블리는 지지 베이스의 상부 표면 상에 배치되고 제 1 램프 홀더로부터 제 2 램프 홀더로부터 연장된 복수의 램프들을 포함한 램프 하우징을 포함한다. 램프들은 스플리트 필라멘트 램프들 및/또는 비-스플리트 필라멘트 램프들을 가질 수 있고, 일부 예들에서, 스플리트 및 비-스플리트 필라멘트는 제 1 램프 홀더와 제 2 램프 홀더 사이에 교호적으로 배치될 수 있다. 리플렉터는 제 1 램프 홀더들과 제 2 램프 홀더들 사이에서, 지지 베이스의 상부 표면 상에 배치될 수 있다. 또 다른 실시예에서, 방법은 가열 램프 어셈블리로부터 조사된 에너지에 웨이퍼 캐리어의 하부 표면을 노출하는 단계 및 기결정된 온도로 웨이퍼 캐리어를 가열하는 단계를 포함한다.

Description

가열 램프 시스템 및 그의 방법{HEATING LAMP SYSTEM AND METHODS THEREOF}
본 발명의 실시예들은 일반적으로, 증기 증착에 대한 장치 및 방법에 관한 것으로, 특히, 화학적 증기 증착 시스템, 반응기, 및 이들의 공정에 관한 것이다.
광전지 또는 태양열 장치들, 반도체 장치들, 또는 다른 전자 장치들은 기판의 표면을 처리하기 위해 다양한 제조 공정들을 이용함으로써 일반적으로 제조된다. 이러한 제조 공정들은 증착, 어닐링(annealing), 식각, 도핑, 산화, 질화 및 많은 다른 공정들을 포함할 수 있다. 액피텍셜 리프트 오프(ELO)는, 물질 층들이 성장 기판에 증착된 후 성장 기판으로부터 제거되는 박막 장치들 및 물질들을 제조하는 흔하지 않은 기법(less common technique)이다. 액피텍셜 층, 막, 또는 물질은 화학적 증기 증착(CVD) 공정 또는 금속성-유기 CVD(MOCVD) 공정을 이용하여, 갈륨 비화물 웨이퍼 등의 성장 기판 상에 배치된 희생 층 상에서 성장되거나 증착된다. 그 다음에서, 희생 층은 습식 산욕(wet acid bath)으로 선택적으로 식각되어 제거되면서(etched away), 액피텍셜 물질은 ELO 식각 공정 동안 성장 기판으로부터 분리된다. 격리된 액피텍셜 물질은, 보통 ELO 막 또는 액피텍셜 막이라 하는 얇은 층 또는 막일 수 있다. 각각의 액피텍셜 막은 일반적으로, 특정 장치, 예를 들면, 광전지 또는 태양열 장치들, 반도체 장치들, 또는 다른 전자 장치들에 대해 변화하는 성분을 가진 다수의 층들을 포함한다.
CVD 공정은 증기 상 화학적 전구체들(vapor phase chemical precursors)의 반응에 의해 액피텍셜 막을 성장 또는 증착시키는 것을 포함한다. MOCVD 공정 동안, 화학적 전구체들 중 적어도 하나는 금속성-유기 합성물 - 즉 - 금속 원자 및 유기 부분을 포함한 적어도 하나의 리간들를 가진 합성물이다.
적용하는 데 있어 서로 매우 다른 CVD 반응기들의 유형이 많다. 예를 들면, CVD 반응기들은 단일 또는 벌크(bulk) 웨이퍼 반응기들, 대기 반응기 및 저압 반응기, 주위 온도 반응기 및 고온 반응기, 플라즈마 강화 반응기를 포함한다. 이러한 별개의 설계는 CVD 공정 동안, 예를 들면, 공핍 효과(depletion effects), 오염 문재, 반응기 유지, 처리량, 및 생산 비용에 직면하게 되는 다양한 과제를 불러온다.
그러므로, 오염물이 보다 적고, 처리량이 보다 많고, 그리고 현재 알려진 CVD 설비 및 공정보다 비용이 비싸지 않도록 보다 효과적으로, 기판들 상에서 액피텍셜 막들 및 물질들을 성장시키는 CVD 시스템, 반응기, 및 공정이 필요하다.
본 발명의 실시예들은 일반적으로 화학적 증기 증착(CVD)에 대한 장치 및 방법에 관한 것이다. 일 실시예에서, 증기 증착 반응기 시스템용 가열 램프 어셈블리는 제공되고, 상기 증기 증착 반응기 시스템용 가열 램프 어셈블리는 지지 베이스의 상부 표면 상에 배치되고 제 1 램프 홀더 및 제 2 램프 홀더를 포함한 램프 하우징, 상기 제 1 램프 홀더로부터 상기 제 2 램프 홀더로 연장되는 복수의 램프들(램프 각각은 스플리트 필라멘트 램프 또는 비-스플리트 필라멘트 램프를 가짐) 및 상기 제 1 램프 홀더와 상기 제 2 램프 홀더 사이에서, 상기 지지 베이스의 상부 표면 상에 배치된 리플렉터를 포함한다.
일부 실시예들에서, 상기 복수의 램프들은 상기 제 1 램프 홀더로부터 상기 제 2 램프 홀더로 연장된 제 1 복수의 램프들(제 1 복수의 램프들 각각은 스플리트 필라멘트 램프를 가짐), 및 상기 제 1 램프 홀더로부터 상기 제 2 램프 홀더로 연장된 제 2 복수의 램프들(제 2 복수의 램프들 각각은 비-스플리트 필라멘트 램프를 가짐)을 포함한다. 일부 예들에서, 상기 제 1 복수의 램프들은 제 2 복수의 램프들 사이에 순차적으로 또는 교호적으로 배치되면서, 상기 제 1 램프 홀더와 상기 제 2 램프 홀더 사이에 연장된다. 일부 예들에서, 각각의 램프는 상기 제 1 램프 홀더 상의 2 개의 포스트들(posts) 사이에 배치된 제 1 말단부, 및 상기 제 2 램프 홀더 상의 2 개의 포스트들 사이에 배치된 제 2 말단부를 가진다.
또 다른 실시예에서, 증기 증착 반응기 시스템용 가열 램프 어셈블리는 제공되고, 상기 증기 증착 반응기 시스템용 가열 램프 어셈블리는 지지 베이스의 상부 표면 상에 배치되고 제 1 램프 홀더 및 제 2 램프 홀더를 포함한 램프 하우징, 상기 제 1 램프 홀더 상에 배치된 복수의 포스트들 및 상기 제 2 램프 홀더 상에 배치된 또 다른 복수의 포스트들, 및 상기 제 1 램프 홀더로부터 상기 제 2 램프 홀더로 연장된 복수의 램프들을 포함한다. 각각의 램프는 상기 제 1 램프 홀더 상의 2 개의 포스트들 사이에 배치된 제 1 말단부, 및 상기 제 2 램프 홀더 상의 2 개의 포스트들 사이에 배치된 제 2 말단부를 가질 수 있다.
많은 예들에서, 상기 리플렉터 또는 상기 리플렉터의 적어도 상부 표면은 금 또는 금 합금을 포함한다. 일부 예들에서, 상기 지지 베이스의 상부 표면을 따라 연장되고, 서로를 향하고, 그리고 상기 리플렉터 또는 상기 상부 표면으로부터 약 90°의 각도로 연장되는 2 개의 미러들을 더 포함한다.
상기 가열 램프 어셈블리 내의 복수의 램프들은 약 10 개의 램프들 내지 약 100 개의 램프들, 바람직하게, 약 20 개의 램프들 내지 약 50 개의 램프들, 그리고 더 바람직하게, 약 30 개의 램프들 내지 약 40 개의 램프들의 개수를 가질 수 있다. 일 예에서, 상기 가열 램프 어셈블리는 약 34 개의 램프들을 포함한다. 실시예들에서 제공되는 바와 같이, 각각의 램프는 전원, 독립적인 스위치, 및 제어기와 전기 접촉을 한다. 상기 제어기는 각각의 램프에 전원을 독립적으로 제공하기 위해 사용될 수 있다.
다른 실시예들에서, 상기 가열 램프 어셈블리 내에서 상기 지지 베이스 및 각각의 램프 홀더는 독립적으로, 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함하거나 이러한 물질로 구성될 수 있다. 일부 예들에서, 상기 제 1 램프 홀더 또는 상기 제 2 램프 홀더는 독립적으로 스테인레스 강 또는 이들의 합금들을 포함하거나 이러한 스테인레스 강 또는 이들의 합금들로 구성될 수 있다. 상기 제 1 램프 홀더 또는 상기 제 2 램프 홀더는 독립적으로 약 2,000 W/㎡-K 내지 약 3,000 W/㎡-K, 바람직하게, 약 2,300 W/㎡-K 내지 약 2,700 W/㎡-K의 범위에 속한 냉각 계수를 가질 수 있다. 일 예에서, 상기 냉각 계수는 약 2,500 W/㎡-K이다. 다른 실시예들에서, 상기 제 1 램프 홀더 및 상기 제 2 램프 홀더 각각은 약 0.001 인치 내지 약 0.1 인치의 범위에 속한 두께를 가질 수 있다.
본원에 기술된 다른 실시예들에서, 가열 램프 어셈블리에 의해 증기 증착 반응기 시스템 내의 기판 또는 기판 서스셉터를 가열하는 방법은 제공되고, 상기 방법은 가열 램프 어셈블리로부터 조사된 에너지에 기판 서스셉터의 하부 표면을 노출하는 단계, 및 기결정된 온도로 기판 서스셉터를 가열하는 단계를 포함하고, 상기 가열 램프 어셈블리는 지지 베이스의 상부 표면 상에 배치되고 적어도 하나의 램프 홀더를 포함한 램프 하우징, 상기 적어도 하나의 램프 홀더로부터 연장된 복수의 램프들, 및 상기 지지 베이스의 상부 표면 상에 배치되고, 상기 램프 홀더 옆에 위치하고, 상기 램프들 아래에 위치된 리플렉터를 포함한다.
방법의 실시예들에서 더 제공되는 바와 같이, 상기 가열 램프 어셈블리는 스플리트 필라멘트 램프, 비-스플리트 필라멘트를 가진 램프들, 스플리트 또는 비-스플리트 필라멘트들을 포함한 램프들의 혼합물을 포함한다. 일 실시예에서, 상기 램프들 각각은 스플리트 필라멘트 램프를 가진다. 상기 스플리트 필라멘트 램프는 제 1 말단부와 제 2 말단부 사이의 중심부를 가질 수 있다. 상기 스플리트 필라멘트 램프들의 제 1 말단부 및 제 2 말단부는 상기 스플리트 필라멘트 램프들의 중심부보다 따뜻하게 유지될 수 있다. 그러므로, 상기 기판 서스셉터의 외부 에지들은 상기 기판 서스셉터의 중심점보다 따뜻하게 유지될 수 있다.
또 다른 실시예에서, 상기 램프들 각각은 비-스플리트 필라멘트 램프를 가진다. 상기 비-스플리트 필라멘트 램프는 제 1 말단부와 제 2 말단부 사이의 중심부를을 가질 수 있다. 상기 비-스플리트 필라멘트 램프들의 중심부는 상기 비-스플리트 필라멘트 램프들의 제 1 말단부 및 제 2 말단부보다 더 따뜻하게 유지될 수 있다. 그러므로, 상기 기판 서스셉터의 중심점은 상기 기판 서스셉터의 외부 에지들보다 따뜻하게 유지될 수 있다.
또 다른 실시예에서, 상기 복수의 램프들은 스플리트 필라멘트 램프들 및 비-스플리트 필라멘트 램프들을 가진다. 일 실시예에서, 상기 스플리트 필라멘트 램프들 및 상기 비-스플리트 필라멘트 램프들은 서로 사이에 순차적으로 배치된다. 각각의 램프는 전원 및 제어기에 전기 접촉을 독립적으로 할 수 있다. 방법은 각각의 램프에 흘러가는 전기량을 독립적으로 조정하는 단계를 더 포함한다. 일 예에서, 상기 스플리트 필라멘트 램프는 제 1 말단부와 제 2 말단부 사이의 중심부를 가질 수 있다. 상기 스플리트 필라멘트 램프들의 제 1 말단부 및 제 2 말단부는 스플리트 필라멘트 램프들의 중심부보다 따뜻하게 유지될 수 있다. 그러므로, 상기 기판 서스셉터의 외부 에지들은 상기 기판 서스셉터의 중심점보다 따뜻하게 유지될 수 있다. 또 다른 예에서, 상기 비-스플리트 필라멘트 램프는 제 1 말단부와 제 2 말단부 사이의 중심부를 포함할 수 있다. 상기 비-스플리트 필라멘트 램프들의 중심부는 상기 비-스플리트 필라멘트 램프들의 제 1 말단부 및 제 2 말단부보다 따뜻하게 유지될 수 있다. 그러므로, 상기 기판 서스셉터의 중심점은 상기 기판 서스셉터의 외부 에지들보다 따뜻하게 유지될 수 있다.
다양한 실시예들에서, 방법에서 제공되는 바와 같이, 상기 기판 서스셉터는 기판 캐리어 또는 웨이퍼 캐리어일 수 있다. 상기 램프 하우징은 제 1 램프 홀더 및 제 2 램프 홀더를 가질 수 있다. 상기 제 1 램프 홀더 및 상기 제 2 램프 홀더는 서로 평행하거나 실질적으로 평행할 수 있다. 일 예에서, 상기 리플렉터는 상기 제 1 램프 홀더와 상기 제 2 램프 홀더 사이에 배치될 수 있다. 상기 제 1 램프 홀더 및 상기 제 2 램프 홀더 각각은 약 0.001 인치 내지 약 0.1 인치의 범위에 속한 두께를 가진다. 상기 램프 홀더들의 소정의 두께는 상기 램프 홀더들의 일정한 온도를 유지하는데 도움을 준다. 그러므로, 상기 제 1 램프 홀더 및 상기 제 2 램프 홀더 각각은 독립적으로 약 25O ℃ 내지 약 400 ℃, 바람직하게, 약 275 ℃ 내지 약 375 ℃, 바람직하게, 약 300 ℃ 내지 약 350 ℃의 범위에 속한 온도로 유지될 수 있다.
또 다른 실시예에서, 방법은 증기 증착 반응기 시스템 내의 제 1 공정 챔버를 통하여 웨이퍼 캐리어 트랙를 따라서 웨이퍼 캐리어를 횡단시킴으로써, 상기 증기 증착 반응기 시스템 내의 기판 또는 기판 서스셉터를 가열하기 위해 가열 램프 어셈블리를 이용하는 단계, 및 가열 램프 어셈블리로부터 조사된 에너지에 상기 웨이퍼 캐리어의 하부표면을 노출시키면서, 상기 웨이퍼 캐리어를 제 1 온도로 가열하는 단계를 포함하고, 상기 가열 램프 어셈블리는 상기 웨이퍼 캐리어 트랙 아래에 배치되고, 복수의 램프들을 포함한다. 상기 방법은 상기 증기 증착 반응기 시스템 내의 제 2 공정 챔버를 통하여 상기 웨이퍼 캐리어 트랙을 따라서 상기 웨이퍼 캐리어를 횡단시키는 단계, 및 상기 가열 램프 어셈블리로부터 조사된 에너지에 상기 웨이퍼 캐리어의 하부표면을 노출시키면서, 상기 웨이퍼 캐리어를 제 2 온도로 가열하는 단계를 더 포함한다.
많은 예들에서, 상기 웨이퍼 캐리어는 부상 웨이퍼 캐리어이다. 상기 방법은 상기 웨이퍼 캐리어 트랙의 상부 표면 상에 배치된 복수의 홀들로부터 흐르는 부상 가스에 상기 웨이퍼 캐리어의 하부 표면을 노출시킴으로써, 상기 웨이퍼 캐리어를 부상시키는 단계를 더 포함한다. 상기 웨이퍼 캐리어의 제 1 온도 및 제 2 온도 각각은 독립적으로 약 250 ℃ 내지 약 350 ℃, 바람직하게, 약 275 ℃ 내지 약 325 ℃, 더 바람직하게, 약 290 ℃ 내지 약 310 ℃의 범위에 속할 수 있고, 예를 들면, 약 300 ℃일 수 있다.
본 발명의 상기에서 언급된 특징을 상세하게 이해하기 위해서, 본 발명의 보다 특별한 설명, 간단한 상기의 개요는 실시예들을 참조하여 획득될 수 있고, 실시예들의 일부는 첨부된 도면에서 도시된다. 그러나, 특히, 첨부된 도면은 단지 본 발명의 통상적인 실시예들을 제시할 뿐, 본 발명을 제한하는 것으로서 간주되어서는 안되고, 본 발명은 다른 균등한 효과적인 실시예들을 수용할 수 있다.
도 1a-1e는 본원에서 기술된 실시예들에 따른 CVD 반응기 도면;
도 1f는 본원에서 기술된 또 다른 실시예들에 따라서 온도 조절 시스템에 연결된 CVD 반응기 도면;
도 2a-2c는 본원에서 기술된 실시예들에 따른 반응기 리드 어셈블리 도면;
도 2d는 본원에서 기술된 실시예에 따른 반응기 리드 지지부 도면;
도 3은 본원에서 기술된 실시예들에 따른 반응기 몸체 어셈블리 도면;
도 4a-4e는 본원에서 기술된 실시예들에 따른 웨이퍼 캐리어 트랙 도면;
도 5a-5d는 본원에서 기술된 실시예들에 따른 절연체 어셈블리 도면;
도 6은 본원에서 기술된 실시예들에 따른 가열 램프 어셈블리 도면;
도 7a-7d는 본원에서 기술된 실시예들에 따른 샤워헤드 어셈블리 도면;
도 8a-8d는 본원에서 기술된 실시예들에 따른 배출 어셈블리 도면;
도 9a-9f는 본원에서 기술된 실시예들에 따라서 다수의 CVD 반응기들을 포함한 CVD 시스템 도면;
도 10a-10b는 본원에서 기술된 실시예들에 따른 램프들 도면;
도 11a-11f는 본원에서 기술된 다른 실시예들에 따른 복수의 램프들 도면;
도 12a-12b는 본원에서 기술된 또 다른 실시예들에 따른 부상 기판 캐리어 도면; 및
도 12c-12e는 본원에서 기술된 또 다른 실시예들에 따른 다른 부상 기판 캐리어 도면이다.
본 발명의 실시예들은 일반적으로, 금속성-유기 CVD(MOCVD) 공정과 같은 화학적 증기 증착(CVD)의 장치 및 방법에 관한 것이다. 본원에 앞서 언급된 바와 같이, 본 발명의 실시예들이 기압(atmospheric pressure) CVD 반응기 및 금속-유기 전구체 가스에 관련될 시에 본 발명의 실시예들을 기술한다. 그러나, 특히, 본 발명의 양태는 기압 CVD 반응기 또는 금속-유기 전구체 가스와 함께 사용되는 것이 아니라, 다른 유형의 반응기 시스템 및 전구체 가스도 적용할 수 있다. 본 발명의 장치 및 이를 사용한 방법의 새로운 것들을 보다 잘 이해하기 위해, 참조물은 이하에서 첨부된 도면으로 이루어진다.
본 발명의 일 실시예에 따라서, 기압 CVD 반응기는 제공된다. CVD 반응기는 갈륨 비화물 기판 등의 기판 상에 다수의 액피텍셜 층들을 구비하기 위해 사용될 수 있다. 이러한 액피텍셜 층들은 알루미늄 갈륨 비화물, 갈륨 비화물, 및 인 갈륨 비화물을 포함할 수 있다. 이러한 액피텍셜 층들은 나중에 제거되기 위해 갈륨 비화물 기판 상에서 성장될 수 있고, 그 결과, 기판은 추가적인 물질을 생성하기 위해 재사용될 수 있다. 일 실시예에서, CVD 반응기는 태양열 전지를 제공하기 위해 사용될 수 있다. 이러한 태양열 전지는 단일 정션(single junction), 헤테로-정션 또는 다른 구성물을 더 포함할 수 있다. 일 실시예에서, CVD 반응기는 10 센티미터 ×(by) 10 센티미터 기판 상에 2.5 와트 웨이퍼를 전개시키기 위해 구성될 수 있다. 일 실시예에서, CVD 반응기는 분당 약 1 개의 기판 내지 분당 약 10 개의 기판들의 처리량 범위를 제공할 수 있다.
도 1a-1e는 본원에서 기술된 실시예에서 기재된 바와 같이, 반응기(100), CVD 반응기 또는 챔버를 도시한다. 반응기(100)는 반응기 몸체 어셈블리(102) 상에 배치된 반응기 리드 어셈블리(200)를 포함한다. 반응기 리드 어셈블리(200) 및 이의 컴포넌트들은 도 2a-2d에 더 도시되고, 반응기 몸체 어셈블리(102)는 도 3에서 더 도시된다.
반응기 리드 어셈블리(200)는 2 개의 샤워헤드들 사이에 배치된 인젝터(injector) 또는 절연체, 절연체 어셈블리(500), 샤워헤드 어셈블리들(showerhead assemblies)(700)를 포함한다. 반응기 리드 어셈블리(200)는 또한 배출 어셈블리(exhaust assembly)(800)를 포함한다. 도 1c는 챔버 스테이션들(chamber stations)(160, 162)과 같은 2 개의 증착 스테이션들을 포함한 반응기(100)를 도시한다. 챔버 스테이션(160)은 샤워헤드 어셈블리(700) 및 절연체 어셈블리(500)를 포함하는 반면, 챔버 스테이션(162)은 샤워헤드 어셈블리(700) 및 배출 어셈블리(800)를 포함한다. 일 실시예에서, 절연체 어셈블리(500)는 가스를 흐르게 하여, 모든 샤워헤드 어셈블리들(700)을 서로 분리시키도록 사용될 수 있는 반면, 배출 어셈블리(800)는 면판(112)에 연결된 또 다른 반응기로부터 반응기(100)의 내부 환경을 격리시키기 위해 사용될 수 있다.
본원에서 기술된 많은 실시예들에서, 샤워헤드 어셈블리들(700) 각각은 모듈식 샤워헤드 어셈블리일 수 있고, 절연체 어셈블리들(500) 각각은 모듈식 절연체 어셈블리일 수 있고, 배출 어셈블리들(800) 각각은 모듈식 배출 어셈블리일 수 있다. 샤워헤드 어셈블리들(700), 절연체 어셈블리들(500), 및/또는 배출 어셈블리들(800) 중 어느 하나는 반응기 리드 어셈블리(200)로부터 제거될 수 있고, 원한다면, 특별한 공정 상황에 대해 동일하거나 서로 다른 어셈블리로 대체될 수 있다. 샤워헤드 어셈블리들(700), 절연체 어셈블리들(500), 및/또는 배출 어셈블리들(800)의 모듈식 어셈블리들은 CVD 반응기 시스템 내에 위치되기 위해 독립적으로 구성될 수 있다.
본원에서 기술된 대안적인 실시예들에서, 반응기(100)의 다른 구성물이 제공될 수 있지만, 그러나 도면에서는 도시되지 않았다. 일 실시예에서, 반응기(100)의 반응기 리드 어셈블리(200)는 2 개의 샤워헤드 어셈블리들(700)에 의해 분리된 3 개의 배출 어셈블리들(800)을 포함함으로써, 반응기 리드 어셈블리(200)는 결과적으로, 제 1 배출 어셈블리, 제 1 샤워헤드 어셈블리, 제 2 배출 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 3 배출 어셈블리를 포함한다. 또 다른 실시예에서, 반응기(100)의 반응기 리드 어셈블리(200)는 2 개의 샤워헤드 어셈블리들(700)에 의해 분리된 3 개의 절연체 어셈블리들(500)을 포함함으로써, 반응기 리드 어셈블리(200)는 결과적으로, 제 1 절연체 어셈블리, 제 1 샤워헤드 어셈블리, 제 2 절연체 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 3 절연체 어셈블리를 포함한다.
또 다른 실시예에서, 반응기(100)의 반응기 리드 어셈블리(200)는 2 개의 절연체 어셈블리들(500), 및 2 개의 샤워헤드 어셈블리들(700)에 의해 분리된 1 개의 배출 어셈블리(800)를 포함함으로써, 반응기 리드 어셈블리(200)는 결과적으로, 제 1 절연체 어셈블리, 제 1 샤워헤드 어셈블리, 제 2 절연체 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 1 배출 어셈블리를 포함한다. 또 다른 예에서, 반응기 리드 어셈블리(200)는 결과적으로, 제 1 절연체 어셈블리, 제 1 샤워헤드 어셈블리, 제 1 배출 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 2 절연체 어셈블리를 포함할 수 있다. 또 다른 예에서, 반응기 리드 어셈블리(200)는 결과적으로, 제 1 배출 어셈블리, 제 1 샤워헤드 어셈블리, 제 1 절연체 어셈블리, 제 2 샤워헤드 어셈블리, 및제 2 절연체 어셈블리를 포함할 수 있다.
또 다른 실시예에서, 반응기(100)의 반응기 리드 어셈블리(200)는 2 개의 배출 어셈블리들(800), 및 2 개의 샤워헤드 어셈블리들(700)에 의해 분리된 1 개의 절연체 어셈블리(500)를 포함함으로써, 반응기 리드 어셈블리(200)는 결과적으로, 제 1 배출 어셈블리, 제 1 샤워헤드 어셈블리, 제 2 배출 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 1 절연체 어셈블리를 포함한다. 또 다른 예에서, 반응기 리드 어셈블리(200)는 결과적으로, 제 1 배출 어셈블리, 제 1 샤워헤드 어셈블리, 제 1 절연체 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 2 배출 어셈블리를 포함할 수 있다. 또 다른 예에서, 반응기 리드 어셈블리(200)는 결과적으로, 제 1 절연체 어셈블리, 제 1 샤워헤드 어셈블리, 제 1 배출 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 2 배출 어셈블리를 포함할 수 있다.
반응기 몸체 어셈블리(102)는 일 측 말단부 상의 면판(faceplate)(110), 및 대향 말단부 상의 면판(112)을 포함한다. 면판들(110 및 112) 각각은 반응기(100)와 동일하거나 상기 반응기와 서로 다른 추가적인 반응기들을 서로 연결하기 위해, 도는 말단 캡(end cap), 말단부 판, 웨이퍼/기판 핸들러(substrate handler), 또는 또 다른 장치를 연결하기 위해 독립적으로 이용될 수 있다. 일 예에서, 반응기(100)의 면판(110)은 또 다른 반응기(미도시)의 면판(112)에 연결될 수 있다. 이와 유사하게, 반응기(100)의 면판(112)은 또 다른 반응기(미도시)의 면판(110)에 연결될 수 있다. 밀봉부, 스페이서(spacer), 또는 O-링은 2 개의 연결 면판들 사이에 배치될 수 있다. 일 실시예에서, 밀봉부는 니켈 또는 니켈 합금과 같은 금속을 포함할 수 있다. 일 예에서, 밀봉부는 나이프 에지 금속 밀봉부(knife edge metal seal)이다. 또 다른 실시예에서, 밀봉부는 폴리머 또는 엘라스토머, 예를 들면, DuPont Performance Elastomers L.L.C에서 구입가능한 KALREZ® 엘라스토머 밀봉부를 포함한다. 또 다른 실시예에서, 밀봉부는 나선형 밀봉부 또는 H-밀봉부일 수 있다. 밀봉부 또는 O-링은 반응기(100)에 들어가는 주위 가스를 방지하거나 크게 감소시키도록, 기밀성 밀봉부(gas tight seal)로 형성되어야 한다. 반응기(100)는 사용 또는 생산 중 산소, 수분 또는 이산화탄소가 거의 없거나 전혀 없이 유지될 수 있다. 일 실시예에서, 반응기(100)는 약 100 ppb(parts per billion) 미만, 바람직하게, 약 10 ppb 미만, 더 바람직하게, 약 1 ppb 미만, 및 더 바람직하게, 약 100 ppt(parts per trillion) 미만의 산소 농도, 수분 농도, 및/또는 이산화탄소 농도로 유지될 수 있다.
측면들(120 및 130)은 반응기 몸체 어셈블리(102)의 길이를 따라 연장된다. 측면(120)은 상부 표면(128)을 가지고 측면(130)은 상부 표면(138)을 가진다. 반응기 몸체 어셈블리(102)의 상부 표면들(114 및 116)은 상부 표면들(128 및 138) 사이에서 연장된다. 상부 표면(114)은 바로 안쪽에 있는 반응기 몸체 어셈블리(102) 상에 위치되고 면판(110)과 평행을 이루고, 상부 표면(116)은 바로 안쪽에 있는 반응기 몸체 어셈블리(102) 상에 위치되고, 면판(112)과 평행을 이룬다. 가스 유입구(123)는 측면(120)에 연결되고, 그 측면으로부터 연장된다. 부상 가스(levitation gas) 또는 캐리어 가스는 가스 유입구(123)를 통하여 반응기(100)로 처리될 수 있다. 부상 가스 또는 캐리어 가스는 질소, 헬륨, 아르곤, 수소, 또는 이들의 혼합물들을 포함할 수 있다.
도 1f는 본원에서 기술된 일 실시예에 따라서, 온도 조절 시스템(190)에 연결되는, 반응기 몸체 어셈블리(102) 및 반응기 리드 어셈블리(200)를 포함한 반응기(100)를 도시한다. 온도 조절 시스템(190)은 도 1f에 도시된 바와 같이, 3 개의 열 교환기들(180a, 180b, 및 180c)을 가진다. 그러나, 온도 조절 시스템(190)은, 반응기(100)의 다양한 부분들에 연결되고, 그 부분들과 유체가 통하는(in fluid communication) 1, 2, 3, 4, 5 개 또는 그 이상의 열 교환기들을 가질 수 있다. 열 교환기들(180a, 180b, 또는 180c) 각각은 적어도 하나의 액체 공급부(182) 및 적어도 하나의 액체 회수부(184)를 포함할 수 있다. 각각의 액체 공급부(182)는 도관(186)에 의해 반응기(100) 상의 유입구들에 연결될 수 있어, 그 유입구들과 유체가 통할 수 있는 반면, 각각의 액체 회수부(liquid return)(184)는 도관(186)에 의해 반응기(100) 상의 유출구들에 연결될 수 있어, 그 유출구들과 유체가 통할 수 있다. 도관들(186)은 파이프들, 관, 호스들, 다른 중공 라인들(hollow lines) 또는 이들의 조합물들을 포함할 수 있다. 밸브(188)는 액체 공급부(182)와 유입구 사이에서, 또는 액체 회수부(184)와 유출구 사이에서 각각의 도관(186) 상에서 사용될 수 있다.
반응기 몸체 어셈블리(102)는 열 조절 시스템의 부분으로서 적어도 하나의 열 교환기에 연결되어 그 열 교환기와 유체가 통할 수 있다. 일부 실시예들에서, 반응기 몸체 어셈블리(102)는 2 , 3 개, 또는 그 이상의 열 교환기들에 연결될 수 있어, 그 열 교환기와 유체가 통할 수 있다. 도 1b는, 반응기(100)의 하부(104) 및 열 조절 시스템에 연결되어, 그 하부 및 열 조절 시스템에 유체가 통하는 유입구(118a) 및 유출구(118b)를 도시한다.
일 실시예에서, 유입구들(122a, 122b, 및 122c), 및 유출구들(126a, 126b, 및 126c)은 측면(120)에 연결되고 그 측면으로부터 연장된다. 적어도 하나의 열 교환기는 유입구들(122a, 122b, 및 122c), 및 유출구들(126a, 126b, 및 126c)에 연결되어 그 유입구들 및 유출구들과 유체가 통한다. 유입구들(122a, 122b, 및 122c)은 열 교환기들로부터 액체를 수용할 수 있는 반면, 유출구들(126a, 126b, 및 126c)은 열 교환기로 다시 액체를 보낼 수 있다. 일 실시예에서, 각각의 유입구(122a, 122b, 또는 122c)는 각각의 유출구(126a, 126b, 또는 126c)보다 낮은 위치에 배치되어, 각각의 유입구(122a, 122b, 또는 122c)로부터 나와 흐르는 유체는 상향 방향으로, 각각의 연결 통로를 통하여, 각각의 유출구(126a, 126b, 또는 126c)로 흐른다.
또 다른 실시예에서, 유입구들(132a, 132b, 및 132c), 및 유출구들(136a, 136b, 및 136c)는 측면(130)에 연결되어 그 측면으로부터 연장된다. 적어도 하나의 열 교환기는 유입구들(132a, 132b, 및 132c), 및 유출구들(136a, 136b, 및 136c)에 연결되어 그 유입구들 및 유출구들과 유체가 통한다. 유입구들(132a, 132b, 및 132c)은 열 교환기로부터 액체를 수용할 수 있는 반면, 유출구들(136a, 136b, 및 136c)은 열 교환기로 다시 액체를 보낼 수 있다.
도 1c-1d는 유체 통로들(124a, 124b, 124c, 134a, 134b, 및 134c)을 포함한 반응기 몸체 어셈블리(102)를 도시한다. 일 예에서, 유체 통로(124a)는 측면(120) 내에서, 반응기 몸체 어셈블리(102)의 부분적인 길이를 따라 연장된다. 유체 통로(124a)는 유입구(122a) 및 유출구(126a)에 연결되어 그 유입구 및 유출구와 유체가 통한다. 또한, 유체 통로(134a)는 측면(130) 내에서, 반응기 몸체 어셈블리(102)의 부분적인 길이를 따라 연장된다. 유체 통로(134a)는 유입구(132a) 및 유출구(136a)에 연결되어 그 유입구 및 유출구와 유체가 통한다.
또 다른 예에서, 유체 통로(124b)는 반응기 몸체 어셈블리(102) 내의 셸프(shelf) 또는 브라켓 암(bracket arm)(146) 내에서, 반응기 몸체 어셈블리(102)의 부분적인 길이를 따라 연장된다. 유체 통로(124b)는 유입구(122b) 및 유출구(126b)에 연결되어 그 유입구 및 유출구와 유체가 통한다. 또한, 유체 통로(134b)는 반응기 몸체 어셈블리(102) 내의 셸프 또는 브라켓 암(146) 내에서, 반응기 몸체 어셈블리(102)의 부분적인 길이를 따라 연장된다. 유체 통로(134b)는 유입구(132b) 및 유출구( 136b)에 연결되어 그 유입구 및 유출구와 유체가 통한다.
또 다른 예에서, 유체 통로(124c)는 측면(120)으로부터 반응기 몸체 어셈블리(102)의 폭을 통하여, 측면(130)으로 연장된다. 유체 통로(124c)는 유입구(122c) 및 유출구(132c)에 연결되어 그 유입구 및 유출구와 유체가 통한다. 또한, 유체 통로(124c)는 측면(130)으로부터 반응기 몸체 어셈블리(102)의 폭을 통하여, 측면(130)으로 연장된다. 유체 통로(124c)는 유입구(126c) 및 유출구(136c)에 연결되어 그 유입구 및 유출구와 유체가 통한다.
또 다른 실시예에서, 반응기 몸체 어셈블리(102)는 웨이퍼 캐리어 트랙(wafer carrier track)(400) 및 그에 배치된 가열 램프 어셈블리(600)를 포함한다. 가열 램프 시스템은 웨이퍼 캐리어 트랙(400), 웨이퍼 캐리어들, 및 반응기(100) 상에 그리고 그 반응기 내에 배치된 웨이퍼들(90)을 가열하기 위해 사용될 수 있다. 웨이퍼 캐리어 트랙(400)은 브라켓 암(146) 등의 셸프 상에 위치될 수 있다. 일반적으로, 웨이퍼 캐리어 트랙(400)은 브라켓 암(146)과 클램프 암(clamp arm)(148) 사이에 배치될 수 있다. 브라켓 암(146)은 브라켓 암을 가로지르는 유체 통로들(124b 및 134b)을 포함할 수 있다.
일 실시예에서, 스페이서, 예를 들면, 가스켓(gasket) 또는 O-링은 웨이퍼 캐리어 트랙(400)의 하부 표면과 브라켓 암(146)의 상부 표면 사이에 배치될 수 있다. 또한, 또 다른 스페이서, 예를 들면, 가스켓 또는 O-링은 웨이퍼 캐리어 트랙(400)의 상부 표면과 클램프 암(148)의 하부 표면 사이에 배치될 수 있다. 스페이서들은 웨이퍼 캐리어 트랙(400) 주위에서 갭 또는 공간을 형성하기 위해 사용될 수 있고, 이는 웨이퍼 캐리어 트랙(400)의 열 관리에 도움을 준다. 일 예에서, 브라켓 암(146)의 상부 표면은 스페이서를 포함하는 그루브(groove)를 가질 수 있다. 이와 유사하게, 클램프 암(148)의 하부 표면은 스페이서를 포함하는 그루브를 가질 수 잇다.
도 2a-2c는 본원에서 기술된 또 다른 실시예에 따른 반응기 리드 어셈블리(200)를 도시한다. 반응기 리드 어셈블리(200)는 리드 지지부(lid support)(210) 상에 배치된 샤워헤드 어셈블리(700) 및 절연체 어셈블리(500)(챔버 스테이션(160)), 및 샤워헤드 어셈블리(700) 및 배출 어셈블리(800)(챔버 스테이션(162))을 포함한다. 도 2d는 일 실시예에 기술된 바와 같이, 반응기 리드 어셈블리(200) 내에 포함된 리드 지지부(210)를 도시한다. 리드 지지부(210)는 하부 표면(208) 및 상부 표면(212)을 가진다. 플랜지(flange)(220)는 리드 지지부(210)로부터 외부 방향으로 연장되고, 하부 표면(222)을 가진다. 플랜지(220)는 반응기 몸체 어셈블리(102) 상에 배치될 시에 반응기 리드 어셈블리(200)를 지지하는데 도움을 준다. 플랜지(220)의 하부 표면(222)은 반응기 몸체 어셈블리(102)의 상부 표면들(114, 116, 128, 및 138)과 물리적으로 접촉될 수 있다.
일 실시예에서, 샤워헤드 어셈블리들(700)는 리드 지지부(210)의 샤워헤드 포트들(230 및 250) 내에 배치될 수 있고, 절연체 어셈블리(500)는 리드 지지부(210)의 절연체 포트(240) 내에 배치될 수 있고, 배출 어셈블리(800)는 리드 지지부(210)의 배출 포트(260) 내에 배치될 수 있다. 가스 또는 배출 어셈블리의 기하학적인 형태는 일반적으로 각각의 포트의 기하학적인 형태와 일치한다. 각각의 샤워헤드 어셈블리(700) 및 샤워헤드 포트들(230 및 250)은 직사각형 또는 정사각형의 기하학적인 형태를 독립적으로 가질 수 있다. 공정 통로 - 예를 들면 부상 웨이퍼 캐리어(480)가 제조 공정 동안 웨이퍼 캐리어 트랙(400)을 따라 앞으로 이동하는 통로는 리드 지지부(210)의 길이를 따라, 웨이퍼 캐리어 트랙(400)을 따라 연장된다.
샤워헤드 포트(230)는 길이(232) 및 폭(234)을 가지고, 샤워헤드 포트(250)는 길이(252) 및 폭(254)을 가진다. 절연체 어셈블리(500) 및 절연체 포트(240)는 직사각형 또는 정사각형의 기하학적인 형태를 독립적으로 가질 수 있다. 절연체 포트(240)는 길이(242) 및 폭(244)을 가진다. 배출 어셈블리(800) 및 배출 포트(260)는 직사각형 또는 정사각형의 기하학적인 형태를 독립적으로 가질 수 있다. 배출 포트(260)는 길이(262) 및 폭(264)을 가진다.
공정 통로는 샤워헤드 포트(230)의 길이(232) 및 그에 위치된 제 1 샤워헤드 어셈블리를 따라 연장되고, 절연체 포트(240)의 길이(242) 및 그에 위치된 절연체 어셈블리를 따라 연장되고, 샤워헤드 포트(250)의 길이(252) 및 그에 위치된 제 2 샤워헤드 어셈블리를 따라 연장되며, 그리고, 배출 포트(260)의 길이(262) 및 그에 위치된 배출 어셈블리를 따라 연장된다. 또한, 공정 통로는 샤워헤드 포트(230)의 폭(234) 및 그에 위치된 제 1 샤워헤드 어셈블리에, 절연체 포트(240)의 폭(244) 및 그에 위치된 절연체 어셈블리에, 샤워헤드 포트(250)의 폭(254) 및 그에 위치된 제 2 샤워헤드 어셈블리에, 그리고 배출 포트(260)의 폭(264) 및 그에 위치된 배출 어셈블리에 수직으로 또는 실질적인 수직으로 연장된다.
일부 예들에서, 제 1 샤워헤드 어셈블리(700), 절연체 어셈블리(500), 제 2 샤워헤드 어셈블리(700), 및 배출 어셈블리(800)는 서로 인접하게 연속하여 배치되고, 리드 지지부의 길이를 따라 연장되는 공정 통로를 따라 배치된다. 절연체 어셈블리(500), 배출 어셈블리(800)는, 공정 통로의 폭과 실질적으로 동일하거나 그 공정 통로보다 큰 폭을 각각 가질 수 있다. 또한, 절연체 어셈블리(500) 또는 배출 어셈블리(800)는, 제 1 및 제 2 샤워헤드 어셈블리들(700)의 폭과 실질적으로 동일하거나, 그 폭보다 큰 폭을 독립적으로 가질 수 있다.
일 실시예에서, 샤워헤드 어셈블리들(700)은 정사각형의 기하학적인 형태를 독립적으로 가지고, 절연체 어셈블리(500) 및 배출 어셈블리(800)는 정사각형의 기하학적인 형태를 가진다. 일 예에서, 절연체 포트(240)의 폭(244) 및 절연체 어셈블리(500)의 폭은 챔버의 내부 폭을 가로질러 연장될 수 있다. 또 다른 예에서, 배출 포트(260)의 폭(264) 및 배출 어셈블리(800)의 폭은 챔버의 내부 폭을 가로질러 연장될 수 있다.
일부 실시예들에서, 샤워헤드 포트(230)의 폭(234), 샤워헤드 포트(250)의폭(254), 및 각각의 샤워헤드 어셈블리(700)의 폭은 독립적으로, 약 3 인치 내지 약 9 인치, 바람직하게, 약 5 인치 내지 약 7 인치의 범위에 속할 수 있고, 예를 들면, 약 6 인치일 수 있다. 또한, 샤워헤드 포트(230)의 길이(232), 샤워헤드 포트(250)의 길이(252) 및 각각의 샤워헤드 어셈블리(700)의 길이는 독립적으로, 약 3 인치 내지 약 9 인치, 바람직하게, 약 5 인치 내지 약 7 인치의 범위에 속할 수 있고, 예를 들면, 약 6 인치일 수 있다.
다른 실시예들에서, 절연체 포트(240)의 폭(244) 및 절연체 어셈블리(500)의 폭은 독립적으로, 약 3 인치 내지 약 12 인치, 바람직하게, 약 4 인치 내지 약 8 인치, 그리고 더 바람직하게, 약 5 인치 내지 약 6 인치의 범위에 속할 수 있다. 또한, 절연체 포트(240)의 길이(242) 및 절연체 어셈블리(500)의 길이는 독립적으로, 약 0.5 인치 내지 약 5 인치, 바람직하게, 약 1 인치 내지 약 4 인치, 약 1.5 인치 내지 약 2 인치의 범위에 속할 수 있다.
다른 실시예들에서, 배출 포트(260)의 폭(264) 및 배출 어셈블리(800)의 폭은 독립적으로, 약 3 인치 내지 약 12 인치, 바람직하게, 약 4 인치 내지 약 8 인치, 그리고 더 바람직하게, 약 5 인치 내지 약 6 인치의 범위에 속할 수 있다. 도한, 배출 포트(260)의 길이(262) 및 배출 어셈블리(800)의 길이는 독립적으로, 약 0.5 인치 내지 약 5 인치, 바람직하게, 약 1 인치 내지 약 4 인치, 약 1.5 인치 내지 약 2 인치의 범위에 속할 수 있다.
반응기 리드 어셈블리(200)는 열 조절 시스템의 부분으로서 적어도 하나의 열 교환기에 연결되어 그 열 교환기와 유체가 통할 수 있다. 일부 실시예들에서, 반응기 리드 어셈블리(200)는 2, 3 개, 또는 그 이상의 열 교환기에 연결되어 그 열 교환기와 유체가 통할 수 있다.
반응기 리드 어셈블리(200)의 열 조절 시스템(190)(도 1f)은 도 2a에 도시된 바와 같이, 유입구들(214a, 216a, 및 218a) 및 유출구들(214b, 216b, 및 218b)을 포함한다. 유입구 및 유출구의 각각의 쌍은 반응기 리드 어셈블리(200)를 거쳐 연장된 통로에 연결되어 그 통로와 유체가 통한다. 유입구들(214a, 216a, 및 218a)은 열 교환기로부터 액체를 수용할 수 있는 반면, 유출구들(214b, 216b, 및 218b)은 열 교환기들(180a-180c) 등의 열 교환기로 다시 액체를 보낼 수 있다. 일부 실시예들에서, 온도 조절 시스템(190)은 약 250 ℃ 내지 약 350 ℃, 바람직하게, 약 275 ℃ 내지 약 325 ℃, 더 바람직하게, 약 290 ℃ 내지 약 310 ℃의 범위 내 온도에서, 예를 들면, 약 300 ℃의 온도에서 반응기 몸체 어셈블리(102) 및/또는 반응기 리드 어셈블리(200)를 독립적으로 유지하기 위해 열 교환기들(180a-180c)을 사용한다.
도 2b-2c는 유체 통로들(224, 226, 및 228)을 도시한다. 유체 통로(224)는 유입구(214a)와 유출구(214b) 사이에 배치되고, 열 교환기에 연결되어 그 열 교환기와 유체가 통할 수 있다. 유체 통로(224)는 샤워헤드 어셈블리(700)와 배출 어셈블리(800) 사이에서 배치된다. 또한, 유체 통로(226)는 유입구(216a)와 유출구(216b) 사이에 배치되고, 유체 통로(228)는 유입구(218a)와 유출구(218b) 사이에 배이되고, 이들 양 유체 통로는 독립적으로, 열 교환기와 연결되어 그 열 교환기와 유체가 통할 수 있다. 유체 통로(226)는 샤워헤드 어셈블리(700)와 절연체 어셈블리(500) 사이에 배치되고, 유체 통로(228)는 샤워헤드 어셈블리(700)와 절연체 어셈블리(500) 사이에 배치된다.
유체 통로(224)는 그루브(213)와 판(223) 사이에 부분적으로 형성된다. 이와 유사하게, 유체 통로(226)는 그루브(215)와 판(225) 사이에 부분적으로 형성되고, 유체 통로(228)는 그루브(217)와 판(227) 사이에 부분적으로 형성된다. 그루브들(213, 215, 및 217)은 리드 지지부(210)의 하부 표면(208) 내에서 형성될 수 있다. 도 2d는 그루브들(213, 215, 및 217)을 각각 덮는 판들(223, 225, 및 227)을 도시한다.
일 실시예에서, 증기 증착용 반응기 리드 어셈블리(200)는 제공되고, 상기 반응기 리드 어셈블리는 리드 지지부(210) 상에 서로 인접하게 배치된 제 1 샤워헤드 어셈블리(700) 및 절연체 어셈블리(500), 리드 지지부(210) 상에 서로 인접하게 배치된 제 2 샤워헤드 어셈블리(700) 및 배출 어셈블리(800)를 포함한다. 절연체 어셈블리(500)는 제 1 샤워헤드 어셈블리(700)와 제 2 샤워헤드 어셈블리(700) 사이에 배치되고, 제 2 샤워헤드 어셈블리(700)는 절연체 어셈블리(500)와 배출 어셈블리(800) 사이에 배치된다.
또 다른 실시예에서, 증기 증착용 반응기 리드 어셈블리(200)는 제공되고, 상기 반응기 리드 어셈블리는 리드 지지부(210) 상에 서로 인접하게 배치된 제 1 샤워헤드 어셈블리(700) 및 절연체 어셈블리(500)를 가진 챔버 스테이션(160), 리드 지지부(210) 상에 서로 인접하에 배치된 제 2 샤워헤드 어셈블리(700) 및 배출 어셈블리(800)를 가진 챔버 스테이션(162)을 포함하고, 절연체 어셈블리(500)는 제 1 샤워헤드 어셈블리(700)와 제 2 샤워헤드 어셈블리(700) 사이에서 배치되고, 제 2 샤워헤드 어셈블리(700)는 절연체 어셈블리(500)와 배출 어셈블리(800) 사이에서 배치된다.
또 다른 실시예에서, 증기 증착용 반응기 리드 어셈블리(200)는 제공되고, 상기 반응기 리드 어셈블리는 리드 지지부(210) 상에 서로 인접하게 연속하여 그리고 직선으로 배치된 제 1 샤워헤드 어셈블리(700), 절연체 어셈블리(500), 제 2 샤워헤드 어셈블리(700), 및 배출 어셈블리(800)를 포함하고, 절연체 어셈블리(500)는 제 1 샤워헤드 어셈블리(700)와 제 2 샤워헤드 어셈블리(700) 사이에서 배치되고, 제 2 샤워헤드 어셈블리(700)는 절연체 어셈블리(500)와 배출 어셈블리(800) 사이에서 배치된다.
또 다른 실시예에서, 증기 증착용 반응기 리드 어셈블리(200)는 제공되고, 상기 반응기 리드 어셈블리는 리드 지지부(210) 상에 서로 인접하게 연소하여 그리고 직선으로 배치된 제 1 샤워헤드 어셈블리(700), 절연체 어셈블리(500), 제 2 샤워헤드 어셈블리(700), 및 배출 어셈블리(800), 및 온도 조절 시스템(190)을 포함하고, 상기 온도 조절 시스템은 적어도 하나의 액체 또는 유체 통로를 가지지만, 그러나 2, 3 개 또는 그 이상의 액체 또는 유체 통로들, 예를 들면, 리드 지지부(210)를 거쳐 연장되는 유체 통로들(224, 226, 및 228)을 종종 가질 수 있다. 온도 조절 시스템(190)은 적어도 하나의 유입구, 예를 들면, 유입구들(214a, 216a, 및 218a), 및 적어도 하나의 유출구, 예를 들면, 유출구들(214b, 216b, 및 218b)을 더 가지고, 이들 유입구 및 유출구는 유체 통로들(224, 226, 및 228)에 연결되어 그 유체 통로들과 유체가 통한다. 유입구들(214a, 216a, 및 218a) 및 유출구들(214b, 216b, 및 218b) 각각은 액체 저장부, 열 교환기, 또는 다수의 열 교환기들, 예를 들면, 열 교환기들(180a, 180b, 및 180c)에 독립적으로 연결되어 이들과 유체가 통할 수 있다. 일 예에서, 액체 저장부는 물, 알코올, 글리콜, 글리콜 에테르, 유기 용제 또는 이들의 혼합물들의 소스를 포함할 수 있거나 그 소스일 수 있다.
일 예에서, 제 1 샤워헤드 어셈블리(700)는 반응기 리드 어셈블리(200)를 통해 연장된 온도 조절 시스템(190)의 2 개의 독립적인 유체 통로들 사이에서 배치될 수 있다. 또 다른 예에서, 제 2 샤워헤드 어셈블리(700)는 반응기 리드 어셈블리(200)를 통해 연장된 온도 조절 시스템(190)의 2 개의 독립적인 유체 통로들 사이에서 배치될 수 있다. 또 다른 예에서, 절연체 어셈블리(500)는 반응기 리드 어셈블리(200)를 통해 연장된 온도 조절 시스템(190)의 2 개의 독립적인 유체 통로들 사이에 배치될 수 있다. 또 다른 예에서, 배출 어셈블리(800)는 반응기 리드 어셈블리(200)를 통해 연장된 온도 조절 시스템(190)의 2 개의 독립적인 유체 통로들 사이에 배치될 수 있다.
또 다른 실시예에서, 증기 증착용 반응기 리드 어셈블리(200)는 제공되고, 상기 반응기 리드 어셈블리는 리드 지지부(210) 상에 서로 인접하에 배치된 제 1 샤워헤드 어셈블리(700)와 절연체 어셈블리(500)를 가진 챔버 스테이션(160), 및 리드 지지부(210) 상에 서로 인접하게 배치된 제 2 샤워헤드 어셈블리(700)와 배출 어셈블리(800)를 가진 챔버 스테이션(162)을 포함하고, 절연체 어셈블리(500)는 제 1 샤워헤드 어셈블리(700)와 제 2 샤워헤드 어셈블리(700)와 온도 조절 시스템(190) 사이에서 배치된다.
일 실시예에서, 제 1 샤워헤드 어셈블리(700), 절연체 어셈블리(500), 제 2 샤워헤드 어셈블리(700), 및 배출 어셈블리(800)는 서로 인접하에 연속하여 배치되고, 리드 지지부(210)의 길이를 따라 배치된다. 일부 실시예들에서, 절연체 어셈블리(500)는 제 1 또는 제 2 샤워헤드 어셈블리(700)보다 긴 폭을 가질 수 있다. 다른 실시예들에서, 절연체 어셈블리(500)는 제 1 또는 제 2 샤워헤드 어셈블리(700)보다 짧은 길이를 가질 수 있다. 일부 실시예들에서, 배출 어셈블리(800)는 제 1 또는 제 2 샤워헤드 어셈블리(700)보다 긴 폭을 가질 수 있다. 다른 실시예들에서, 배출 어셈블리(800)는 제 1 또는 제 2 샤워헤드 어셈블리(700)보다 짧은 길이를 가질 수 있다.
일부 예들에서, 제 1 샤워헤드 어셈블리(700), 절연체 어셈블리(500), 제 2 샤워헤드 어셈블리(700), 및 배출 어셈블리(800)는 독립적으로 직사각형의 기하학적인 형태를 가진다. 다른 예들에서, 제 1 샤워헤드 어셈블리(700) 및 제 2 샤워헤드 어셈블리(700)는 정사각형의 기하학적인 형태를 가진다. 리드 지지부(210)는 강(steel), 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘(molybdenum), 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함하거나 그 물질로 구성될 수 있다.
실시예들에서 제공되는 바와 같이, 각각의 절연체 어셈블리(500) 또는 제 1 또는 제 2 샤워헤드 어셈블리들(700)은 독립적으로, 하부(504 또는 704) 상에 배치된 상부(506 또는 706)를 포함한 몸체(502 또는 702), 상부(506 또는 706) 및 하부(504 또는 704)를 통해 연장되고 몸체(502 또는 702)의 내부 표면들(509 또는 709) 사이에 위치하고 그리고 몸체(502 또는 702)를 통해 연장된 중심 축(501 또는 701)에 평행한 집중형 채널(centralized channel)(516 또는 716), 및 제 1 복수의 홀들(532 또는 732)을 가지고 집중형 채널(516 또는 716) 내에 배치된 옵션널 확산 판(530 또는 730)을 가진다. 절연체 어셈블리(500) 또는 제 1 또는 제 2 샤워헤드 어셈블리들(700)은 독립적으로, 제 2 복수의 홀들(542 또는 742)을 가지고 집중형 채널(516 또는 716) 내에 배치되고 옵션적으로 확산 판(530 또는 730) 아래에 위치된 상부관 판(upper tube plate)(540 또는 740), 및 제 3 복수의 홀들(552 또는 752)을 가지고 집중형 채널(516 또는 716) 내에 배치되고 상부관 판(540 또는 740) 아래에 위치된 하부관 판(550 또는 750)을 가진다. 샤워헤드 어셈블리들(700) 또는 절연체 어셈블리(500) 중 하나는 독립적으로, 상부관 판(540 또는 740)으로부터 하부관 판(550 또는 750)으로 연장된 복수의 가스 관들(580 또는 780)을 더 포함할 수 있고, 가스 관들(580 또는 780) 각각은 제 2 복수의 홀들(542 또는 742)로부터의 개별적인 홀 및 제 3 복수의 홀들(552 또는 752)로부터의 개별적인 홀에 연결되어 이들 개별적인 홀과 유체가 통한다.
또 다른 실시예에서, 배출 어셈블리(800)는 하부(804) 상에 배치된 상부(806)를 가진 몸체(802), 상부(806) 및 하부(804)를 통해 연장되고 몸체(802)의 내부 표면들(809) 사이에 위치되고 몸체(802)를 통해 연장된 중심 축(801)에 평행한 집중형 채널(816), 몸체(802)의 상부(806) 상에 배치된 배출 유출구(860), 제 1 복수의 홀들(832)을 가지고 집중형 채널(816) 내에 배치된 옵션널 확산 판(830), 제 2 복수의 홀들(842)을 가지고 집중형 채널(816) 내에 배치되고 옵션적으로 확산 판(830) 아래에 위치된 상부관 판(840)(존재하는 경우), 제 3 복수의 홀들(852)을 가지고 집중형 채널(816) 내에 배치되고 상부관 판(840) 아래에 위치된 하부관 판(850)을 포함한다. 배출 어셈블리(800)는 상부관 판(840)으로부터 하부관 판(850)으로 연장된 복수의 배출 관들(880)을 더 포함할 수 있고, 배출 관들(880) 각각은 제 2 복수의 홀들(842)로부터의 개별적인 홀 및 제 3 복수의 홀들(852)로부터의 개별적인 홀에 연결되어 이들 개별적인 홀과 유체가 통한다.
도 4a-4e는 본원에서 기술된 일 실시예에 따른 웨이퍼 캐리어 트랙(400)을 도시한다. 또 다른 실시예에서, 증기 증착 반응기 시스템, 예를 들면, 반응기(100) 내에서, 기판 서스셉터(substrate susceptor), 예를 들면, 부상 웨이퍼 캐리어(480)를 부상시키고 가로지르는 웨이퍼 캐리어 트랙(400)은 제공되고, 상기 웨이퍼 캐리어 트랙은 웨이퍼 캐리어 트랙(400)의 하부 세그먼트(412) 상에 배치된 웨이퍼 캐리어 트랙(400)의 상부 세그먼트(410)를 포함한다. 가스 캐비티(430)는 웨이퍼 캐리어 트랙(400)의 상부 세그먼트(410)와 하부 세그먼트(412) 사이에서 형성된다. 2 개의 측면들(416)은 웨이퍼 캐리어 트랙(400)의 상부 세그먼트(410)를 따라 연장되고, 서로 평행을 이룬다. 가이드 통로(420)는 2 개의 측면들(416) 사이에서 연장되되, 상부 세그먼트(410)의 상부 표면(418)을 따라 연장된다. 복수의 가스 홀들(438)은 가이드 통로(420) 내에 배치되고, 상부 세그먼트(410)의 상부 표면(418)으로부터 상부 세그먼트(410)을 통하여 가스 캐비티(430)로 연장된다.
또 다른 실시예에서, 상부 랩 조인트(440)는 웨이퍼 캐리어 트랙(400)의 일측 말단부에 배치되고, 하부 랩 조인트(450)는 웨이퍼 캐리어 트랙(400)의 대향 말단부에 배치되고, 상부 랩 조인트(440)는 가이드 통로(420) 및 측면들(416)의 일부를 따라 연장된다. 상부 랩 조인트(440)는 하부 세그먼트(412)보다 더 연장된 하부 표면(442)을 가진다. 하부 랩 조인트(450)는 웨이퍼 캐리어 트랙(400)의 가이드 통로(420) 및 측면들(416)보다 더 연장된 상부 표면(452)을 가진다.
일반적으로, 웨이퍼 캐리어 트랙(400)의 상부 세그먼트(410) 및/또는 하부 세그먼트(412)는 독립적으로 석영을 포함할 수 있다. 일부 예들에서, 웨이퍼 캐리어 트랙(400)의 하부 세그먼트(412)는 수정 판일 수 있다. 웨이퍼 캐리어 트랙(400)의 상부 세그먼트(410) 및 하부 세그먼트(412)는 서로 결합될 수 있다(fused). 일 특정 예에서, 상부 세그먼트(410) 및 하부 세그먼트(412) 모두는 석영을 포함하고, 서로 결합되어 이들 사이에서 가스 캐비티(gas cavity)를 형성한다. 웨이퍼 캐리어 트랙(400)의 상부 세그먼트(410) 및/또는 하부 세그먼트(412)에 포함된 석영은 보통 투명하지만, 그러나, 일부 실시예들에서, 웨이퍼 캐리어 트랙(400)의 부분들은 불투명한 석영을 포함할 수 있다.
또 다른 실시예에서, 가스 포트(434)는 웨이퍼 캐리어 트랙(400)의 측면(402)으로부터 가스 캐비티(430)로 연장된다. 일 예에서, 가스 포트(434)는 상부 세그먼트(410)를 통하여 연장된다. 복수의 가스 홀들(438)은 약 10 개의 홀들 내지 약 50 개의 홀들, 바람직하게, 약 20 개의 홀들 내지 약 40 개의 홀들을 가질 수 있다. 가스 홀들(438) 각각은 약 0.005 인치 내지 약 0.05 인치, 바람직하게, 약 0.01 인치 내지 약 0.03 인치의 범위 내의 직경을 가질 수 있다.
다른 실시예들에서, 웨이퍼 캐리어 트랙 시스템은 도 4d-4e에 도시된 바와 같이, 연속하여 한 줄로(end to end) 배치된 2 개 이상의 웨이퍼 캐리어 트랙들(400)을 포함할 수 있다. 일 실시예에서, 웨이퍼 캐리어 트랙 시스템은 제공되고, 상기 웨이퍼 캐리어 트랙 시스템은 제 2 웨이퍼 캐리어 트랙(400)의 하부 랩 조인트(450) 상에 배치된 제 1 웨이퍼 캐리어 트랙(400)의 상부 랩 조인트(upper lap joint)(440), 제 1 웨이퍼 캐리어 트랙(400)의 상부 랩 조인트(440)와 제 2 웨이퍼 캐리어 트랙(400)의 하부 랩 조인트(450) 사이에서 형성된 배출 포트, 및 제 2 웨이퍼 캐리어 트랙(400)의 상부 표면 상의 제 2 가이드 통로에 정렬되는 제 1 웨이퍼 캐리어 트랙(400)의 상부 표면 상의 제 1 가이드 통로를 포함한다. 일부 예들에서, 제 2 웨이퍼 캐리어 트랙(400)의 상부 랩 조인트(440)는 제 3 웨이퍼 캐리어 트랙(400)(미도시)의 하부 랩 조인트(450) 상에 배치될 수 있다.
또 다른 실시예에서, 증기 증착 반응기 시스템, 예를 들면, 반응기(100) 내에서, 부상 웨이퍼 캐리어(480)를 부상시키고 가로지르는 웨이퍼 캐리어 트랙(400)은 제공되고, 상기 웨이퍼 캐리어 트랙은 웨이퍼 캐리어 트랙(400)의 상부 표면을 따라 연장된 가이드 통로(420) 내에서 형성된 가스 캐비티(430), 가이드 통로(420) 내에 위치되고 웨이퍼 캐리어 트랙(400)의 상부 표면으로부터 가스 캐비티(430)로 연장되는 복수의 가스 홀들(438), 및 웨이퍼 캐리어 트랙(400)의 일 측 말단부에 배치된 상부 랩 조인트(440) 및 웨이퍼 캐리어 트랙(400)의 대향 측 말단부에 배치된 하부 랩 조인트(450)을 가진 웨이퍼 캐리어 트랙(400)을 포함하고, 상부 랩 조인트(440)는 가이드 통로(420)의 일부를 연장하고, 하부 랩 조인트(450)는 웨이퍼 캐리어 트랙(400)의 가이드 통로(420)보다 더 연장된 상부 표면을 가진다.
적어도 하나의 측면은 웨이퍼 캐리어 트랙(400) 상에 배치되고, 가이드 통로(420)를 따라 그리고 그 가이드 통로 상에서 연장될 수 있다. 일부 예들에서, 2 개의 측면들(416)은 웨이퍼 캐리어 트랙(400) 상에 배치되고, 가이드 통로(420)를 따라 그리고 그 가이드 통로 상에서 연장된다. 가이드 통로(420)는 2 개의 측면들(416) 사이에서 연장될 수 있다. 일 실시예에서, 웨이퍼 캐리어 트랙(400)의 상부 세그먼트(upper segment)(410)는 웨이퍼 캐리어 트랙(400)의 하부 세그먼트(412) 상에 배치될 수 있다. 웨이퍼 캐리어 트랙(400)의 상부 세그먼트(410)는 상부 표면를 따라 연장된 가이드 통로(420)를 가질 수 있다. 가스 캐비티(430)는 웨이퍼 캐리어 트랙(400)의 상부 세그먼트(410)와 하부 세그먼트(412) 사이에서 형성될 수 있다. 일부 예들에서, 웨이퍼 캐리어 트랙(400)의 상부 세그먼트(410)와 하부 세그먼트(412)는 서로 결합될 수 있다. 일부 실시예들에서, 웨이퍼 캐리어 트랙(400)은 석영을 포함할 수 있다. 웨이퍼 캐리어 트랙(400)의 상부 세그먼트(410) 및 하부 세그먼트(412)는 독립적으로 석영을 포함할 수 있다. 일 예에서, 웨이퍼 캐리어 트랙(400)의 하부 세그먼트(412)는 수정 판이다.
다른 실시예들에서, 가스 포트(434)는 웨이퍼 캐리어 트랙(400)의 측면으로부터 가스 캐비티(430)로 연장된다. 가스 포트(434)는 부상 가스가 웨이퍼 캐리어 트랙(400)의 측면을 통하여 가스 캐비티(430)로, 그리고 웨이퍼 캐리어 트랙(400)의 상부 표면 상의 복수의 가스 홀들(438) 외부로 흘러가도록 이용될 수 있다. 복수의 가스 홀들(438)은 약 10 개의 홀들 내지 약 50 개의 홀들, 바람직하게, 약 20 개의 홀들 내지 약 40 개의 홀들을 가질 수 있다. 각각의 가스 홀(438)은 약 0.005 인치 내지 약 0.05 인치, 바람직하게, 약 0.01 인치 내지 약 0.03 인치의 범위 내의 직경을 가질 수 있다.
또 다른 실시예에서, 도 12a-12e는 본원에서 기술된 바와 같이 CVD 반응기들을 포함한 다양한 공정 챔버들, 증착 또는 식각에 사용된 다른 공정 챔버들을 통하여 기판을 운반하기 위해 사용될 수 있는 부상 웨이퍼 캐리어(480)를 도시한다. 부상 웨이퍼 캐리어(480)는 단 측면들(471), 장 측면들(473), 상부 표면(472), 및 하부 표면(474)을 가진다. 부상 웨이퍼 캐리어(480)는 직사각형의 기하학적인 형태로 도시되지만, 그러나, 정사각형의 기하학적인 형태, 원형의 기하학적인 형태, 또는 다른 기하학적인 형태들을 가질 수도 있다. 부상 웨이퍼 캐리어(480)는 흑연 또는 다른 물질들을 포함하거나 이러한 물질로 형성될 수 있다. 부상 웨이퍼 캐리어(480)는 보통 CVD 반응기를 통하여 이동되고, 이때 단 측면들(471)은 앞을 향하면서, 장 측면들(473)은 CVD 반응기의 측면을 향한다.
도 12a-12b는 본원에서 기술된 일 실시예에 따른 부상 웨이퍼 캐리어(480)를 도시한다. 도 12a는 상부 표면(472) 상에서 3 개의 인덴테이션들(indentations)(475)를 포함한 부상 웨이퍼 캐리어(480)의 상부도를 도시한다. 웨이퍼들 또는 기판들은 인덴테이션들(475) 내에 위치될 수 있으면서, 공정 동안 CVD 반응기를 통하여 이동될 수 있다. 3 개의 인덴테이션들(475)이 도시되지만, 상부 표면(472)은 다소의 인덴테이션들을 가질 수 있고, 인덴테이션들을 가지지 않을 수도 있다. 예를 들면, 부상 웨이퍼 캐리어(480)의 상부 표면(472)은 웨이퍼들 또는 기판들을 포함하는 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12 개 또는 그 이상의 인덴테이션들을 포함할 수 있다. 일부 예에서, 하나의 웨이퍼/기판 또는 다수의 웨이퍼들/기판들은 인덴테이션이 없는 상부 표면(472) 상에 직접 배치될 수 있다.
도 12b는 본원의 일 실시예에 기술된 바와 같이, 하부 표면(474) 상에서 인덴테이션(478)을 포함한 부상 웨이퍼 캐리어(480)의 하부도를 도시한다. 인덴테이션(478)은 부상 웨이퍼 캐리어(480) 아래에 가스 쿠션(gas cushion)이 들어올 시에, 부상 웨이퍼 캐리어(480)를 부상시키는데 도움을 주기 위해 사용될 수 있다. 가스는 가스 쿠션을 형성하기 위해 가스를 축적하는 인덴테이션(478)으로 흐를 수 있다. 부상 웨이퍼 캐리어(480)의 하부 표면(474)은 인덴테이션들이 없거나, 하나의 인덴테이션(478)(도 12b), 2 개의 인덴테이션들(478)(도 12c-12e), 3 개의 인덴테이션들(478)(미도시) 또는 그 이상의 인덴테이션들을 가질 수 있다. 각각의 인덴테이션들(478)은 직선 또는 테이퍼형 측면들(tapered sides)을 가질 수 있다. 일 예에서, 각각의 인덴테이션(478)은 테이퍼형 측면들을 가져서, 측면들(476)은 각도의 변화가 점차적으로 있는 측면들(477)보다 가파르거나, 경사가 보다 심하다. 인덴테이션(478) 내의 측면들(477)은 부상 웨이퍼 캐리어(480)를 통한 열 경사도(thermal gradient)를 보상하기 위해 테이퍼화될 수 있다. 또한, 측면들(477)은 가스 포켓을 형성하는데 도움을 주고 부상 웨이퍼 캐리어(480) 아래에서 가스 포켓을 유지하면서 웨이퍼 캐리어 트랙(400)을 따라 부상 웨이퍼 캐리어(480)를 상승시키고 이동시키고/횡단시키기 위해, 테이퍼화되거나 각이 질 수 있다. 또 다른 예에서, 인덴테이션(478)은 직선 측면들 또는 실질적인 직선 측면들 및 테이퍼형 측면들을 가지고, 그 결과, 측면들(476)은 직선으로 되거나 실질적인 직선으로 되고, 측면들(477)은 테이퍼/각도를 가지거나, 또는 측면들(477)은 직선으로 되거나 실질적인 직선으로 되고, 측면들(476)은 테이퍼/각도를 가진다. 대안으로, 인덴테이션(478)은 모든 직선 측면들을 가질 수 있어서, 측면들(476 및 477)은 직선이거나 실질적인 직선으로 된다.
또 다른 실시예에서, 도 12c-12e는 하부 표면(474) 상에서 2 개의 인덴테이션들(478)을 포함한 부상 웨이퍼 캐리어(480)의 하부도를 도시한다. 2 개의 인덴테이션들(478)은 부상 웨이퍼 캐리어(480) 아래에 가스 쿠션이 들어올 시에, 부상 웨이퍼 캐리어(480)를 부상시키는데 도움을 준다. 가스는 가스 쿠션을 형성하기 위해 가스를 축적하는 인덴테이션(478)으로 흐를 수 있다. 인덴테이션들(478)은 직선 또는 테이퍼형 측면들을 가질 수 있다. 일 예에서, 도 10e에 도시된 바와 같이, 인덴테이션들(478) 모두는 직선 측면들을 가지고, 그 결과, 측면들(476 및 477)은 직선이고, 예를 들면, 하부 표면(474)의 평면에 수직한 직선이다. 또 다른 예에서, 도 10f에 도시된 바와 같이, 인덴테이션들(478) 모두는 테이퍼형 측면들을 가지고, 그 결과, 측면들(476)은 각도의 변화가 점차적으로 있는 측면들(477)보다 가파르거나, 경사가 보다 심하다. 인덴테이션(478) 내의 측면들(477)은 부상 웨이퍼 캐리어(480)를 통한 열 경사도를 보상하기 위해 테이퍼화될 수 있다. 대안으로, 인덴테이션들(478)은 직선 측면들 및 테이퍼형 측면들의 조합물을 가질 수 있고, 그 결과, 측면들(476)은 직선으로 되고, 측면들(477)은 테이퍼를 가지거나, 또는 측면들(477)은 직선으로 되고, 측면들(476)은 테이퍼를 가진다.
부상 웨이퍼 캐리어(480)는 하부 표면(474)으로부터 상부 표면(472)으로, 그리고 그 위에 배치된 기판들로 연장된 열 플럭스(heat flux)를 포함한다. 열 플럭스는 공정 시스템의 내부 압력 및 길이 모두에 의해 제어될 수 있다. 부상 웨이퍼 캐리어(480)의 프로파일은 다른 소스들로부터 나온 열 손실을 보상하기 위해 테이퍼화될 수 있다. 공정 동안, 열은 부상 웨이퍼 캐리어(480)의 에지들, 예를 들면, 단 측면들(471) 및 장 측면들(473)을 통하여 손실된다. 그러나, 열 손실은 부상의 채널 갭을 감소시킴으로써, 부상 웨이퍼 캐리어(480)의 에지들로 보다 많은 열 플럭스를 가능케 하여 보상될 수 있다.
또 다른 실시예에서, 웨이퍼 캐리어 트랙(400)은 가이드 통로(420) 상에 배치된 부상 웨이퍼 캐리어(480)를 포함한다. 일부 예들에서, 부상 웨이퍼 캐리어(480)는 하부 표면 내에 배치된 적어도 하나의 인덴테이션 포켓을 가진다. 다른 예들에서, 부상 웨이퍼 캐리어(480)는 하부 표면 내에 배치된 적어도 2 개의 인덴테이션 포켓들을 가진다.
도 5a-5d는 본원에서 기술된 실시예들에 따라서, 반응기(100)와 같은 증기 증착 챔버용 절연체 어셈블리(500)를 도시한다. 일 실시예에서, 절연체 어셈블리(500)는 상부(506) 및 하부(504)를 가진 몸체(502), 몸체(502)의 상부(506) 및 하부(504)를 통하여 연장된 집중형 채널(516)을 포함한다. 상부(506)는 상부 표면(507)을 포함한다. 집중형 채널(516)은 몸체(502)의 내부 표면들(509) 사이에서 연장되고, 몸체(502)를 통하여 연장된 중심 축(501)과 평행을 이룬다. 확산 판(530)은 복수의 가스 홀들(532)을 포함하고, 집중형 채널(516) 내에 배치된다. 일 예에서, 확산 판(530)은 플랜지 또는 레지(ledge)(510) 상에 배치된다. 또 다른 예에서, 절연체 어셈블리(500)는 그에 배치된 확산 판(530)을 포함하지 않는다.
절연체 어셈블리(500)는, 복수의 가스 홀들(542)을 가지고 확산 판(530) 아래에 위치된 집중형 채널(516) 내에 배치된 상부관 판(540)을 더 포함한다. 절연체 어셈블리(500)는 또한, 복수의 가스 홀들(552)을 가지고 상부관 판(540) 아래에 위치된 집중형 채널(516) 내에 배치된 하부관 판(550)을 포함한다. 복수의 가스들(580)은 상부관 판(540)으로부터 하부관 판(550)으로 연장되고, 각각의 관은 복수의 가스 홀들(542)로부터의 개별적인 홀 및 복수의 가스 홀들(552)로부터의 개별적인 홀에 연결되어 이러한 개별적인 홀들과 유체가 통한다. 가스 관들(580) 각각은 본원에서 기술된 많은 실시예들에서, 서로에, 그리고 중심 축(501)에 평행하거나 실질적으로 평행하게 연장된다. 대안적인 실시예에서, 도시되지는 않았지만, 각각의 가스 관들(580)은 중심 축(501)에 대해 소정의 각도, 예를 들면, 약 1° 내지 약 15° 또는 그 이상의 범위에 속한 각도로 연장될 수 있다.
절연체 어셈블리(500)는 유입구 포트(522)를 통하여 캐비티들(538, 548, 및 558)에 흐름 통로를 구비함으로써, 가스, 예를 들면, 퍼지 가스(purge gases), 전구체 가스, 및/또는 캐리어 가스를 퍼트리기 위해 사용될 수 있다. 캐비티(538)는 집중형 채널(516) 내의 확산 판(530)과 상부 판(520) 사이에서 형성된다. 캐비티(548)는 집중형 채널(516) 내의 상부관 판(540)과 확산 판(530) 사이에서 형성된다. 캐비티(558)는 집중형 채널(516) 내의 하부관 판(550)과 상부관 판(540) 사이에서 형성된다.
또 다른 실시예에서, 절연체 어셈블리(500)는 상부(506) 및 하부(504)를 포함한 몸체(502)를 포함하고, 상부(506)는 하부(504) 상에서 연장된 플랜지, 몸체(502)의 상부(506) 및 하부(504)를 통하여 연장되고 몸체(502)의 내부 표면들(509) 사이에 위치하고 그리고 몸체(502)를 통해 연장된 중심 축(501)에 평행한 집중형 채널(516), 복수의 가스 홀들(532)을 포함하고 집중형 채널(516) 내에 배치된 확산 판(530), 복수의 가스 홀들(542)을 포함하고 확산 판(530) 아래에 위치된 집중형 채널(516) 내에 배치된 상부관 판(540), 복수의 가스 홀들(552)을 포함하고 상부관 판(540) 아래에 위치된 집중형 채널(516) 내에 배치된 하부관 판(550), 및 상부관 판(540)으로부터 하부관 판(550)으로 연장된 복수의 가스 관들(580)을 포함하고, 각각의 관은 복수의 가스 홀들(542)로부터의 개별적인 홀 및 복수의 가스 홀들(552)로부터의 개별적인 홀에 연결되어, 이러한 개별적인 홀들과 유체가 통한다.
또 다른 실시예에서, 절연체 어셈블리(500)는 상부(506) 및 하부(504)를 포함한 몸체(502)(이때, 상부(506)는 하부(504)보다 더 멀리 몸체(502)의 중심 축(501)으로부터 하부와 인접하게 연장되고, 하부(504)는 상부(506)보다 더 멀리 중심 축(501)과 평행하여 연장됨), 몸체(502)의 상부(506) 및 하부(504)를 통하여 연장되고 몸체(502)의 내부 표면들(509) 사이에 위치하고 그리고 중심 축(501)에 평행한 집중형 채널(516), 복수의 가스 홀들(532)을 포함하고 집중형 채널(516) 내에 배치된 확산 판(530), 복수의 가스 홀들(542)을 포함하고 확산 판(530) 아래에 위치된 집중형 채널(516) 내에 배치된 상부관 판(540), 복수의 가스 홀들(552)을 포함하고 상부관 판(540) 아래에 위치된 집중형 채널(516) 내에 배치된 하부관 판(550), 및 상부관 판(540)으로부터 하부관 판(550)으로 연장된 복수의 가스 관들(580)을 포함하고, 각각의 관은 복수의 가스 홀들(542)로부터의 개별적인 홀 및 복수의 가스 홀들(552)로부터의 개별적인 홀에 연결되어, 이러한 개별적인 홀들과 유체가 통한다.
또 다른 실시예에서, 절연체 어셈블리(500)는 상부(506) 및 하부(504)를 포함한 몸체(502), 몸체(502)의 상부(506) 및 하부(504)를 통하여 연장되고 몸체(502)의 내부 표면들(509) 사이에 위치하고 그리고 몸체(502)를 통하여 연장된 중심 축(501)에 평행한 집중형 채널(516), 복수의 가스 홀들(532)을 포함하고 집중형 채널(516) 내에 배치된 확산 판(530), 복수의 가스 홀들(542)을 포함하고 확산 판(530) 아래에 위치된 집중형 채널(516) 내에 배치된 상부관 판(540), 및 복수의 가스 홀들(552)을 포함하고 상부관 판(540) 아래에 위치된 집중형 채널(516) 내에 배치된 하부관 판(550)을 포함한다.
또 다른 실시예에서, 절연체 어셈블리(500)는 상부(506) 및 하부(504)를 포함한 몸체(502), 몸체(502)의 상부(506) 및 하부(504)를 통하여 연장되고 몸체(502)의 내부 표면들(509) 사이에 위치하고 그리고 몸체(502)를 통하여 연장된 중심 축(501)에 평행한 집중형 채널(516), 복수의 가스 홀들(532)을 포함하고 확산 판(530) 아래에 위치된 집중형 채널(516) 내에 배치된 상부관 판(540), 복수의 가스 홀들(542)을 포함하고 상부관 판(540) 아래에 위치된 집중형 채널(516) 내에 배치된 하부관 판(550), 및 상부관 판(540)으로부터 하부관 판(550)으로 연장된 복수의 가스 관들(580)을 포함하고, 각각의 관은 복수의 가스 홀들(532)로부터의 개별적인 홀 및 복수의 가스 홀들(542)로부터의 개별적인 홀에 연결되어, 이러한 개별적인 홀들과 유체가 통한다.
일부 실시예들에서, 절연체 어셈블리(500)는 모듈식 샤워헤드 어셈블리이다. 몸체(502)의 상부(506) 및 하부(504)는 독립적으로, 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함할 수 있다. 일 예에서, 몸체(502)의 상부(506) 및 하부(504) 각각은 독립적으로, 스테인레스 강 또는 이들의 합금들을 포함한다.
일 실시예에서, 절연체 어셈블리(500)는 몸체(502)의 상부(506) 상에 배치된 가스 유입구(560)를 포함한다. 상부 판(520)은 몸체(502)의 상부(506)의 상부 표면 상에 배치될 수 있고, 가스 유입구(560)는 상기 판 상에 배치될 수 있다. 상기 판은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함할 수 있다. 일부 예들에서, 상기 판은 이를 통해 연장된 유입구 포트(522)를 가진다. 가스 유입구(560)는 유입구 포트(522)를 통하여 연장된 유입구 관(564)을 가진다. 유입구 노즐(562)은 유입구 관(564)의 일 측 말단부에 연결되고, 상기 판 상에 배치될 수 있다. 또 다른 예에서, 샤워헤드 몸체의 상부(506)의 상부 표면은 집중형 채널(516)을 둘러싼 그루브(508)를 가진다. O-링은 그루브(508) 내에 배치될 수 있다. 확산 판(530)은 집중형 채널(516) 내의 몸체(502)의 측면들로부터 돌출된 레지 또는 플랜지 상에 배치될 수 있다.
일 실시예에서, 복수의 가스 관들(580)은 약 500 개의 관들 내지 약 1,500 개의 관들, 바람직하게, 약 700 개의 관들 내지 약 1,200 개의 관들, 그리고, 더 바람직하게, 약 800 개의 관들 내지 약 1,000 개의 관들의 범위에 속한 관들 개수를 가질 수 있고, 예를 들면, 약 900 개의 관들을 가질 수 있다. 일부 예들에서, 각각의 관은 약 0.5 cm 내지 약 2 cm, 바람직하게, 약 0.8 cm 내지 약 1.2 cm의 범위에 속한 길이를 가질 수 있고, 예를 들면, 약 1 cm 길이를 가질 수 있다. 다른 예들에서, 각각 관은 약 0.005 인치 내지 약 0.05 인치, 바람직하게, 약 0.01 인치 내지 약 0.03 인치의 범위에 속한 직경을 가질 수 있다. 일부 예들에서, 관들은 피하 주사침(hypodermic needles)이다. 관들은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함하거나 이러한 물질로 구성될 수 있다.
일 실시예에서, 확산 판(530) 상의 복수의 가스 홀들(532)의 각각의 홀은 상부관 판(540) 상의 복수의 가스 홀들(542)의 각각의 홀보다 큰 직경을 가진다. 나아가, 확산 판(530) 상의 복수의 가스 홀들(532)의 각각의 홀은 하부 확산 판 상의 복수의 가스 홀들(552)의 각각의 홀보다 큰 직경을 가진다. 또한, 상부관 판(540) 상의 복수의 가스 홀들(542)의 각각의 홀은 하부관 판(550) 상의 복수의 가스 홀들(552)의 각각의 홀과 동일한 직경을 가지거나 실질적으로 동일한 직경을 가진다.
일 실시예에서, 확산 판(530)은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함하거나 이러한 물질로 구성될 수 있다. 확산 판(530)은 약 20 개의 홀들 내지 약 200 개의 홀들, 바람직하게, 약 25 개의 홀들 내지 약 55 개의 홀들, 그리고 더 바람직하게, 약 40 개의 홀들 내지 약 60 개의 홀들의 범위에 속한 홀들 개수를 포함할 수 있다. 확산 판(530)의 각각의 홀은 약 0.005 인치 내지 약 0.05 인치, 바람직하게, 약 0.01 인치 내지 약 0.03 인치의 범위에 속한 직경을가질 수 있다. 또 다른 실시예에서, 상부관 판(540) 및/또는 하부관 판(550)은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 독립적으로 포함하거나 이러한 물질로 독립적으로 구성될 수 있다. 상부관 판(540) 및/또는 하부관 판(550)은 독립적으로, 약 500 개의 홀들 내지 약 1,500 개의 홀들, 바람직하게, 약 700 개의 홀들 내지 약 1,200 개의 홀들, 그리고, 더 바람직하게, 약 800 개의 홀들 내지 약 1,000 개의 홀들을 가질 수 있다. 상부관 판(540) 및/또는 하부관 판(550)의 각각의 홀은 독립적으로, 약 0.005 인치 내지 약 0.05 인치, 바람직하게, 약 0.01 인치 내지 약 0.03 인치의 범위에 속한 직경을 가질 수 있다. 또 다른 실시예에서, 절연체 어셈블리(500)는 약 10 개의 홀들/in2(평방 인치당 홀들) 내지 약 60 개의 홀들/in2, 바람직하게, 약 15 개의 홀들/in2 내지 약 45 개의 홀들/in2, 그리고 더 바람직하게, 약 20 개의 홀들/in2 내지 약 36 개의 홀들/in2의 범위에 속한 가스 홀 밀도 및/또는 관들의 개수를 가질 수 있다.
일 예에서, 절연체 어셈블리(500)의 몸체(502)의 상부(506)의 상부 표면은 금속성 판이다. 다른 예들에서, 절연체 어셈블리(500)는 직사각형의 기하학적인 형태 또는 정사각형의 기하학적인 형태를 가질 수 있다. 또 다른 실시예에서, 절연체 어셈블리(500)의 몸체(502)는 온도 조절 시스템을 더 포함한다. 온도 조절 시스템, 예를 들면, 온도 조절 시스템(190)은 몸체(502) 내에서 연장된 유체 통로(518)를 포함할 수 있고, 유체 통로(518)에 연결되어 그 유체 통로와 유체가 통하는 유입구(514a) 및 유출구(514b)를 가질 수 있다. 유입구(514a) 및 유출구(514b)는 액체 저장부 또는 적어도 하나의 열 교환기, 예를 들면, 도 1f에 도시된 바와 같이, 온도 조절 시스템(190) 내의 열 교환기들(180a, 180b, 또는 180c)에 독립적으로 연결되어 이러한 액체 저장부 또는 적어도 하나의 열 교환기와 유체가 통할 수 있다.
도 6은 본원의 실시예들에 기술된 바와 같이, 증기 증착 반응기 시스템 내에서 웨이퍼 캐리어들 또는 기판 지지부들 뿐만 아니라, 웨이퍼들 또는 기판들을 가열하기 위해 사용될 수 있는 가열 램프 어셈블리(600)를 도시한다. 일 실시예에서, 가열 램프 어셈블리(600)는 제공되고, 상기 가열 램프 어셈블리는 지지 베이스(support base)(602)의 상부 표면(606) 상에 배치되고 제 1 램프 홀더(lamp holder)(620a) 및 제 2 램프 홀더(620b)를 포함한 램프 하우징(610), 제 1 램프 홀더(620a)로부터 제 2 램프 홀더(620b)로 연장되는 복수의 램프들(624)을 포함하고, 각각의 램프(624)는 스플리트 필라멘트 또는 비-스플리트 필라멘트를 가지고, 지지 베이스(602)의 상부 표면(606) 상에 배치된 리플렉터(reflector)(650)는 제 1 램프 홀더(620a)와 제 2 램프 홀더(620b) 사이에 배치된다.
또 다른 실시예에서, 가열 램프 어셈블리(600)는 지지 베이스(602)의 상부 표면(606) 상에 배치되고 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b)를 포함한 램프 하우징(610), 제 1 램프 홀더(620a)로부터 제 2 램프 홀더(620b)로 연장되는 제 1 복수의 램프들(624)을 포함하고, 제 1 복수의 램프 각각은 스플리트 필라멘트(split filament), 제 1 램프 홀더(620a)에서 제 2 램프 홀더(620b)로 연장되는 제 2 복수의 램프들(624)을 가지고, 제 2 복수의 램프 각각은 비-스플리트 필라멘트(non-split filament), 및 제 1 램프 홀더(620a)와 제 2 램프 홀더(620b) 사이에서 지지 베이스(602)의 상부 표면(606) 상에 배치된 리플렉터(650)를 가진다.
또 다른 실시예에서, 가열 램프 어셈블리(600)는 지지 베이스(602)의 상부 표면(606) 상에 배치되고 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b)를 포함한 램프 하우징(610), 제 1 램프 홀더(620a)로부터 제 2 램프 홀더(620b)로 연장되는 제 1 복수의 램프들(624)을 포함하고, 제 1 복수의 램프 각각은 스플리트 필라멘트, 제 1 램프 홀더(620a)에서 제 2 램프 홀더(620b)로 연장되는 제 2 복수의 램프들(624)을 가지고, 제 2 복수의 램프 각각은 비-스플리트 필라멘트를 가지고, 제 1 복수의 램프들(624)은 순차적으로 또는 교호적으로, 제 2 복수의 램프들(624) 사이에서 배치되면서 제 1 램프 홀더와 제 2 램프 홀더 사이에서 연장된다. 또한, 리플렉터(650)는 제 1 램프 홀더(620a)와 제 2 램프 홀더(620b) 사이에서 지지 베이스(602)의 상부 표면(606) 상에 배치될 수 있다.
또 다른 실시예에서, 가열 램프 어셈블리(600)는 지지 베이스(602)의 상부 표면(606) 상에 배치되고 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b)를 포함한 램프 하우징(610), 제 1 램프 홀더(620a)로부터 제 2 램프 홀더(620b)로 연장되는 복수의 램프들(624)을 포함하고, 복수의 램프들(624)은 서로 사이에 순차적으로 또는 교호적으로 배치된 제 1 그룹의 램프들 및 제 2 그룹의 램프들을 포함하고, 제 1 그룹의 램프들의 각각의 램프는 스플리트 필라멘트를 포함하고, 제 2 그룹의 램프들의 각각의 램프는 비-스플리트 필라멘트, 및 제 1 램프 홀더(620a)와 제 2 램프 홀더(620b) 사이에서 지지 베이스(602)의 상부 표면(606) 상에 배치된 리플렉터(650)를 포함한다.
또 다른 실시예에서, 가열 램프 어셈블리(600)는 지지 베이스(602)의 상부 표면(606) 상에 배치되고 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b)를 포함한 램프 하우징(610), 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b) 상에 배치된 복수의 포트들(622), 제 1 램프 홀더(620a)에서 제 2 램프 홀더(620b)로 연장되는 복수의 램프들(624)을 포함하고, 각각의 램프는 스플리트 필라멘트 또는 비-스플리트 필라멘트, 및 제 1 램프 홀더(620a)와 제 2 램프 홀더(620b) 사이에서 지지 베이스(602)의 상부 표면(606) 상에 배치된 리플렉터(650)를 가진다.
또 다른 실시예에서, 가열 램프 어셈블리(600)는 지지 베이스(602)의 상부 표면(606) 상에 배치되고 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b)를 포함한 램프 하우징(610), 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b) 상에 배치된 복수의 포트들(622), 제 1 램프 홀더(620a)에서 제 2 램프 홀더(620b)로 연장된 복수의 램프들(624)을 포함하고, 각각의 램프는 스플리트 필라멘트 또는 비-스플리트 필라멘트를 가지고, 각각의 램프는 제 1 램프 홀더(620a) 상의 2 개의 포트들(622) 사이에 배치된 제 1 말단부 및 제 2 램프 홀더(620b) 상의 2 개의 포트들(622) 사이에 배치된 제 2 말단부, 및 제 1 램프 홀더(620a)와 제 2 램프 홀더(620b) 사이에서 지지 베이스(602)의 상부 표면(606) 상에 배치된 리플렉터(650)를 가진다.
또 다른 실시예에서, 가열 램프 어셈블리(600)는 지지 베이스(602)의 상부 표면(606) 상에 배치되고 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b)를 포함한 램프 하우징(610), 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b) 상에 배치된 복수의 포트들(622), 제 1 램프 홀더(620a)에서 제 2 램프 홀더(620b)로 연장된 복수의 램프들(624)을 포함하고, 각각의 램프는 제 1 램프 홀더(620a) 상의 2 개의 포트들(622) 사이에 배치된 제 1 말단부 및 제 2 램프 홀더(620b) 상의 2 개의 포트들(622) 사이에 배치된 제 2 말단부, 및 제 1 램프 홀더(620a)와 제 2 램프 홀더(620b) 사이에서 지지 베이스(602)의 상부 표면(606) 상에 배치된 리플렉터(650)를 가진다.
또 다른 실시예에서, 가열 램프 어셈블리(600)는 지지 베이스(602)의 상부 표면(606) 상에 배치되고 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b)를 포함한 램프 하우징(610), 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b) 상에 배치된 복수의 포트들(622), 제 1 램프 홀더(620a)에서 제 2 램프 홀더(620b)로 연장되는 복수의 램프들(624), 및 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b) 사이에서 지지 베이스(602)의 상부 표면(606) 상에 배치된 리플렉터(650)를 포함한다.
또 다른 실시예에서, 증기 증착 반응기 시스템용 가열 램프 어셈블리(600)는 제공되고, 상기 가열 램프 어셈블리는 지지 베이스(602)의 상부 표면(606) 상에 배치되고 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b)를 포함한 램프 하우징(610), 제 1 램프 홀더(620a)에서 제 2 램프 홀더(620b)로 연장되는 복수의 램프들(624), 및 제 1 램프 홀더(620a)와 제 2 램프 홀더(620b) 사이에서 지지 베이스(602)의 상부 표면(606) 상에 배치된 리플렉터(650)를 포함한다.
일 실시예에서, 가열 램프 어셈블리(600)는 리플렉터(650)를 포함하고/포함하거나, 리플렉터(650)의 상부 표면은 반사성 금속, 예를 들면 금, 은, 구리, 알루미늄, 니켈, 크로뮴, 이들의 합금들, 또는 이들의 조합물들을 포함한다. 많은 예들에서, 리플렉터(650) 및/또는 리플렉터(650)의 상부 표면은 금 또는 금 합금을 포함한다. 웨이퍼 캐리어 트랙(400)의 하부 표면은 가열 램프 어셈블리(600) 내의 램프들(624)로부터 조사되고 리플렉터(650), 리플렉터(650)의 상부 표면 및/또는 각각의 미러(mirror)(652)로부터 반사된 복사에 노출될 수 있다. 조사된 복사는 웨이퍼 캐리어 트랙(400), 부상 웨이퍼 캐리어(460), 및 반응기(100) 내의 웨이퍼들(90)에 의해 흡수된다. 본원에서 기술되는 공정의 일부 실시예들에서, 웨이퍼 캐리어 트랙(400), 부상 웨이퍼 캐리어(460), 및/또는 웨이퍼들(90) 각각은 약 250 ℃ 내지 약 350 ℃, 바람직하게, 약 275 ℃ 내지 약 325 ℃, 바람직하게, 약 290 ℃ 내지 약 310 ℃의 범위에 속한 온도, 예를 들면, 약 300 ℃의 온도에 조사된 복사에 의해 독립적으로 가열될 수 있다.
가열 램프 어셈블리(600)는 지지 베이스(602)의 상부 표면(606)을 따라 연장되고 지지 베이스(602)의 상부 표면(606)에 수직하거나 실질적으로 수직할 수 있는 적어도 하나의 미러(652)를 포함할 수 있다. 일부 예들에서, 미러(652)는 그 위에 증착되거나 배치된 반사성 코팅을 가진 각각의 램프 홀더(620a 또는 620b)의 내부 측면들일 수 있다. 다른 예들에서, 미러(652)는 각각의 램프 홀더(620a 또는 620b)의 내부 측면들에 부착되거나 고정되는, 미리 제조되거나 모듈식 형태로 된 미러 또는 반사성 물질일 수 있다. 적어도 하나의 미러(652)는 일반적으로 표면(606)의 평면에 대해 약 90°의 각도로 리플렉터(650)를 향하도록 위치된다. 바람직하게, 본원에서 기술된 또 다른 실시예에서, 가열 램프 어셈블리(600)는 지지 베이스(602)의 상부 표면(606)을 따라 연장된 2 개의 미러들(652)을 포함한다. 양쪽 미러들은 지지 베이스(602)의 상부 표면(606)에 수직하거나 실질적으로 수직할 수 있고, 양쪽 미러들(652)은 이들 사이의 리플렉터(650)를 이용하여 서로 향하게 될 수 있다. 2 개의 미러들(652) 각각은 표면(606)의 평면에 대해 약 90°의 각도로 리플렉터(650)를 향한다. 각각의 미러 및/또는 각각의 미러(652)의 상부 표면은 반사성 금속, 예를 들면 금, 은, 구리, 알루미늄, 니켈, 크로뮴, 이들의 합금들, 또는 이들의 조합물들을 포함한다. 많은 예들에서, 각각의 미러(652) 및/또는 각각의 미러(652)의 상부 표면은 금 또는 금 합금을 포함한다.
대안적인 실시예들에서, 도시되지 않았지만, 각각의 미러(652)는 표면(606)의 평면에 대해 90°보다 큰 각도, 예를 들면, 90° 내지 약 135°보다 큰 범위에 속한 각도로 리플렉터(650)로부터 다소 방향이 빗나가도록 위치될 수 있다. 90°보다 큰 각도로 위치된 미러(652)는 에너지가 웨이퍼 캐리어 트랙(400), 부상 웨이퍼 캐리어(460), 또는 반응기(100) 내의 다른 부분들 또는 표면들을 향하도록 이용될 수 있다. 대안적인 실시예들에서, 가열 램프 어셈블리(600)는 지지 베이스(602)의 상부 표면(606)을 따라 3 개 이상의 미러들(652)을 포함할 수 있다.
가열 램프 어셈블리(600) 내의 복수의 램프들(624)은 약 10 개의 램프들 내지 약 100 개의 램프들, 바람직하게, 약 20 개의 램프들 내지 약 50 개의 램프들, 그리고 더 바람직하게, 약 30 개의 램프들 내지 약 40 개의 램프들의 개수를 가질 수 있다. 일 예에서, 가열 램프 어셈블리(600)는 약 34 개의 램프들을 포함한다. 실시예들에서 제공되는 바와 같이, 각각의 램프는 전원, 독립적인 스위치, 및 제어기와 전기 접촉될 수 있다. 제어기는 각 램프에 파워를 독립적으로 제어하기 위해 사용될 수 있다.
다른 실시예들에서, 지지 베이스(602) 및 가열 램프 어셈블리(600) 내의 각각의 램프 홀더(620a 또는 620b)는 독립적으로, 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함하거나 이러한 물질로 구성될 수 있다. 일부 예들에서, 제 1 램프 홀더(620a) 또는 제 2 램프 홀더(620b)는 독립적으로, 스테인레스 강 또는 이들의 합금들을 포함할 수 있거나, 이러한 것들로 구성될 수 있다. 제 1 램프 홀더(620a) 또는 제 2 램프 홀더(620b)는 독립적으로, 약 2,000 W/㎡-K 내지 약 3,000 W/㎡-K, 바람직하게, 약 2,300 W/㎡-K 내지 약 2,700 W/㎡-K의 범위에 속한 냉각 계수(cooling coefficient)를 가질 수 있다. 일 예에서, 냉각 계수는 약 2,500 W/㎡-K이다. 다른 실시예들에서, 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b) 각각은 약 0.001 인치 내지 약 0.1 인치의 범위에 속한 두께를 가진다.
도 10a는 비-스플리트 필라멘트 램프(670)를 도시하고, 도 10b는 본원에서 기술된 다수의 실시예들에 따른 스플리트 필라멘트 램프(680)를 도시한다. 비-스플리트 필라멘트 램프(670)는 벌브(bulb)(672) 및 비-스플리트 필라멘트(674)를 포함하면서, 스플리트 필라멘트 램프(680)는 벌브(682) 및 비-스플리트 필라멘트(684)를 포함한다. 본원의 실시예들 전반에 기술된 바와 같이, 복수의 램프들(624)은 일반적으로, 비-스플리트 필라멘트 램프들(670), 스플리트 필라멘트 램프들(680), 또는 비-스플리트 필라멘트 램프들(670) 및 스플리트 필라멘트 램프들(680)의 혼합물을 포함한다.
도 11a-11f는 서로 다른 복수의 램프들을 도시하고, 상기 서로 다른 복수의 램프들은 본원의 실시예들에 기술된 바와 같이, 램프들(624)이고, 반응기(100)와 같은 증기 증착 반응기 내에서, 웨이퍼 캐리어 트랙, 예를 들면, 웨이퍼 캐리어 트랙(400), 웨이퍼 캐리어 또는 기판 지지부, 예를 들면, 부상 웨이퍼 캐리어(480), 및/또는 웨이퍼 또는 기판, 예를 들면, 웨이퍼들(90) 상의 열 프로파일(heat profile)을 조정하기 위해 이용될 수 있다. 일 실시예에서, 도 11a는 비-스플리트 필라멘트 램프들(670) 모두를 포함한 복수의 램프들을 도시하고, 도 11b는 스플리트 필라멘트 램프들(680) 모두를 포함한 복수의 램프들을 도시한다. 또 다른 실시예에서, 도 11c는 비-스플리트 필라멘트 램프들(670) 및 스플리트 필라멘트 램프들(680)을 순차적으로 또는 대안적으로 포함한 복수의 램프들을 도시한다. 다른 실시예들에서, 도 11d는 매 2 개의 비-스플리트 필라멘트 램프들(670) 사이에서 스플리트 필라멘트 램프(680)을 포함한 복수의 램프들을 도시한 반면, 도 11e는 매 2 개의 스플리트 필라멘트 램프들(680) 사이에서 비-스플리트 필라멘트 램프(670)를 포함한 복수의 램프들을 도시한다. 도 11f는 비-스플리트 필라멘트 램프들(670) 및 스플리트 필라멘트 램프들(680)을 순차적으로 또는 대안으로 포함한 복수의 램프들을 도시하지만, 그러나, 각각의 램프는 도 11a-11e의 램프들보다 서로 더 이격될 수 있다.
다른 실시예들에서, 가열 램프 어셈블리(600)를 이용하여, 반응기(100)와 같은 증기 증착 반응기 시스템 내에서 부상 웨이퍼 캐리어(480) 등의 기판 또는 기판 서스셉터를 가열하는 방법은 제공되고, 상기 방법은 가열 램프 어셈블리(600)로부터 조사된 에너지에 기판 서스셉터의 하부 표면을 노출하는 단계, 및 기결정된 온도로 기판 서스셉터를 가열하는 단계를 포함하고, 가열 램프 어셈블리(600)는 지지 베이스(602)의 상부 표면(606) 상에 배치되고 적어도 하나의 램프 홀더(620a 또는 620b)를 포함한 램프 하우징(610), 램프 홀더들 중 적어도 하나로부터 연장된 복수의 램프들(624), 및 램프 홀더에 인접하여 지지 베이스(602)의 상부 표면(606) 상에 배치되고 램프들 아래에 위치된 리플렉터(650)를 포함한다.
방법의 실시예들은, 가열 램프 어셈블리(600)가 스플리트 필라멘트 램프(680), 비-스플리트 필라멘트들을 가진 램프들, 또는 스플리트 또는 비-스플리트 필라멘트들을 포함한 램프들의 혼합물을 포함하는 것을 더 제공한다. 일 실시예에서, 램프들 각각은 스플리트 필라멘트 램프(680)를 가진다. 스플리트 필라멘트 램프(680)는 제 1말단부와 제 2 말단부 사이의 중심부를 가질 수 있다. 스플리트 필라멘트 램프들(680)의 제 1 및 제 2 말단부들은 스플리트 필라멘트 램프들(680)의 중심부보다 더 따뜻하게 유지될 수 있다. 그러므로, 기판 서스셉터의 외부 에지들은 기판 서스셉터의 중심점보다 더 따뜻하게 유지될 수 있다.
또 다른 실시예에서, 각각의 램프들은 비-스플리트 필라멘트 램프(670)를 가진다. 비-스플리트 필라멘트 램프(670)는 제 1 말단부와 제 2 말단부 사이의 중심부를 포함할 수 있다. 비-스플리트 필라멘트 램프들(670)의 중심부는 비-스플리트 필라멘트 램프들(670)의 제 1 및 제 2 말단부들보다 더 따뜻하게 유지될 수 있다. 그러므로, 기판 서스셉터의 중심점은 기판 서스셉터의 외부 에지들보다 더 따뜻하게 유지될 수 있다.
또 다른 실시예에서, 복수의 램프들(624)은 스플리트 필라멘트 램프들 및 비-스플리트 필라멘트 램프들을 가진다. 일 실시예에서, 스플리트 필라멘트 램프들(680) 및 비-스플리트 필라멘트 램프들(670)은 이들 사이에서 순차적으로 배치된다. 각각의 램프는 독립적으로, 전원 및 제어기에 전기 접촉될 수 있다. 방법은 각각의 램프에 흐르는 전기량을 독립적으로 조정하는 단계를 더 포함한다. 일 예에서, 스플리트 필라멘트 램프(680)는 제 1 말단부와 제 2 말단부 사이의 중심부를 가질 수 있다. 스플리트 필라멘트 램프들(680)의 제 1 말단부와 제 2 말단부는 스플리트 필라멘트 램프들(680)의 중심부보다 더 따뜻하게 유지될 수 있다. 그러므로, 기판 서스셉터의 외부 에지들은 기판 서스셉터의 중심점보다 더 따뜻하게 유지될 수 있다. 또 다른 예에서, 비-스플리트 필라멘트 램프(670)는 제 1 말단부와 제 2 말단부 사이의 중심부를 가질 수 있다. 비-스플리트 필라멘트 램프들(670)의 중심부는 비-스플리트 필라멘트 램프들(670)의 제 1 말단부와 제 2 말단부보다 더 따뜻하게 유지될 수 있다. 그러므로, 기판 서스셉터의 중심점은 기판 서스셉터의 외부 에지들보다 더 따뜻하게 유지될 수 있다.
다양한 실시예들에서, 방법은 기판 서스셉터가 기판 캐리어 또는 웨이퍼 캐리어일 수 있는 것을 제공한다. 램프 하우징(610)은 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b)를 가질 수 있다. 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b)는 서로 평행하거나 실질적으로 평행할 수 있다. 일 예에서, 리플렉터(650)는 제 1 램프 홀더(620a)와 제 2 램프 홀더(620b) 사이에서 배치될 수 있다. 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b) 각각은 약 0.001 인치 내지 약 0.1 인치의 범위에 속한 두께를 가진다. 램프 홀더들의 소정의 두께는 램프 홀더들의 온도를 일정하게 유지하는데 도움을 준다. 그러므로, 제 1 램프 홀더(620a) 및 제 2 램프 홀더(620b) 각각은 독립적으로, 약 275 ℃ 내지 약 375 ℃, 바람직하게, 약 300 ℃ 내지 약 350 ℃의 범위에 속한 온도로 유지될 수 있다.
도 7a-7d는 본원에서 기술된 실시예들에 따라서, 반응기(100) 등의 증기 증착 챔버용 샤워헤드 어셈블리(700)를 도시한다. 일 실시예에서, 샤워헤드 어셈블리(700)는 상부(706)와 하부(704)를 가진 몸체(702), 및 몸체(702)의 상부(706) 및 하부(704)를 통하여 연장된 집중형 채널(716)을 포함한다. 상부(706)는 상부 표면(707)을 포함한다. 집중형 채널(716)은 몸체(702)의 내부 표면들(709) 사이에서 연장되고, 몸체(702)를 통하여 연장된 중심 축(701)과 평행을 이룬다. 확산 판(730)은 복수의 가스 홀들(732)을 포함하고, 집중형 채널(716) 내에 배치된다. 일 예에서, 확산 판(730)은 플랜지 또는 레지(710) 상에 배치된다. 또 다른 예에서, 샤워헤드 어셈블리(700)는 그에 배치된 옵션널 확산 판(730)을 포함하지 않는다.
샤워헤드 어셈블리(700)는 상부관 판(740)을 더 포함하고, 상기 상부관 판은 복수의 가스 홀들(742)을 가지고, 확산 판(730) 아래에 위치된 집중형 채널(716) 내에 배치된다. 샤워헤드 어셈블리(700)는 또한, 하부관 판(750)을 포함하고, 상기 하부관 판은 복수의 가스 홀들(752)을 가지고, 상부관 판(740) 아래에 위치된 집중형 채널(716) 내에 배치된다. 복수의 가스 관들(780)은 상부관 판(740)에서 하부관 판(750)으로 연장되고, 각각의 관은 복수의 가스 홀들(742)로부터의 개별적인 홀과 복수의 가스 홀들(752)로부터의 개별적인 홀에 연결되어 이러한 개별적인 홀들과 유체가 통한다. 가스 관들(780) 각각은 본원에서 기술된 많은 실시예들에서, 중심 축(701)뿐만 아니라, 서로 평행하거나 서로 실질적으로 평행하게 연장된다. 대안적인 실시예에서, 도시되지는 않았지만, 각각의 가스 관들(780)은 중심 축(701)에 대한 소정의 각도로, 예를 들면, 약 1° 내지 약 15°또는 그 이상의 범위에 속한 각도로 연장될 수 있다.
샤워헤드 어셈블리(700)는 유입구 포트(722)를 통하여 캐비티들(738, 748, 및 758)에 흐름 통로를 구비함으로써, 가스, 예를 들면, 퍼지 가스, 전구체 가스, 및/또는 캐리어 가스를 퍼트리기 위해 사용될 수 있다. 캐비티(738)는 집중형 채널(716) 내의 상부 판(720)과 확산 판(730) 사이에서 형성된다. 캐비티(748)는 집중형 채널(716) 내의 상부관 판(740)과 확산 판(730) 사이에서 형성된다. 캐비티(758)는 집중형 채널(716) 내의 하부관 판(750)과 상부관 판(740) 사이에서 형성된다.
또 다른 실시예에서, 샤워헤드 어셈블리(700)는 상부(706) 및 하부(704)를 포함한 몸체(702)를 포함하고, 상부(706)는 하부(704) 상에서 연장된 플랜지, 몸체(702)의 상부(706) 및 하부(704)를 통하여 연장되고 몸체(702)의 내부 표면들(709) 사이에 위치하고 몸체(702)를 통해 연장된 중심 축(701)에 평행한 집중형 채널(716), 복수의 가스 홀들(732)을 포함하고 집중형 채널(716) 내에 배치된 확산 판(730), 복수의 가스 홀들(742)을 포함하고 확산 판(730) 아래에 위치된 집중형 채널(716) 내에 배치된 상부관 판(740), 복수의 가스 홀들(752)을 포함하고 상부관 판(740) 아래에 위치된 집중형 채널(716) 내에 배치된 하부관 판(750), 및 상부관 판(740)으로부터 하부관 판(750)으로 연장된 복수의 가스 관들(780)을 포함하고, 각각의 관은 복수의 가스 홀들(742)로부터의 개별적인 홀 및 복수의 가스 홀들(752)로부터의 개별적인 홀에 연결되어, 이러한 개별적인 홀들과 유체가 통한다.
또 다른 실시예에서, 샤워헤드 어셈블리(700)는 상부(706) 및 하부(704)를 포함한 몸체(702)(이때, 상부(706)는 하부(704)보다 더 멀리 몸체(702)의 중심 축(701)으로부터 하부와 인접하게 연장되고, 하부(704)는 상부(706)보다 더 멀리 중심 축(701)과 평행하여 연장됨), 몸체(702)의 상부(706) 및 하부(704)를 통하여 연장되고 몸체(702)의 내부 표면들(709) 사이에 위치하고 그리고 중심 축(701)에 평행한 집중형 채널(716), 복수의 가스 홀들(732)을 포함하고 집중형 채널(716) 내에 배치된 확산 판(730), 복수의 가스 홀들(742)을 포함하고 확산 판(730) 아래에 위치된 집중형 채널(716) 내에 배치된 상부관 판(740), 복수의 가스 홀들(752)을 포함하고 상부관 판(740) 아래에 위치된 집중형 채널(716) 내에 배치된 하부관 판(750), 및 상부관 판(740)으로부터 하부관 판(750)으로 연장된 복수의 가스 관들(780)을 포함하고, 각각의 관은 복수의 가스 홀들(742)로부터의 개별적인 홀 및 복수의 가스 홀들(752)로부터의 개별적인 홀에 연결되어, 이러한 개별적인 홀들과 유체가 통한다.
또 다른 실시예에서, 샤워헤드 어셈블리(700)는 상부(706) 및 하부(704)를 포함한 몸체(702), 몸체(702)의 상부(706) 및 하부(704)를 통하여 연장되고 몸체(702)의 내부 표면들(709) 사이에 위치하고 그리고 몸체(702)를 통하여 연장된 중심 축(701)에 평행한 집중형 채널(716), 복수의 가스 홀들(732)을 포함하고 집중형 채널(716) 내에 배치된 확산 판(730), 복수의 가스 홀들(742)을 포함하고 확산 판(730) 아래에 위치된 집중형 채널(716) 내에 배치된 상부관 판(740), 및 복수의 가스 홀들(752)을 포함하고 상부관 판(740) 아래에 위치된 집중형 채널(716) 내에 배치된 하부관 판(750)을 포함한다.
또 다른 실시예에서, 샤워헤드 어셈블리(700)는 상부(706) 및 하부(704)를 포함한 몸체(702), 몸체(702)의 상부(706) 및 하부(704)를 통하여 연장되고 몸체(702)의 내부 표면들(709) 사이에 위치하고 그리고 몸체(702)를 통하여 연장된 중심 축(701)에 평행한 집중형 채널(716), 복수의 가스 홀들(732)을 포함하고 확산 판(730) 아래에 위치된 집중형 채널(716) 내에 배치된 상부관 판(740), 복수의 가스 홀들(742)을 포함하고 상부관 판(740) 아래에 위치된 집중형 채널(716) 내에 배치된 하부관 판(750), 및 상부관 판(740)으로부터 하부관 판(750)으로 연장된 복수의 가스 관들(780)을 포함하고, 각각의 관은 복수의 가스 홀들(732)로부터의 개별적인 홀 및 복수의 가스 홀들(742)로부터의 개별적인 홀에 연결되어, 이러한 개별적인 홀들과 유체가 통한다.
일부 실시예들에서, 샤워헤드 어셈블리(700)는 모듈식 샤워헤드 어셈블리이다. 몸체(702)의 상부(706) 및 하부(704)는 독립적으로, 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함할 수 있다. 일 예에서, 몸체(702)의 상부(706) 및 하부(704) 각각은 독립적으로, 스테인레스 강 또는 이들의 합금들을 포함한다.
일 실시예에서, 샤워헤드 어셈블리(700)는 몸체(702)의 상부(706) 상에 배치된 가스 유입구(760)를 포함한다. 상부 판(720)은 몸체(702)의 상부(706)의 상부 표면 상에 배치될 수 있고, 가스 유입구(760)는 상기 판 상에 배치될 수 있다. 상기 판은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함할 수 있다. 일부 예들에서, 상기 판은 이를 통해 연장된 유입구 포트(722)를 가진다. 가스 유입구(760)는 유입구 포트(722)를 통하여 연장된 유입구 관(764)을 가진다. 유입구 노즐(762)은 유입구 관(764)의 일 측 말단부에 연결되고, 상기 판 상에 배치될 수 있다. 또 다른 예에서, 샤워헤드 몸체의 상부(706)의 상부 표면은 집중형 채널(716)을 둘러싼 그루브(708)를 가진다. O-링은 그루브(708) 내에 배치될 수 있다. 확산 판(730)은 집중형 채널(716) 내의 몸체(702)의 측면들로부터 돌출된 레지 또는 플랜지 상에 배치될 수 있다.
일 실시예에서, 복수의 가스 관들(780)은 약 500 개의 관들 내지 약 1,500 개의 관들, 바람직하게, 약 700 개의 관들 내지 약 1,200 개의 관들, 그리고, 더 바람직하게, 약 800 개의 관들 내지 약 1,000 개의 관들의 범위에 속한 관들 개수를 가질 수 있고, 예를 들면, 약 900 개의 관들을 가질 수 있다. 일부 예들에서, 각각의 관은 약 0.5 cm 내지 약 2 cm, 바람직하게, 약 0.8 cm 내지 약 1.2 cm의 범위에 속한 길이를 가질 수 있고, 예를 들면, 약 1 cm 길이를 가질 수 있다. 다른 예들에서, 각각 관은 약 0.005 인치 내지 약 0.05 인치, 바람직하게, 약 0.01 인치 내지 약 0.03 인치의 범위에 속한 직경을 가질 수 있다. 일부 예들에서, 관들은 피하 주사침이다. 관들은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함하거나 이러한 물질로 구성될 수 있다.
일 실시예에서, 확산 판(730) 상의 복수의 가스 홀들(732)의 각각의 홀은 상부관 판(740) 상의 복수의 가스 홀들(742)의 각각의 홀보다 큰 직경을 가진다. 나아가, 확산 판(730) 상의 복수의 가스 홀들(732)의 각각의 홀은 하부 확산 판 상의 복수의 가스 홀들(752)의 각각의 홀보다 큰 직경을 가진다. 또한, 상부관 판(740) 상의 복수의 가스 홀들(742)의 각각의 홀은 하부관 판(750) 상의 복수의 가스 홀들(752)의 각각의 홀과 동일한 직경을 가지거나 실질적으로 동일한 직경을 가진다.
일 실시예에서, 확산 판(730)은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함하거나 이러한 물질로 구성될 수 있다. 확산 판(730)은 약 20 개의 홀들 내지 약 200 개의 홀들, 바람직하게, 약 25 개의 홀들 내지 약 75 개의 홀들, 그리고 더 바람직하게, 약 40 개의 홀들 내지 약 60 개의 홀들의 범위에 속한 홀들 개수를 포함할 수 있다. 확산 판(730)의 각각의 홀은 약 0.005 인치 내지 약 0.05 인치, 바람직하게, 약 0.01 인치 내지 약 0.03 인치의 범위에 속한 직경을가질 수 있다. 또 다른 실시예에서, 상부관 판(740) 및/또는 하부관 판(750)은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 독립적으로 포함하거나 이러한 물질로 독립적으로 구성될 수 있다. 상부관 판(740) 및/또는 하부관 판(750)은 독립적으로, 약 500 개의 홀들 내지 약 1,500 개의 홀들, 바람직하게, 약 700 개의 홀들 내지 약 1,200 개의 홀들, 그리고, 더 바람직하게, 약 800 개의 홀들 내지 약 1,000 개의 홀들을 가질 수 있다. 상부관 판(740) 및/또는 하부관 판(750)의 각각의 홀은 독립적으로, 약 0.005 인치 내지 약 0.05 인치, 바람직하게, 약 0.01 인치 내지 약 0.03 인치의 범위에 속한 직경을 가질 수 있다. 또 다른 실시예에서, 샤워헤드 어셈블리(700)는 약 10 개의 홀들/in2(평방 인치당 홀들) 내지 약 60 개의 홀들/in2, 바람직하게, 약 15 개의 홀들/in2 내지 약 45 개의 홀들/in2, 그리고 더 바람직하게, 약 20 개의 홀들/in2 내지 약 36 개의 홀들/in2의 범위에 속한 가스 홀 밀도 및/또는 관들의 개수를 가질 수 있다.
일 예에서, 샤워헤드 어셈블리(700)의 몸체(702)의 상부(706)의 상부 표면은 금속성 판이다. 다른 예들에서, 절연체 어셈블리(700)는 직사각형의 기하학적인 형태 또는 정사각형의 기하학적인 형태를 가질 수 있다. 또 다른 실시예에서, 샤워헤드 어셈블리(700)의 몸체(702)는 온도 조절 시스템을 더 포함한다. 온도 조절 시스템, 예를 들면, 온도 조절 시스템(190)은 몸체(702) 내에서 연장된 액체 또는 유체 통로(718)를 포함할 수 있고, 유체 통로(718)에 연결되어 그 유체 통로와 유체가 통하는 유입구(714a) 및 유출구(714b)를 가질 수 있다. 유입구(714a) 및 유출구(714b)는 액체 저장부 또는 적어도 하나의 열 교환기, 예를 들면, 도 1f에 도시된 바와 같이, 온도 조절 시스템(190) 내의 열 교환기들(180a, 180b, 또는 180c)에 독립적으로 연결되어 이러한 액체 저장부 또는 적어도 하나의 열 교환기와 유체가 통할 수 있다.
도 8a-8d는 본원에서 기술된 실시예들에 따라서, 반응기(100) 등의 증기 증착 챔버용 배출 어셈블리(800)를 도시한다. 일 실시예에서, 배출 어셈블리(800)는 상부(806) 및 하부(804)를 가진 몸체(802), 몸체(802)의 상부(806) 및 하부(804)를 통하여 연장된 집중형 채널(816)을 포함한다. 상부(806)는 상부 표면(807)을 포함한다. 집중형 채널(816)은 몸체(802)의 내부 표면들(809) 사이에서 연장되고, 몸체(802)를 통하여 연장된 중심 축(801)과 평행을 이룬다. 확산 판(830)은 복수의 가스 홀들(832)을 포함하고, 집중형 채널(816) 내에 배치된다. 일 예에서, 확산 판(830)은 플랜지 또는 레지(810) 상에 배치된다. 또 다른 예에서, 배출 어셈블리(800)는 그에 배치된 옵션널 확산 판(830)을 포함하지 않는다.
배출 어셈블리(800)는 상부관 판(840)을 더 포함하고, 상기 상부관 판은 복수의 가스 홀들(842)을 가지고, 확산 판(830) 아래에 위치된 집중형 채널(816) 내에 배치된다. 배출 어셈블리(800)는 또한, 하부관 판(850)을 포함하고, 상기 하부관 판은 복수의 가스 홀들(854)을 가지고, 상부관 판(840) 아래에 위치된 집중형 채널(816) 내에 배치된다. 복수의 배출 관들(880)은 상부관 판(840)에서 하부관 판(850)으로 연장되고, 각각의 관은 복수의 가스 홀들(842)로부터의 개별적인 홀과 복수의 가스 홀들(852)로부터의 개별적인 홀에 연결되어 이러한 개별적인 홀들과 유체가 통한다. 배출 관들(880) 각각은 본원에서 기술된 많은 실시예들에서, 중심 축(801)뿐만 아니라, 서로 평행하거나 서로 실질적으로 평행하게 연장된다. 대안적인 실시예에서, 각각의 배출 관들(880)은 중심 축(801)에 대한 소정의 각도로, 예를 들면, 약 1° 내지 약 15°또는 그 이상의 범위에 속한 각도로 연장될 수 있다.
배출 어셈블리(800)는 배출 포트(822) 및 캐비티들(838, 848, 및 858)을 통하여 진공을 빨아 당기거나 내부 압력을 감소시킨다. 캐비티(838)는 집중형 채널(816) 내의 확산 판(830)와 상부 판(820) 사이에서 형성된다. 캐비티(848)는 집중형 채널(816) 내의 상부관 판(840)과 확산 판(830) 사이에서 형성된다. 캐비티(858)는 집중형 채널(816) 내의 하부관 판(850)과 상부관 판(840) 사이에서 형성된다.
또 다른 실시예에서, 배출 어셈블리(800)는 상부(806) 및 하부(804)를 포함한 몸체(802)를 포함하고, 상부(806)는 하부(804) 상에서 연장된 플랜지, 몸체(802)의 상부(806) 및 하부(804)를 통하여 연장되고 몸체(802)의 내부 표면들(809) 사이에 위치하고 몸체(802)를 통해 연장된 중심 축(801)에 평행한 집중형 채널(816), 복수의 가스 홀들(832)을 포함하고 집중형 채널(816) 내에 배치된 확산 판(830), 복수의 가스 홀들(842)을 포함하고 확산 판(830) 아래에 위치된 집중형 채널(816) 내에 배치된 상부관 판(840), 복수의 가스 홀들(854)을 포함하고 상부관 판(840) 아래에 위치된 집중형 채널(816) 내에 배치된 하부관 판(850), 및 상부관 판(840)으로부터 하부관 판(850)으로 연장된 복수의 배출 관들(880)을 포함하고, 각각의 관은 복수의 가스 홀들(842)로부터의 개별적인 홀 및 복수의 가스 홀들(854)로부터의 개별적인 홀에 연결되어, 이러한 개별적인 홀들과 유체가 통한다.
또 다른 실시예에서, 배출 어셈블리(800)는 상부(806) 및 하부(804)를 포함한 몸체(802)(이때, 상부(806)는 하부(804)보다 더 멀리 몸체(802)의 중심 축(801)으로부터 하부와 인접하게 연장되고, 하부(804)는 상부(806)보다 더 멀리 중심 축(801)과 평행하여 연장됨), 몸체(802)의 상부(806) 및 하부(804)를 통하여 연장되고 중심 축(801)에 평행한 집중형 채널(816), 복수의 가스 홀들(832)을 포함하고 집중형 채널(816) 내에 배치된 확산 판(830), 복수의 가스 홀들(842)을 포함하고 확산 판(830) 아래에 위치된 집중형 채널(816) 내에 배치된 상부관 판(840), 복수의 가스 홀들(854)을 포함하고 상부관 판(840) 아래에 위치된 집중형 채널(816) 내에 배치된 하부관 판(850), 및 상부관 판(840)으로부터 하부관 판(850)으로 연장된 복수의 배출 관들(880)을 포함하고, 각각의 관은 복수의 가스 홀들(842)로부터의 개별적인 홀 및 복수의 가스 홀들(854)로부터의 개별적인 홀에 연결되어, 이러한 개별적인 홀들과 유체가 통한다.
또 다른 실시예에서, 배출 어셈블리(800)는 상부(806) 및 하부(804)를 포함한 몸체(802), 몸체(802)의 상부(806) 및 하부(804)를 통하여 연장되고 몸체(802)의 내부 표면들(809) 사이에 위치하고 그리고 몸체(802)를 통하여 연장된 중심 축(801)에 평행한 집중형 채널(816), 복수의 가스 홀들(832)을 포함하고 집중형 채널(816) 내에 배치된 확산 판(830), 복수의 가스 홀들(842)을 포함하고 확산 판(830) 아래에 위치된 집중형 채널(816) 내에 배치된 상부관 판(840), 및 복수의 가스 홀들(854)을 포함하고 상부관 판(840) 아래에 위치된 집중형 채널(816) 내에 배치된 하부관 판(850)을 포함한다.
또 다른 실시예에서, 배출 어셈블리(800)는 상부(806) 및 하부(804)를 포함한 몸체(802), 몸체(802)의 하부(804) 및 상부(806)를 통하여 연장되고 몸체(802)의 내부 표면들(809) 사이에 위치하고 그리고 몸체(802)를 통하여 연장된 중심 축(801)에 평행한 집중형 채널(816), 복수의 가스 홀들(832)을 포함하고 확산 판(830) 아래에 위치된 집중형 채널(816) 내에 배치된 상부관 판(840), 복수의 가스 홀들(842)을 포함하고 상부관 판(840) 아래에 위치된 집중형 채널(816) 내에 배치된 하부관 판(850), 및 상부관 판(840)으로부터 하부관 판(850)으로 연장된 복수의 배출 관들(880)을 포함하고, 각각의 관은 복수의 가스 홀들(832)로부터의 개별적인 홀 및 복수의 가스 홀들(842)로부터의 개별적인 홀에 연결되어, 이러한 개별적인 홀들과 유체가 통한다.
일부 실시예들에서, 배출 어셈블리(800)는 모듈식 샤워헤드 어셈블리이다. 몸체(802)의 상부(806) 및 하부(804)는 독립적으로, 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함할 수 있다. 일 예에서, 몸체(802)의 상부(806) 및 하부(804) 각각은 독립적으로, 스테인레스 강 또는 이들의 합금들을 포함한다.
실시예에서, 배출 어셈블리(800)는 몸체(802)의 상부(806) 상에 배치된 배출 유출구(860)를 포함한다. 상부 판(820)은 몸체(802)의 상부(806)의 상부 표면 상에 배치될 수 있고, 배출 유출구(860)는 상기 판 상에 배치될 수 있다. 상기 판은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함할 수 있다. 일부 예들에서, 상기 판은 이를 통해 연장된 배출 포트(822)를 가진다. 배출 유출구(860)는 배출 포트(822)를 통하여 연장된 배출 유출구 관(864)을 가진다. 배출 노즐(862)은 배출 유출구 관(864)의 일 측 말단부에 연결되고, 상기 판 상에 배치될 수 있다. 또 다른 예에서, 샤워헤드 몸체의 상부(806)의 상부 표면은 집중형 채널(816)을 둘러싼 그루브(808)를 가진다. O-링은 그루브(808) 내에 배치될 수 있다. 확산 판(830)은 집중형 채널(816) 내의 몸체(802)의 측면들로부터 돌출된 레지 또는 플랜지 상에 배치될 수 있다.
일 실시예에서, 복수의 배출 관들(880)은 약 5 개의 관들 내지 약 50 개의 관들, 바람직하게, 약 7 개의 관들 내지 약 30 개의 관들, 그리고 더 바람직하게, 약 10 개의 관들 내지 약 20 개의 관들의 범위에 속한 관들 개수를 가지고, 예를 들면, 약 14 개의 관들을 가질 수 있다. 일부 예들에서, 각각의 관은 약 0.5 cm 내지 약 2 cm, 바람직하게, 약 0.8 cm 내지 약 1.2 cm의 범위 내의 길이를 가질 수 있고, 예를 들면, 약 1 cm의 길이일 수 있다. 다른 예들에서, 각각의 관은 약 0.1 인치 내지 약 0.4 인치, 바람직하게, 약 0.2 인치 내지 약 0.3 인치의 범위에 속한 직경을 가질 수 있고, 예를 들면, 약 0.23 인치의 직경일 수 있다. 일 예에서, 배출 어셈블리(800)는 관들 및 홀들의 단일 행(single row)을 포함할 수 있다.
또 다른 실시예에서, 복수의 배출 관들(880)은 약 500 개의 관들 내지 약 1,500 개의 관들, 바람직하게, 약 700 개의 관들 내지 약 1,200 개의 관들, 그리고, 더 바람직하게, 약 800 개의 관들 내지 약 1,000 개의 관들의 범위에 속한 관들 개수를 가질 수 있고, 예를 들면, 약 900 개의 관들을 가질 수 있다. 일부 예들에서, 각각의 관은 약 0.5 cm 내지 약 2 cm, 바람직하게, 약 0.8 cm 내지 약 1.2 cm의 범위에 속한 길이를 가질 수 있고, 예를 들면, 약 1 cm 길이를 가질 수 있다. 다른 예들에서, 각각의 관은 약 0.005 인치 내지 약 0.05 인치, 바람직하게, 약 0.01 인치 내지 약 0.03 인치의 범위 내의 직경을 가질 수 있다.
일부 예들에서, 관들은 피하 주사침이다. 관들은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함하거나 이러한 물질로 구성될 수 있다.
일 실시예에서, 확산 판(830) 상의 복수의 가스 홀들(832) 각각의 홀은 상부관 판(840) 상의 복수의 가스 홀들(842) 각각의 홀보다 큰 직경을 가진다. 나아가, 확산 판(830) 상의 복수의 가스 홀들(832) 각각의 홀은 하부 확산 판 상의 복수의 가스 홀들(854) 각각의 홀보다 큰 직경을 가진다. 또한, 상부관 판(840) 상의 복수의 가스 홀들(842) 각각의 홀은 하부관 판(850) 상의 복수의 가스 홀들(854) 각각의 홀과 동일한 직경을 가지거나 실질적으로 동일한 직경을 가진다.
일 실시예에서, 확산 판(830)은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함하거나 이러한 물질로 구성될 수 있다. 또 다른 실시예에서, 확산 판(830)은 약 5 개의 홀들 내지 약 50 개의 홀들, 바람직하게, 약 7 개의 홀들 내지 약 30 개의 홀들, 그리고 더 바람직하게, 약 10 개의 홀들 내지 약 20 개의 홀들의 범위에 속한 홀들 개수를 포함할 수 있고, 예를 들면, 약 14 개의 홀들을 포함할 수 있다. 확산 판(830)의 각각의 홀은 약 0.1 인치 내지 약 0.4 인치, 바람직하게, 약 0.2 인치 내지 약 0.3 인치의 범위에 속한 직경을 가질 수 있고, 예를 들면, 약 0.23 인치일 수 있다. 일 예에서, 확산 판(830)은 홀들의 단일 행을 포함한다. 또 다른 실시예에서, 확산 판(830)은 약 20 개의 홀들 내지 약 200 개의 홀들, 바람직하게, 약 25 개의 홀들 내지 약 55 개의 홀들, 그리고 더 바람직하게, 약 40 개의 홀들 내지 약 60 개의 홀들의 범위에 속한 홀들 개수를 포함할 수 있다. 확산 판(830)의 각각의 홀은 약 0.005 인치 내지 약 0.05 인치, 바람직하게, 약 0.01 인치 내지 약 0.03 인치의 범위에 속한 직경을 가질 수 있다.
또 다른 실시예에서, 상부관 판(840) 및/또는 하부관 판(850)은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 독립적으로 포함하거나 이러한 물질로 독립적으로 구성될 수 있다. 일 실시예에서, 상부관 판(840) 및/또는 하부관 판(850)은 독립적으로, 약 5 개의 홀들 내지 약 50 개의 홀들, 바람직하게, 약 7 개의 홀들 내지 약 30 개의 홀들, 그리고 더 바람직하게, 약 10 개의 홀들 내지 약 20 개의 홀들의 범위에 속한 홀들 개수를 가질 수 있고, 예를 들면, 약 14 개의 홀들을 가질 수 있다. 상부관 판(840) 및/또는 하부관 판(850)의 각각의 홀은 독립적으로, 약 0.1 인치 내지 약 0.4 인치, 바람직하게, 약 0.2 인치 내지 약 0.3 인치의 범위에 속한 직경을 가질 수 있고, 예를 들면, 약 0.23 인치의 직경을 가질 수 있다. 또 다른 실시예에서, 배출 어셈블리(800)는 약 5 개의 홀들/in2(평방 인치당 홀들) 내지 약 30 개의 홀들/in2, 바람직하게, 약 8 개의 홀들/in2 내지 약 25 개의 홀들/in2, 그리고 더 바람직하게, 약 10 개의 홀들/in2 내지 약 20 개의 홀들/in2의 범위에 속한 가스 홀 밀도 및/또는 관들의 개수를 가질 수 있다.
또 다른 실시예에서, 상부관 판(840) 및/또는 하부관 판(850)은 독립적으로, 약 500 개의 홀들 내지 약 1,500 개의 홀들, 바람직하게, 약 700 개의 홀들 내지 약 1,200 개의 홀들, 그리고 더 바람직하게, 약 800 개의 홀들 내지 약 1,000 개의 홀들을 가질 수 있다. 상부관 판(840) 및/또는 하부관 판(850)의 각각의 홀은 독립적으로 약 0.005 인치 내지 약 0.05 인치, 바람직하게, 약 0.01 인치 내지 약 0.03 인치의 범위에 속한 직경을 가질 수 있다.
일 예에서, 배출 어셈블리(800)의 몸체(802)의 상부(806)의 상부 표면은 금속성 판이다. 다른 예들에서, 배출 어셈블리(800)는 직사각형의 기하학적인 형태 또는 정사각형의 기하학적인 형태를 가질 수 있다. 또 다른 실시예에서, 배출 어셈블리(800)의 몸체(802)는 온도 조절 시스템을 더 포함한다. 온도 조절 시스템, 예를 들면, 온도 조절 시스템(190)은 몸체(802) 내에 연장된 액체 또는 유체 통로(818)를 포함할 수 있고, 유체 통로(818)에 연결되어 그 유체 통로와 유체가 통하는 유입구(814a) 및 유출구(814b)를 가질 수 있다. 유입구(814a) 및 유출구(814b)는 액체 저장부 또는 적어도 하나의 열 교환기, 예를 들면, 도 1f에 도시된 바와 같이, 온도 조절 시스템(190) 내의 열 교환기들(180a, 180b, 또는 180c)에 독립적으로 연결되어 이러한 액체 저장부 또는 적어도 하나의 열 교환기와 유체가 통할 수 있다.
다른 실시예들에서, 증기 증착 챔버에 이용될 수 있는 배출 어셈블리(800)는 하부(804) 상에 배치된 상부(806)를 포함한 몸체(802), 몸체(802)의 상부(806) 및 하부(804)를 통하여 연장되고 몸체(802)의 내부 표면들(809) 사이에서 위치되고 그리고 몸체(802)를 통하여 연장된 중심 축(801)에 평행한 집중형 채널(816), 몸체(802)의 상부(806) 상에 배치된 배출 유출구(860), 복수의 가스 홀들(832)을 포함하고 집중형 채널(816) 내에 배치된 확산 판(830), 복수의 가스 홀들(842)을 포함하고 확산 판(830) 아래에 위치된 집중형 채널(816) 내에 배치된 상부관 판(840), 복수의 가스 홀들(852)을 포함하고 상부관 판(840) 아래에 위치된 집중형 채널(816) 내에 배치된 하부관 판(850), 및 상부관 판(840)으로부터 하부관 판(850)으로부터 연장된 복수의 배출 관들(880)을 가지고, 각각의 관은 복수의 가스 홀들(842)로부터의 개별적인 홀 및 복수의 가스 홀들(852)로부터의 개별적인 홀에 연결되어, 이러한 개별적인 홀들과 유체가 통한다.
배출 어셈블리(800)는 몸체(802)의 상부(806)의 상부 표면 상에 배치된 상부 판(820)을 더 포함할 수 있다. 배출 유출구(860)는 상부 판(820) 상에 배치될 수 있다. 상부 판(820)은 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 또는 이들의 조합물들 등의 물질을 포함할 수 있거나 이러한 물질로 구성될 수 있다. 상부 판(820)은 보통 이를 통해 연장된 배출 포트를 가진다. 배출 유출구(860)는 배출 포트(822)를 통하여 연장된 배출 유출구 관(864)을 가질 수 있다. 일 예에서, 배출 노즐(862)은 배출 유출구 관(864)의 일 측 말단부에 연결되고, 상부 판(820) 상에 배치될 수 있다. 또 다른 예에서, 배출 어셈블리 몸체의 상부(806)의 상부 표면은 집중형 채널(816)을 둘러싼 그루브(808)를 가진다. O-링은 그루브(808) 내에 배치될 수 있다. 확산 판(830)은 집중형 채널(816)의 몸체(802)의 측면들로부터 돌출된 레지 또는 플랜지 상에 배치될 수 있다.
도 9a-9f는 본원의 실시예들에 의해 기술된 바와 같이, 다수의 반응기들( 1100a, 1100b, 및 1100c)을 포함한 반응기 시스템(1000), CVD 시스템을 도시한다. 반응기들(1100a, 1100b, 및 1100c)은 반응기(100)와 동일한 반응기들일 수 있거나, 반응기(100)의 변형된 파생물일 수 있다. 일 실시예에서, 도 9a-9c에 도시된 바와 같이, 반응기(1100a)는 반응기(1100b)에 연결되고, 상기 반응기(1100b)는 반응기(1100c)에 연결된다. 반응기(1100a)의 일 측 말단부는 계면(1012)에서 말단 캡(1050)에 연결되면서, 반응기(1100a)의 타 측 말단부는 계면(1014)에서 반응기(1100b)의 일 측 말단부에 연결된다. 반응기(1100b)의 타 측 말단부는 계면(1016)에서 반응기(1100c)의 일 측 말단부에 연결되면서, 반응기(1100c)의 타 측 말단부는 계면(1016)에서 말단 판(1002)에 연결된다.
도 9d-9f는 반응기들(1100b 및 1100c) 사이의 계면(1018)의 부분을 상세하게 나타낸 도면이다. 또 다른 실시예에서, 반응기(1100b)는 하부 랩 조인트(1450)를 가진 웨이퍼 캐리어 트랙(1400)을 포함하고, 반응기(1100c)는 상부 랩 조인트(1440)를 가진 웨이퍼 캐리어 트랙(1400)을 포함한다.
배출 퍼지 포트(1080)는 반응기(1100b) 내의 웨이퍼 캐리어 트랙(1400)과 반응기(1100c) 내의 웨이퍼 캐리어 트랙(1400) 사이에 배치될 수 있다. 배출 퍼지 포트(1080)는 통로(1460)와 유체가 통하고, 상기 통로는 배출 퍼지 포트(1080)에서 웨이퍼 캐리어 트랙들(1400) 아래로 연장된다. 배출 어셈블리(800)와 유사한 배출 어셈블리(1058)는 반응기(1100b)의 반응기 리드 어셈블리 상에 배치된다. 배출 어셈블리(1058)는 배출 퍼지 포트(1080)로부터 가스를 제거하기 위해 사용될 수 있다. 배출 어셈블리(1058)는 배출 유출구(1060), 배출 노즐(1062), 및 배출관(1064)을 포함한다.
또 다른 실시예에서, 반응기 시스템(1000)은 반응기들(1100a, 1100b, 및 1100c)이 외에, 추가적인 반응기들(미도시)을 포함할 수 있다. 일 예에서, 제 4 반응기는 반응기 시스템(1000)에 포함된다. 또 다른 예에서, 제 5 반응기는 반응기 시스템(1000)에 포함된다. 서로 다른 구성물들 및 실시예들에서, 반응기 시스템(1000)은 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 개, 또는 그 이상의 반응기들을 가질 수 있다. 다른 실시예들에서, 반응기들(1100a, 1100b, 및 1100c) 또는 도시되지 않은 다른 반응기들은 각각의 반응기에서 1, 2, 3, 4 개, 또는 그 이상의 샤워헤드 어셈블리들(미도시)을 포함할 수 있다.
본원에서 기술된 대안적인 실시예들에서, 반응기들(1100a, 1100b, 및 1100c)의 다른 구성물이 제공되지만, 그러나 도면에서는 도시되지 않았다. 일 실시예에서, 각각의 반응기들(1100a, 1100b, 또는 1100c)은 2 개의 샤워헤드 어셈블리들에 의해 분리된 3 개의 배출 어셈블리들을 포함할 수 있고, 그 결과, 반응기 리드 어셈블리들은 순차적으로, 제 1 배출 어셈블리, 제 1 샤워헤드 어셈블리, 제 2 배출 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 3 배출 어셈블리를 포함할 수 있다. 또 다른 실시예에서, 각각의 반응기들(1100a, 1100b, 또는 1100c)은 2 개의 샤워헤드 어셈블리들에 의해 분리된 3 개의 절연체 어셈블리들을 포함할 수 있고, 그 결과, 반응기 리드 어셈블리는 순차적으로 제 1 절연체 어셈블리, 제 1 샤워헤드 어셈블리, 제 2 절연체 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 3 절연체 어셈블리를 포함한다.
또 다른 실시예에서, 각각의 반응기들(1100a, 1100b, 또는 1100c)은 2 개의 샤워헤드 어셈블리들에 의해 분리된 1 개의 배출 어셈블리 및 2 개의 절연체 어셈블리들을 포함할 수 있고, 그 결과, 반응기 리드 어셈블리들은 순차적으로 제 1 절연체 어셈블리, 제 1 샤워헤드 어셈블리, 제 2 절연체 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 1 배출 어셈블리를 포함할 수 있다. 또 다른 예에서, 반응기 리드 어셈블리들은 순차적으로, 제 1 절연체 어셈블리, 제 1 샤워헤드 어셈블리, 제 1 배출 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 2 절연체 어셈블리를 포함할 수 있다. 또 다른 예에서, 반응기 리드 어셈블리들은 순차적으로, 제 1 배출 어셈블리, 제 1 샤워헤드 어셈블리, 제 1 절연체 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 2 절연체 어셈블리를 포함할 수 있다.
또 다른 실시예에서, 각각의 반응기들(1100a, 1100b, 또는 1100c)은 2 개의 샤워헤드 어셈블리들에 의해 분리된 1 개의 절연체 어셈블리 및 2 개의 배출 어셈블리들을 포함할 수 있고, 그 결과, 반응기 리드 어셈블리들은 순차적으로, 제 1 배출 어셈블리, 제 1 샤워헤드 어셈블리, 제 2 배출 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 1 절연체 어셈블리를 포함할 수 있다. 또 다른 예에서, 반응기 리드 어셈블리들은 순차적으로, 제 1 배출 어셈블리, 제 1 샤워헤드 어셈블리, 제 1 절연체 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 2 배출 어셈블리를 포함할 수 있다. 또 다른 예에서, 반응기 리드 어셈블리들은 순차적으로, 제 1 절연체 어셈블리, 제 1 샤워헤드 어셈블리, 제 1 배출 어셈블리, 제 2 샤워헤드 어셈블리, 및 제 2 배출 어셈블리를 포함할 수 있다.
반응기(100), 반응기 시스템(1000), 및 이들 반응기들의 파생물들은 본원의 실시예들에서 기술된 바와 같이, 웨이퍼들 또는 기판들 상의 물질들의 분류를 형성하기 위해 다양한 CVD, MOCVD, 및/또는 액피텍셜 증착 공정들에 사용될 수 있다. 일 실시예에서, Ⅲ/Ⅴ 족 물질(Ⅲ 족(예를 들면, 붕소, 알루미늄, 갈륨, 또는 인듐)의 적어도 하나의 원소를 포함하고, V 족(예를 들면, 질소, 인, 비소, 또는 안티몬)의 적어도 하나의 원소를 포함함)은 웨이퍼 상에서 형성될 수 있거나 증착될 수 있다. 증착된 물질의 예들은 갈륨 질화물, 인듐 인화물, 갈륨 인듐 인화물, 갈륨 비화물, 알루미늄 갈륨 비화물, 이들의 파생물들, 이들의 합금들, 이들의 다층들, 또는 이들의 조합물들을 포함할 수 있다. 본원의 일부 실시예들에서, 증착된 물질들은 액피텍셜 물질들일 수 있다. 증착된 물질 또는 액피텍셜 물질은 하나의 층을 포함할 수 있지만, 그러나 보통 다수의 층들을 포함한다. 일부 예들에서, 액피텍셜 물질은 갈륨 비화물을 가진 층 및 알루미늄 갈륨 비화물을 가진 또 다른 층을 포함한다. 또 다른 예에서, 액피텍셜 물질은 갈륨 비화물 버퍼 층, 알루미늄 갈륨 비화물 패시베이션 층(passivation layer), 및 갈륨 비화물 활성 층을 포함한다. 갈륨 비화물 버퍼 층은 약 100 nm 내지 약 500 nm의 범위에 속한 두께를 가질 수 있고, 예를 들면, 약 300 nm의 두께일 수 있고, 알루미늄 갈륨 비화물 패시베이션 층은 약 10 nm 내지 약 50 nm의 범위에 속한 두께를 가질 수 있고, 예를 들면, 약 30 nm의 두께일 수 있고, 갈륨 비화물 활성 층은 약 500 nm 내지 약 2,000 nm의 범위에 속한 두께를 가질 수 있고, 예를 들면, 약 1,000 nm의 두께일 수 있다. 일부 예들에서, 액피텍셜 물질은 제 2 알루미늄 갈륨 비화물 패시베이션 층을 더 포함한다.
일 실시예에서, 반응기(100) 또는 반응기 시스템(1000)에서 사용된 공정 가스는 아르신, 아르곤, 헬륨, 질소, 수소, 또는 이들의 혼합물들을 포함할 수 있다. 일 예에서, 공정 가스는 아르신 등의 비소 전구체를 포함한다. 다른 실시예들에서, 제 1 전구체는 알루미늄 전구체, 갈륨 전구체, 인듐 전구체, 또는 이들의 조합물들을 포함할 수 있고, 제 2 전구체는 질소 전구체, 인 전구체, 비소 전구체, 안티몬 전구체 또는 이들의 조합물들을 포함할 수 있다.
일 실시예에서, CVD 반응기는 입구 및 출구에서 반응기의 트랙(track)을 따라 기판을 뜨게 하기 위해 반응기에 질소를 공급하도록 구성될 수 있다. 수소/아르신 혼합물은 또한, 출구와 입구 사이의 CVD 반응기의 트랙을 따라 기판을 뜨게 하기 위해 사용될 수 있다. 트랙을 따른 스테이지들(stages)은 입구의 질소 격리 영역, 예열 배출부, 수소/아르신 혼합물 예열 격리 영역, 갈륨 비화물 증착 영역, 갈륨 비화물 배출부, 알루미늄 갈륨 비화물 증착 영역, 갈륨 비화물 N-층 증착 영역, 갈륨 비화물 P-층 증착 영역, 인 수소 아르신 격리 영역, 제 1 인 알루미늄 갈륨 비화물 증착 영역, 인 알루미늄 갈륨 비화물 배출부, 제 2 인 알루미늄 갈륨 비화물 증착 영역, 수소/아르신 혼합물 쿨 다운(cool down) 격리 영역, 쿨 다운 배출부, 및 출구의 질소 격리 영역을 포함할 수 있다. 반응기를 통한 기판 이동 온도는 입구의 격리 영역을 지나가면서 증가될 수 있거나, 또는 상기 영역들을 통하여 이동하면서 유지될 수 있거나, 또는 아르신 쿨 다운 격리 영역 근방에 이르면서 감소될 수 있다.
또 다른 실시예에서, CVD 반응기는 입구 및 출구에서 반응기의 트랙을 따라 기판을 뜨게 하기 위해 반응기에 질소를 공급하도록 구성될 수 있다. 수소/아르신 혼합물은 또한, 출구와 입구 사이의 CVD 반응기의 트랙을 따라 기판을 뜨게 하기 위해 사용될 수 있다. 트랙을 따른 스테이지들은 입구의 질소 격리 영역, 예열 배출부, 수소/아르신 혼합물 예열 격리 영역, 배출부, 증착 영역, 배출부, 수소/아르신 혼합물 쿨 다운 격리 영역, 쿨 다운 배출부, 및 출구의 질소 격리 영역을 포함할 수 있다. 반응기 시스템을 통한 기판 이동의 온도는 입구의 격리 영역을 지나가면서 증가될 수 있고, 증착 영역을 통하여 이동할 시에 유지될 수 있으며, 그리고 아르신 쿨 다운 격리 영역 근방에 이를 시에 감소될 수 있다.
또 다른 실시예에서, CVD 반응기는 입구 및 출구에서 반응기의 트랙을 따라 기판을 뜨게 하기 위해 반응기에 질소를 공급하도록 구성될 수 있다. 수소/아르신 혼합물은 또한, 출구와 입구 사이의 CVD 반응기의 트랙을 따라 기판을 뜨게 하기 위해 사용될 수 있다. 트랙을 따른 스테이지들은 입구의 질소 격리 영역, 균형적으로 흐르게 하기 위한 흐름 제한 장치(restrictor)를 이용한 예열 배출부, 활성 수소/아르신 혼합 격리 영역, 갈륨 비화물 증착 영역, 알루미늄 갈륨 비화물 증착 영역, 갈륨 비화물 N-층 증착 영역, 갈륨 비화물 P-층 증착 영역, 인 알루미늄 갈륨 비화물 증착 영역, 쿨 다운 배출부, 및 출구의 질소 격리 영역을 포함할 수 있다. 반응기를 통한 기판 이동 온도는 입구의 격리 영역을 지나가면서 증가될 수 있거나, 또는 증착 영역들을 통하여 이동하면서 유지될 수 있거나, 또는 쿨 다운 배출부 근방에 이르면서 감소될 수 있다.
또 다른 실시예에서, CVD 반응기는 입구 및 출구에서 반응기의 트랙을 따라 기판을 뜨게 하기 위해 반응기에 질소를 공급하도록 구성될 수 있다. 수소/아르신 혼합물은 또한, 출구와 입구 사이의 CVD 반응기의 트랙을 따라 기판을 뜨게 하기 위해 사용될 수 있다. 트랙을 따른 스테이지들은 입구의 질소 격리 영역, 균형적으로 흐르게 하기 위한 흐름 제한 장치를 이용한 예열 배출부, 갈륨 비화물 증착 영역, 알루미늄 갈륨 비화물 증착 영역, 갈륨 비화물 N-층 증착 영역, 갈륨 비화물 P-층 증착 영역, 인 알루미늄 갈륨 비화물 증착 영역, 균형적으로 흐르게 하기 위한 제한 장치를 이용한 쿨 다운 배출부, 및 출구의 질소 격리 영역을 포함할 수 있다. 반응기를 통한 기판 이동 온도는 입구의 격리 영역을 지나가면서 증가될 수 있거나, 또는 증착 영역들을 통하여 이동하면서 유지될 수 있거나, 또는 쿨 다운 배출부 근방에 이르면서 감소될 수 있다.
도 17은 제 7 구성물(800)을 도시한다. CVD 반응기는 입구 및 출구에서 반응기의 트랙을 따라 기판을 뜨게 하기 위해 반응기에 질소를 공급하도록 구성될 수 있다. 수소/아르신 혼합물은 또한, 출구와 입구 사이의 CVD 반응기의 트랙을 따라 기판을 뜨게 하기 위해 사용될 수 있다. 트랙을 따른 스테이지들은 입구의 질소 격리 영역, 예열 배출, 증착 영역, 쿨 다운 배출, 및 출구의 질소 격리 영역을 포함할 수 있다. 반응기를 통한 기판 이동 온도는 입구의 격리 영역을 지나가면서 증가될 수 있거나, 또는 증착 영역들을 통하여 이동하면서 유지될 수 있거나, 또는 쿨 다운 배출부 근방에 이르면서 감소될 수 있다.
일 실시예에서, CVD 반응기는 알루미늄 비화물 물질들을 포함한 측면 과성장 희생 층을 액피텍셜적으로 성장시킬 뿐만 아니라, 갈륨 비화물 물질들 및 알루미늄 갈륨 비화물 물질들을 포함한 이중 헤테로 구조물을 액피텍셜적으로 성장시키도록 구성될 수 있다. 일부 예들에서, 갈륨 비화물, 알루미늄 갈륨 비화물, 및 알루미늄 비화물 물질들은 약 1 ㎛/min의 속도로 증착될 수 있다. 일부 실시예들에서, CVD 반응기는 분당 약 6 개의 웨이퍼들 내지 분당 약 10 개의 웨이퍼들의 처리량을 가질 수 있다.
실시예에서, CVD 반응기는 분당 하나의 10 cm × 10 cm 기판의 증착 속도를 제공하도록 구성될 수 있다. 일 실시예에서, CVD 반응기는 300 nm 갈륨 비화물 버퍼 층을 제공하도록 구성될 수 있다. 일 실시예에서, CVD 반응기는 30 nm 알루미늄 갈륨 비화물 패시베이션 층을 제공하도록 구성될 수 있다. 일 실시예에서, CVD 반응기는 1,000 nm 갈륨 비화물 활성 층을 제공하도록 구성될 수 있다. 일 실시예에서, CVD 반응기는 30 nm 알루미늄 갈륨 비화물 패시베이션 층을 제공하도록 구성될 수 있다. 일 실시예에서, CVD 반응기는 평방 센티미터당 1 × 104 미만의 전위 밀도(dislocation density), 99 %의 광루미네선스 효율(photoluminescence efficiency); 및 250 나노세컨드의 광루미네선스 수명을 제공하도록 구성될 수 있다.
일 실시예에서, CVD 반응기는 액피텍셜 측면 과성장 층을 제공하도록 구성될 수 있고, 상기 액피텍셜 측면 과성장 층은 5 nm 증착 +- 0.5 nm; 1 × 106보다 큰 식각 선택도(etch selectivity); 제로 핀홀들; 및 시간당 0.2 mm보다 큰 알루미늄 비화물 식각률을 가진다.
일 실시예에서, CVD 반응기는 300 ℃ 이상의 온도에 대해서 그 이상 10 ℃를 넘지 않은 비-균일한 온도; 단지 5의 Ⅴ-Ⅲ 비율; 및 700 ℃의 최대 온도를 중심 내지 에지에 제공하도록 구성될 수 있다.
일 실시예에서, CVD 반응기는 증착 층들을 제공하도록 구성될 수 있고, 상기 증착 층들은 300 nm 갈륨 비화물 버퍼 층; 5 nm 알루미늄 비화물 희생 층; 10 nm 알루미늄 갈륨 비화물 윈도우 층; 700 nm 갈륨 비화물 1 × 1017 Si 활성 층; 300 nm 알루미늄 갈륨 비화물 1 × 1019 C P+ 층; 및 300 nm 갈륨 비화물 1 × 1019 C P+ 층을 가진다.
일 실시예에서, CVD 반응기는 증착 층들을 제공하도록 구성될 수 있고, 상기 증착 층들은 300 nm 갈륨 비화물 버퍼 층; 5 nm 알루미늄 비화물 희생 층; 10 nm 갈륨 인듐 인화물 윈도우 층; 700 nm 갈륨 비화물 1×1017 Si 활성 층; 100 nm 갈륨 비화물 C P 층; 300 nm 갈륨 인듐 인화물 P 윈도우 층; 20 nm 갈륨 인듐 인화물 1 × 1O20 P+ 터널 정션 층; 20 nm 갈륨 인듐 인화물 1 × 1O20 N+ 터널 정션 층; 30 nm 알루미늄 갈륨 비화물 윈도우; 400 nm 갈륨 인듐 인화물 N 활성 층; 100 nm 갈륨 인듐 인화물 P 활성 층; 30 nm 알루미늄 갈륨 비화물 P 윈도우; 및 300 nm 갈륨 비화물 P+ 접촉 층을 가진다.
상기의 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예 및 추가적인 실시예는 본 발명의 기본적인 권리 범위로부터 벗어남 없이 고안될 수 있고, 본 발명의 권리 범위는 다음의 청구항에 의해 판별된다.

Claims (84)

  1. 지지 베이스의 상부 표면 상에 배치되고 제 1 램프 홀더 및 제 2 램프 홀더를 포함한 램프 하우징;
    상기 제 1 램프 홀더로부터 상기 제 2 램프 홀더로 연장되는 제 1 복수의 램프들로서, 상기 제 1 복수의 램프들의 램프 각각은 스플리트 필라멘트 램프를 포함하는 제 1 복수의 램프들;
    상기 제 1 램프 홀더로부터 상기 제 2 램프 홀더로 연장되는 제 2 복수의 램프들로서, 상기 제 2 복수의 램프들의 램프 각각은 비-스플리트 필라멘트 램프를 포함하는 제 2 복수의 램프들; 및
    상기 제 1 램프 홀더와 상기 제 2 램프 홀더 사이에서, 상기 지지 베이스의 상부 표면 상에 배치된 리플렉터를 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  2. 제 1 항에 있어서,
    상기 스플리트 필라멘트 램프들은 상기 비-스플리트 필라멘트 램프들 사이에 교호적으로 배치된 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  3. 제 1 항에 있어서,
    상기 제 1 복수의 램프들 각각 및 상기 제 2 복수의 램프들 각각은 상기 제 1 램프 홀더와 상기 제 2 램프 홀더 사이에 교호적으로 배치된 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  4. 제 1 항에 있어서,
    상기 제 1 복수의 램프들 및 상기 제 2 복수의 램프들은 약 10 개의 램프들 내지 약 100 개의 램프들의 범위에 속하는 램프들의 총 개수를 가지는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  5. 제 4 항에 있어서,
    상기 램프들의 총 개수는 약 30 개의 램프들 내지 약 40 개의 램프들의 범위에 속한 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  6. 제 1 항에 있어서,
    상기 리플렉터의 상부 표면은 금 또는 금 합금을 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  7. 제 1 항에 있어서,
    상기 지지 베이스의 상부 표면을 따라 연장되고 상기 리플렉터로부터 약 90°의 각도로 연장되는 적어도 하나의 미러를 더 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  8. 제 1 항에 있어서,
    상기 지지 베이스의 상부 표면을 따라 연장되고, 서로를 향하고, 그리고 상기 리플렉터로부터 약 90°의 각도로 연장되는 2 개의 미러들을 더 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  9. 제 1 항에 있어서,
    각각의 램프는 전원, 독립적인 스위치, 및 제어기와 전기 접촉을 하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  10. 제 1 항에 있어서,
    상기 램프들의 각각에 전원을 독립적으로 제어하는 제어기를 더 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  11. 제 1 항에 있어서,
    상기 지지 베이스는 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 및 이들의 조합물들로 구성된 군으로부터 선택된 물질을 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  12. 제 1 항에 있어서,
    상기 제 1 램프 홀더 또는 상기 제 2 램프 홀더는 독립적으로, 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 및 이들의 조합물들로 구성된 군으로부터 선택된 물질을 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  13. 제 12 항에 있어서,
    상기 제 1 램프 홀더 또는 상기 제 2 램프 홀더는 독립적으로 스테인레스 강 또는 이들의 합금들을 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  14. 제 13 항에 있어서,
    상기 제 1 램프 홀더 또는 상기 제 2 램프 홀더는 독립적으로 약 2,000 W/㎡-K 내지 약 3,000 W/㎡-K의 범위에 속한 냉각 계수를 가지는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  15. 제 14 항에 있어서,
    상기 냉각 계수는 약 2,300 W/㎡-K 내지 약 2,700 W/㎡-K의 범위에 속한 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  16. 제 12 항에 있어서,
    상기 제 1 램프 홀더 및 상기 제 2 램프 홀더 각각은 약 0.001 인치 내지 약 0.1 인치의 범위에 속한 두께를 가지는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  17. 지지 베이스의 상부 표면 상에 배치되고 제 1 램프 홀더 및 제 2 램프 홀더를 포함한 램프 하우징;
    상기 제 1 램프 홀더 상에 배치된 제 1 복수의 포스트들 및 상기 제 2 램프 홀더 상에 배치된 제 2 복수의 포스트들;
    복수의 램프들로서, 각각의 램프는 스플리트 필라멘트 램프 또는 비-스플리트 필라멘트 램프를 포함하고, 각각의 램프는 상기 제 1 램프 홀더 상의 한 쌍의 포스트들 사이에 배치되고, 상기 제 1 램프 홀더로부터 상기 제 2 램프 홀더로 연장되고, 상기 제 2 램프 홀더 상의 한 쌍의 포스트들 사이에 배치되는 복수의 램프들; 및
    상기 제 1 램프 홀더와 상기 제 2 램프 홀더 사이에서 상기 지지 베이스의 상부 표면 상에 배치된 리플렉터를 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  18. 제 17 항에 있어서,
    상기 스플리트 필라멘트 램프들은 상기 비-스플리트 필라멘트 램프들 사이에 교호적으로 배치된 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  19. 제 17 항에 있어서,
    상기 제 1 복수의 램프들 각각 및 상기 제 2 복수의 램프들 각각은 상기 제 1 램프 홀더와 제 2 램프 홀더 사이에 교호적으로 배치된 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  20. 제 17 항에 있어서,
    상기 복수의 램프들은 약 10 개의 램프들 내지 약 100 개의 램프들의 범위에 속하는 램프들의 총 개수를 가지는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  21. 제 20 항에 있어서,
    상기 램프들의 총 개수는 약 30 개의 램프들 내지 약 40 개의 램프들의 범위에 속한 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  22. 제 17 항에 있어서,
    상기 리플렉터의 상부 표면은 금 또는 금 합금을 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  23. 제 17 항에 있어서,
    상기 지지 베이스의 상부 표면을 따라 연장되고 상기 리플렉터로부터 약 90°의 각도로 연장되는 적어도 하나의 미러를 더 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  24. 제 17 항에 있어서,
    상기 지지 베이스의 상부 표면을 따라 연장되고, 서로를 향하고, 그리고 상기 리플렉터로부터 약 90°의 각도로 연장되는 2 개의 미러들을 더 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  25. 제 17 항에 있어서,
    각각의 램프는 전원, 독립적인 스위치, 및 제어기와 전기 접촉을 하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  26. 제 17 항에 있어서,
    상기 램프들의 각각에 전원을 독립적으로 제어하는 제어기를 더 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  27. 제 17 항에 있어서,
    상기 지지 베이스는 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 및 이들의 조합물들로 구성된 군으로부터 선택된 물질을 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  28. 제 17 항에 있어서,
    상기 제 1 램프 홀더 또는 상기 제 2 램프 홀더는 독립적으로 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 및 이들의 조합물들로 구성된 군으로부터 선택된 물질을 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  29. 제 28 항에 있어서,
    상기 제 1 램프 홀더 또는 상기 제 2 램프 홀더는 독립적으로 스테인레스 강 또는 이들의 합금들을 포함하는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  30. 제 29 항에 있어서,
    상기 제 1 램프 홀더 또는 상기 제 2 램프 홀더는 독립적으로 약 2,000 W/㎡-K 내지 약 3,000 W/㎡-K의 범위에 속한 냉각 계수를 가지는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  31. 제 30 항에 있어서,
    상기 냉각 계수는 약 2,300 W/㎡-K 내지 약 2,700 W/㎡-K의 범위에 속한 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  32. 제 28 항에 있어서,
    상기 제 1 램프 홀더 및 상기 제 2 램프 홀더 각각은 약 0.001 인치 내지 약 0.1 인치의 범위에 속한 두께를 가지는 것을 특징으로 하는 증기 증착 반응기 시스템용 가열 램프 어셈블리.
  33. 지지 베이스의 상부 표면 상에 배치되고 제 1 램프 홀더 및 제 2 램프 홀더를 포함한 램프 하우징;
    상기 제 1 램프 홀더 상에 배치된 제 1 복수의 포스트들 및 상기 제 2 램프 홀더 상에 배치된 제 2 복수의 포스트들;
    상기 제 1 램프 홀더로부터 상기 제 2 램프 홀더로 연장된 복수의 램프들로서, 각각의 램프의 제 1 말단부는 상기 제 1 램프 홀더 상의 2 개의 포스트들 사이에 배치되고, 각각의 램프의 제 2 말단부는 상기 제 2 램프 홀더 상의 2 개의 포스트들 사이에 배치되는 복수의 램프들; 및
    상기 제 1 램프 홀더와 상기 제 2 램프 홀더 사이에서 상기 지지 베이스의 상부 표면 상에 배치된 리플렉터를 포함하는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  34. 제 33 항에 있어서,
    상기 복수의 램프들은 스플리트 필라멘트 램프들 및 비-스플리트 필라멘트 램프들을 포함하는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  35. 제 34 항에 있어서,
    상기 스플리트 필라멘트 램프들은 상기 비-스플리트 필라멘트 램프들 사이에 교호적으로 배치된 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  36. 제 33 항에 있어서,
    상기 복수의 램프들은 약 10 개의 램프들 내지 약 100 개의 램프들의 범위에 속하는 램프들의 총 개수를 가지는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  37. 제 36 항에 있어서,
    상기 램프들의 총 개수는 약 30 개의 램프들 내지 약 40 개의 램프들의 범위에 속한 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  38. 제 33 항에 있어서,
    상기 리플렉터의 상부 표면은 금 또는 금 합금을 포함하는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  39. 제 33 항에 있어서,
    상기 지지 베이스의 상부 표면을 따라 연장되고 상기 리플렉터로부터 약 90°의 각도로 연장되는 적어도 하나의 미러를 더 포함하는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  40. 제 33 항에 있어서,
    상기 지지 베이스의 상부 표면을 따라 연장되고, 서로를 향하고, 그리고 상기 리플렉터로부터 약 90°의 각도로 연장되는 2 개의 미러들을 더 포함하는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  41. 제 33 항에 있어서,
    각각의 램프는 전원, 독립적인 스위치, 및 제어기와 전기 접촉을 하는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  42. 제 33 항에 있어서,
    상기 램프들의 각각에 전원을 독립적으로 제어하는 제어기를 더 포함하는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  43. 제 33 항에 있어서,
    상기 지지 베이스는 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 및 이들의 조합물들로 구성된 군으로부터 선택된 물질을 포함하는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  44. 제 33 항에 있어서,
    상기 제 1 램프 홀더 또는 상기 제 2 램프 홀더는 독립적으로 강, 스테인레스 강, 300 시리즈 스테인레스 강, 철, 니켈, 크로뮴, 몰리브데넘, 알루미늄, 이들의 합금들, 및 이들의 조합물들로 구성된 군으로부터 선택된 물질을 포함하는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  45. 제 44 항에 있어서,
    상기 제 1 램프 홀더 또는 상기 제 2 램프 홀더는 독립적으로 스테인레스 강 또는 이들의 합금들을 포함하는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  46. 제 45 항에 있어서,
    상기 제 1 램프 홀더 또는 상기 제 2 램프 홀더의 물질은 독립적으로 약 2,000 W/㎡-K 내지 약 3,000 W/㎡-K의 범위에 속한 냉각 계수를 가지는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  47. 제 46 항에 있어서,
    상기 냉각 계수는 약 2,300 W/㎡-K 내지 약 2,700 W/㎡-K의 범위에 속한 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  48. 제 44 항에 있어서,
    상기 제 1 램프 홀더 및 상기 제 2 램프 홀더 각각은 약 0.001 인치 내지 약 0.1 인치의 범위에 속한 두께를 가지는 것을 특징으로 하는 증기 증착 반응 시스템용 가열 램프 어셈블리.
  49. 가열 램프 어셈블리에 의해 증기 증착 반응기 시스템 내의 기판 또는 기판 서스셉터를 가열하는 방법에 있어서, 상기 방법은:
    가열 램프 어셈블리로부터 조사된 에너지에 기판 서스셉터의 하부 표면을 노출하는 단계, 및
    기결정된 온도로 기판 서스셉터를 가열하는 단계
    를 포함하고,
    상기 가열 램프 어셈블리는:
    지지 베이스의 상부 표면 상에 배치되고 적어도 하나의 램프 홀더를 포함한 램프 하우징;
    상기 적어도 하나의 램프 홀더로부터 연장된 복수의 램프들; 및
    상기 지지 베이스의 상부 표면 상에 배치되고, 상기 램프 홀더 옆에 위치하고, 상기 램프들 아래에 위치된 리플렉터를 포함하는 것을 특징으로 하는 방법.
  50. 제 49 항에 있어서,
    상기 램프들 각각은 스플리트 필라멘트 램프를 포함하고,
    상기 스플리트 필라멘트 램프는 제 1 말단부와 제 2 말단부 사이의 중심부를 포함하는 것을 특징으로 하는 방법.
  51. 제 50 항에 있어서,
    상기 스플리트 필라멘트 램프들의 제 1 말단부 및 제 2 말단부는 상기 스플리트 필라멘트 램프들의 중심부보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  52. 제 51 항에 있어서,
    상기 기판 서스셉터의 외부 에지들은 상기 기판 서스셉터의 중심점보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  53. 제 48 항에 있어서,
    상기 램프들 각각은 비-스플리트 필라멘트 램프를 포함하고,
    상기 비-스플리트 필라멘트 램프는 제 1 말단부와 제 2 말단부 사이의 중심부를 포함하는 것을 특징으로 하는 방법.
  54. 제 53 항에 있어서,
    상기 비-스플리트 필라멘트 램프들의 중심부는 상기 비-스플리트 필라멘트 램프들의 제 1 말단부 및 제 2 말단부보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  55. 제 54 항에 있어서,
    상기 기판 서스셉터의 중심점은 상기 기판 서스셉터의 외부 에지들보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  56. 제 49 항에 있어서,
    상기 복수의 램프들은 스플리트 필라멘트 램프들 및 비-스플리트 필라멘트 램프들을 포함하는 것을 특징으로 하는 방법.
  57. 제 56 항에 있어서,
    상기 스플리트 필라멘트 램프들 각각 및 상기 비-스플리트 필라멘트 램프들 각각은 이들 사이에서 교호적으로 배치된 것을 특징으로 하는 방법.
  58. 제 56 항에 있어서,
    각각의 램프는 독립적으로 전원 및 제어기에 전기 접촉을 하는 것을 특징으로 하는 방법.
  59. 제 56 항에 있어서,
    각각의 램프에 흘러가는 전기량을 독립적으로 조정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  60. 제 56 항에 있어서,
    상기 스플리트 필라멘트 램프는 제 1 말단부와 제 2 말단부 사이의 중심부를 포함하고, 상기 스플리트 필라멘트 램프들의 제 1 말단부와 제 2 말단부는 상기 스플리트 필라멘트 램프들의 중심부보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  61. 제 60 항에 있어서,
    상기 기판 서스셉터의 외부 에지들은 상기 기판 서스셉터의 중심점보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  62. 제 56 항에 있어서,
    상기 비-스플리트 필라멘트 램프는 제 1 말단부와 제 2 말단부 사이의 중심부를 포함하고, 상기 비-스플리트 필라멘트 램프들의 중심부는 상기 비-스플리트 필라멘트 램프들의 제 1 말단부 및 제 2 말단부보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  63. 제 62 항에 있어서,
    상기 기판 서스셉터의 중심점은 상기 기판 서스셉터의 외부 에지들보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  64. 제 59 항에 있어서,
    상기 기판 서스셉터는 기판 캐리어, 웨이퍼 캐리어, 또는 부상 웨이퍼 캐리어인 것을 특징으로 하는 방법.
  65. 제 49 항에 있어서,
    상기 램프 하우징은 상기 지지 베이스의 상부 표면 상에 배치된 제 1 램프 홀더 및 제 2 램프 홀더를 포함하고, 상기 제 1 램프 홀더 및 상기 제 2 램프 홀더는 서로 평행하거나 실질적으로 평행하게 연장되는 것을 특징으로 하는 방법.
  66. 제 65 항에 있어서,
    상기 리플렉터는 상기 제 1 램프 홀더와 상기 제 2 램프 홀더 사이에 배치된 것을 특징으로 하는 방법.
  67. 제 66 항에 있어서,
    상기 리플렉터는 금 또는 금 합금을 포함하는 것을 특징으로 하는 방법.
  68. 제 65 항에 있어서,
    상기 제 1 램프 홀더 및 상기 제 2 램프 홀더 각각은 약 0.001 인치 내지 약 0.1 인치의 범위에 속한 두께를 가지는 것을 특징으로 하는 방법.
  69. 제 68 항에 있어서,
    상기 제 1 램프 홀더 및 상기 제 2 램프 홀더 각각은 약 275 ℃ 내지 약 375 ℃의 범위에 속한 온도에서 독립적으로 유지되는 것을 특징으로 하는 방법.
  70. 제 69 항에 있어서,
    상기 온도는 약 300 ℃ 내지 약 350 ℃의 범위에 속한 것을 특징으로 하는 방법.
  71. 가열 램프 어셈블리에 의해 증기 증착 반응기 시스템 내의 기판 또는 기판 서스셉터를 가열하는 방법에 있어서, 상기 방법은:
    가열 램프 어셈블리로부터 조사된 에너지에 기판 서스셉터의 하부 표면을 노출하는 단계, 및
    기결정된 온도로 기판 서스셉터를 가열하는 단계
    를 포함하고,
    상기 가열 램프 어셈블리는:
    지지 베이스의 상부 표면 상에 배치되고 적어도 하나의 램프 홀더를 포함한 램프 하우징;
    상기 적어도 하나의 램프 홀더로부터 연장된 복수의 램프들로서, 상기 복수의 램프들은 스플리트 필라멘트 램프들 및 비-스플리트 필라멘트 램프들을 포함하는 복수의 램프들; 및
    상기 지지 베이스의 상부 표면 상에 배치되고, 상기 램프 홀더 옆에 위치하고, 상기 램프들 아래에 위치된 리플렉터를 포함하는 것을 특징으로 하는 방법.
  72. 제 71 항에 있어서,
    상기 스플리트 필라멘트 램프들의 각각 및 상기 비-스플리트 필라멘트 램프들 각각은 이들 사이에서 교호적으로 배치된 것을 특징으로 하는 방법.
  73. 제 71 항에 있어서,
    상기 스플리트 필라멘트 램프들 각각은 제 1 말단부와 제 2 말단부 사이의 중심부를 포함하는 것을 특징으로 하는 방법.
  74. 제 73 항에 있어서,
    상기 스플리트 필라멘트 램프들의 제 1 말단부 및 제 2 말단부는 상기 스플리트 필라멘트 램프들의 중심부보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  75. 제 74 항에 있어서,
    상기 기판 서스셉터의 외부 에지들은 상기 기판 서스셉터의 중심점보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  76. 제 71 항에 있어서,
    상기 비-스플리트 필라멘트 램프 각각은 제 1 말단부와 제 2 말단부 사이의 중심부를 포함하는 것을 특징으로 하는 방법.
  77. 제 76 항에 있어서,
    상기 비-스플리트 필라멘트 램프들의 중심부는 상기 비-스플리트 필라멘트 램프들의 제 1 말단부 및 제 2 말단부보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  78. 제 77 항에 있어서,
    상기 기판 서스셉터의 중심점은 상기 기판 서스셉터의 외부 에지들보다 따뜻하게 유지되는 것을 특징으로 하는 방법.
  79. 가열 램프 어셈블리에 의해 증기 증착 반응기 시스템 내의 기판 또는 기판 서스셉터를 가열하는 방법에 있어서, 상기 방법은:
    증기 증착 반응기 시스템 내의 제 1 공정 챔버를 통하여 웨이퍼 캐리어 트랙을 따라서 웨이퍼 캐리어를 횡단시키는 단계;
    가열 램프 어셈블리로부터 조사된 에너지에 상기 웨이퍼 캐리어의 하부표면을 노출시키면서, 상기 웨이퍼 캐리어를 제 1 온도로 가열하는 단계로서, 상기 가열 램프 어셈블리는 상기 웨이퍼 캐리어 트랙 아래에 배치되고, 복수의 램프들을 포함하는 단계;
    상기 증기 증착 반응기 시스템 내의 제 2 공정 챔버를 통하여 상기 웨이퍼 캐리어 트랙을 따라서 상기 웨이퍼 캐리어를 횡단시키는 단계; 및
    상기 가열 램프 어셈블리로부터 조사된 에너지에 상기 웨이퍼 캐리어의 하부표면을 노출시키면서, 상기 웨이퍼 캐리어를 제 2 온도로 가열하는 단계를 포함하는 것을 특징으로 하는 방법.
  80. 제 79 항에 있어서,
    상기 웨이퍼 캐리어는 부상 웨이퍼 캐리어인 것을 특징으로 하는 방법.
  81. 제 80 항에 있어서,
    상기 웨이퍼 캐리어 트랙의 상부 표면 상에 배치된 복수의 홀들로부터 흐르는 부상 가스에 상기 웨이퍼 캐리어의 하부 표면을 노출시킴으로써, 상기 웨이퍼 캐리어를 부상시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  82. 제 79 항에 있어서,
    상기 제 1 온도 및 상기 제 2 온도 각각은 독립적으로 약 275 ℃ 내지 약 325 ℃의 범위에 속한 것을 특징으로 하는 방법.
  83. 제 80 항에 있어서,
    상기 제 1 온도 및 상기 제 2 온도 각각은 독립적으로 약 290 ℃ 내지 약 310 ℃의 범위에 속한 것을 특징으로 하는 방법.
  84. 제 81 항에 있어서,
    상기 제 1 온도 및 상기 제 2 온도 각각은 독립적으로 약 300 ℃인 것을 특징으로 하는 방법.
KR1020117024338A 2009-03-16 2010-03-16 가열 램프 시스템 및 그의 방법 KR20110131291A (ko)

Applications Claiming Priority (14)

Application Number Priority Date Filing Date Title
US16070009P 2009-03-16 2009-03-16
US16069609P 2009-03-16 2009-03-16
US16070109P 2009-03-16 2009-03-16
US16069009P 2009-03-16 2009-03-16
US16069909P 2009-03-16 2009-03-16
US16069409P 2009-03-16 2009-03-16
US16070309P 2009-03-16 2009-03-16
US61/160,703 2009-03-16
US61/160,699 2009-03-16
US61/160,690 2009-03-16
US61/160,696 2009-03-16
US61/160,694 2009-03-16
US61/160,700 2009-03-16
US61/160,701 2009-03-16

Publications (1)

Publication Number Publication Date
KR20110131291A true KR20110131291A (ko) 2011-12-06

Family

ID=42729639

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020117024338A KR20110131291A (ko) 2009-03-16 2010-03-16 가열 램프 시스템 및 그의 방법
KR1020117024341A KR20110128932A (ko) 2009-03-16 2010-03-16 웨이퍼 캐리어 트랙
KR1020117024340A KR20110131292A (ko) 2009-03-16 2010-03-16 증기 증착용 샤워헤드
KR1020117024339A KR20120003455A (ko) 2009-03-16 2010-03-16 증기 증착 반응기 시스템 및 그의 방법
KR1020117024337A KR20110131290A (ko) 2009-03-16 2010-03-16 증기 증착용 반응기 리드 어셈블리

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020117024341A KR20110128932A (ko) 2009-03-16 2010-03-16 웨이퍼 캐리어 트랙
KR1020117024340A KR20110131292A (ko) 2009-03-16 2010-03-16 증기 증착용 샤워헤드
KR1020117024339A KR20120003455A (ko) 2009-03-16 2010-03-16 증기 증착 반응기 시스템 및 그의 방법
KR1020117024337A KR20110131290A (ko) 2009-03-16 2010-03-16 증기 증착용 반응기 리드 어셈블리

Country Status (7)

Country Link
US (5) US8985911B2 (ko)
EP (5) EP2409320A4 (ko)
JP (5) JP2012521094A (ko)
KR (5) KR20110131291A (ko)
CN (6) CN102422392B (ko)
TW (5) TW201043727A (ko)
WO (5) WO2010107839A2 (ko)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9635713B2 (en) 2005-05-18 2017-04-25 Judco Manufacturing, Inc. Cordless handheld heater
US20130209076A1 (en) * 2005-05-18 2013-08-15 Judco Manufacturing, Inc. Handheld heater
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US8852696B2 (en) 2008-05-30 2014-10-07 Alta Devices, Inc. Method for vapor deposition
US20100209082A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Heating lamp system
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US9169554B2 (en) * 2008-05-30 2015-10-27 Alta Devices, Inc. Wafer carrier track
TW201030178A (en) 2008-10-10 2010-08-16 Alta Devices Inc Concentric showerhead for vapor deposition
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
US9644268B2 (en) 2011-08-31 2017-05-09 Alta Devices, Inc. Thermal bridge for chemical vapor deposition reactors
US10066297B2 (en) 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9212422B2 (en) 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9328419B2 (en) * 2012-04-18 2016-05-03 Hermes-Epitek Corporation Gas treatment apparatus with surrounding spray curtains
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
TWI502096B (zh) * 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
US9105286B2 (en) 2013-07-30 2015-08-11 HGST Netherlands B.V. Method using epitaxial transfer to integrate HAMR photonic integrated circuit (PIC) into recording head wafer
WO2015081072A1 (en) 2013-11-26 2015-06-04 Applied Materials Israel, Ltd. System and method for forming a sealed chamber
CN104911565B (zh) * 2014-03-11 2017-12-22 中微半导体设备(上海)有限公司 一种化学气相沉积装置
US10332770B2 (en) * 2014-09-24 2019-06-25 Sandisk Technologies Llc Wafer transfer system
US10932323B2 (en) 2015-08-03 2021-02-23 Alta Devices, Inc. Reflector and susceptor assembly for chemical vapor deposition reactor
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
SG11201810643QA (en) * 2016-06-02 2018-12-28 Applied Materials Inc Continuous chemical vapor depositioin (cvd) multi-zone process kit
DE102016110788A1 (de) * 2016-06-13 2017-12-14 Aixtron Se Vorrichtung und Verfahren zur Herstellung von optoelektronischen Bauelementen, insbesondere von Multi-Junction-Solarzellen im Durchlaufverfahren
CN108321105A (zh) 2018-03-23 2018-07-24 北京创昱科技有限公司 一种加热组件
US10612136B2 (en) * 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102192369B1 (ko) * 2019-05-03 2020-12-17 주성엔지니어링(주) 기판 처리 장치
CN111370498B (zh) * 2020-03-23 2022-05-31 中国科学院长春光学精密机械与物理研究所 一种探测器小型永久高真空腔体及制备方法
EP3937219B1 (de) * 2020-07-06 2023-08-30 Siltronic AG Verfahren zum erzeugen eines gasvorhangs aus spülgas in einem schlitzventiltunnel und schlitzventiltunnel
WO2024101775A1 (ko) * 2022-11-07 2024-05-16 주식회사 넥서스비 산화갈륨용 하이브리드 증착 장치 및 이를 이용한 하이브리드 증착 방법

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3650042A (en) 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
US4074474A (en) * 1975-10-08 1978-02-21 Cristy Nicholas G Floor support arrangement
US4316430A (en) * 1980-09-30 1982-02-23 Rca Corporation Vapor phase deposition apparatus
US4545327A (en) 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US4834020A (en) * 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4908495A (en) * 1988-12-20 1990-03-13 Texas Instruments Incorporated Heating lamp assembly for ccvd reactors
US4941429A (en) 1988-12-20 1990-07-17 Texas Instruments Incorporated Semiconductor wafer carrier guide tracks
US5105762A (en) * 1988-12-20 1992-04-21 Texas Instruments Incorporated Support and seal structure for CCVD reactor
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US4928626A (en) * 1989-05-19 1990-05-29 Applied Materials, Inc. Reactant gas injection for IC processing
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
DE69132324T2 (de) * 1990-11-16 2001-01-04 Watanabe Shoko Tokio Tokyo Kk Methode zum Transportieren von Substraten mit plattenförmiger Grundlage
JPH05152208A (ja) * 1991-11-29 1993-06-18 Fujitsu Ltd 半導体製造装置
US5863337A (en) * 1993-02-16 1999-01-26 Ppg Industries, Inc. Apparatus for coating a moving glass substrate
US5413671A (en) * 1993-08-09 1995-05-09 Advanced Micro Devices, Inc. Apparatus and method for removing deposits from an APCVD system
JPH07228346A (ja) * 1993-12-22 1995-08-29 Hitachi Ltd 搬送装置、搬送処理装置及び被処理物搬送処理方法
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH08176826A (ja) * 1994-12-28 1996-07-09 Mitsubishi Electric Corp Cvd法による薄膜の堆積装置及び堆積方法並びに該堆積装置又は該堆積方法で用いられるcvd原料及び液体原料容器
US5776254A (en) * 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
DE69629412T2 (de) * 1995-04-20 2004-06-24 Ebara Corp. Anlage zur Dampfabscheidung von Dünnschichten
JP2845773B2 (ja) * 1995-04-27 1999-01-13 山形日本電気株式会社 常圧cvd装置
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JPH108402A (ja) 1996-06-26 1998-01-13 Ishikawajima Harima Heavy Ind Co Ltd 軌道走行装置
US5863843A (en) * 1996-07-31 1999-01-26 Lucent Technologies Inc. Wafer holder for thermal processing apparatus
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
JP3341619B2 (ja) * 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
US5792273A (en) * 1997-05-27 1998-08-11 Memc Electric Materials, Inc. Secondary edge reflector for horizontal reactor
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
KR19990043658A (ko) 1997-11-29 1999-06-15 윤종용 화학 기상 증착 방법을 이용한 반도체 소자의 박막 형성 방법
JP2000068222A (ja) * 1998-08-21 2000-03-03 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2000072251A (ja) * 1998-08-31 2000-03-07 Watanabe Shoko:Kk 浮上搬送装置および浮上搬送システム
US6244572B1 (en) 1998-10-05 2001-06-12 Robert Delsole Carburetor float bowl drain screw and recovery system
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2000159342A (ja) 1998-11-20 2000-06-13 Daiichi Shisetsu Kogyo Kk 板状部材の搬送装置
US6254687B1 (en) * 1999-03-26 2001-07-03 Japan Process Engineering, Ltd. Chemical vapor deposition system with reduced material deposition on chamber wall surfaces
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
JP2000306905A (ja) * 1999-04-22 2000-11-02 Sony Corp 薄膜形成装置
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6891131B2 (en) * 2000-04-20 2005-05-10 Tokyo Electron Limited Thermal processing system
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
JP4021125B2 (ja) 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
WO2002020864A2 (en) * 2000-06-16 2002-03-14 Applied Materials, Inc. System and method for depositing high dielectric constant materials and compatible conductive materials
US6805466B1 (en) * 2000-06-16 2004-10-19 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
JP4717179B2 (ja) * 2000-06-21 2011-07-06 日本電気株式会社 ガス供給装置及び処理装置
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6465761B2 (en) * 2000-07-24 2002-10-15 Asm America, Inc. Heat lamps for zone heating
TWI303084B (en) * 2000-09-08 2008-11-11 Tokyo Electron Ltd Shower head structure, film forming method, and gas processing apparauts
JP4815724B2 (ja) * 2000-09-08 2011-11-16 東京エレクトロン株式会社 シャワーヘッド構造及び成膜装置
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
JP4948701B2 (ja) * 2000-12-28 2012-06-06 東京エレクトロン株式会社 加熱装置、当該加熱装置を有する熱処理装置、及び、熱処理制御方法
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
JP2002261089A (ja) * 2001-03-06 2002-09-13 Sony Corp 半導体製造装置
US6656838B2 (en) * 2001-03-16 2003-12-02 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
KR100423954B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착방법
JP2003007622A (ja) * 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc 基板処理装置
US20030066603A1 (en) * 2001-08-20 2003-04-10 Lord Corporation Adhesive composition for bonding an elastomer to a substrate and a method for the bonding thereof
JP2003121023A (ja) * 2001-10-10 2003-04-23 Tokyo Electron Ltd 熱媒体循環装置及びこれを用いた熱処理装置
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
KR20030078454A (ko) 2002-03-29 2003-10-08 주식회사 엘지이아이 표면처리장치와 그 방법 및 표면처리된 제품
US6705457B2 (en) 2002-04-01 2004-03-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Transport device and method of transporting to-be-processed elements through a high-temperature zone
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置
JP2004055595A (ja) 2002-07-16 2004-02-19 Sharp Corp 気相成長装置
KR100509231B1 (ko) * 2003-01-03 2005-08-22 주식회사 아이피에스 박막증착용 반응용기
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP4536662B2 (ja) * 2003-09-03 2010-09-01 東京エレクトロン株式会社 ガス処理装置および放熱方法
US7918939B2 (en) 2004-01-30 2011-04-05 Sharp Kabushiki Kaisha Semiconductor manufacturing apparatus and semiconductor manufacturing method using the same
JP4404303B2 (ja) * 2004-02-03 2010-01-27 株式会社アルバック プラズマcvd装置及び成膜方法
US6888104B1 (en) * 2004-02-05 2005-05-03 Applied Materials, Inc. Thermally matched support ring for substrate processing chamber
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
JP5291281B2 (ja) * 2004-06-28 2013-09-18 株式会社渡辺商行 浮上搬送装置及び浮上搬送方法
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US20060128160A1 (en) * 2004-12-10 2006-06-15 Yoo Woo S Photoresist strip using solvent vapor
KR20060076714A (ko) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
JP2006279008A (ja) * 2005-03-02 2006-10-12 Ushio Inc ヒータ及びヒータを備えた加熱装置
JP4869612B2 (ja) * 2005-03-25 2012-02-08 東京エレクトロン株式会社 基板搬送システムおよび基板搬送方法
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR101272321B1 (ko) 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20090161713A1 (en) 2005-06-08 2009-06-25 Firecomms Limited Surface emitting optical devices
EP1734202A1 (fr) * 2005-06-14 2006-12-20 Tarkett SAS Panneau pourvu d'un adhésif repositionnable, en particulier pour le revêtement de sols, murs ou plafonds
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
US7691204B2 (en) * 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
JP4594241B2 (ja) * 2006-01-06 2010-12-08 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びコンピュータプログラム
JP2007182304A (ja) 2006-01-06 2007-07-19 Tokyo Electron Ltd 基板搬送装置、基板搬送方法及びコンピュータプログラム
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP4709662B2 (ja) 2006-02-28 2011-06-22 三菱重工業株式会社 透明電極膜の製膜方法及び太陽電池の製造方法
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP4916802B2 (ja) * 2006-07-20 2012-04-18 大日本スクリーン製造株式会社 熱処理装置
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
JP2008255386A (ja) * 2007-04-02 2008-10-23 Hitachi Kokusai Electric Inc 基板処理装置
JP2008270670A (ja) * 2007-04-24 2008-11-06 Oki Electric Ind Co Ltd 薄膜形成装置及び薄膜形成方法
WO2008147225A1 (en) * 2007-05-25 2008-12-04 New Zealand Heavy Engineering Research Association Incorporated Panels
US20080302052A1 (en) * 2007-06-08 2008-12-11 Kelly Gibson Panelling system formed from panels defined by tongue and groove strips
JP5076697B2 (ja) * 2007-07-17 2012-11-21 株式会社Ihi 薄板移送装置、薄板処理移送システム、及び薄板移送方法
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US20090133631A1 (en) * 2007-11-23 2009-05-28 Applied Materials Inc. Coating device and method of producing an electrode assembly
CN201151852Y (zh) * 2007-12-13 2008-11-19 张秀福 铁路钢轨轨缝纵向联接结构
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
CN201190181Y (zh) * 2008-04-23 2009-02-04 吴明鸿 化学气相沉积系统的多片式活动电镀车台
CN102084460A (zh) * 2008-05-30 2011-06-01 奥塔装置公司 用于化学气相沉积反应器的方法和设备
US8859042B2 (en) * 2008-05-30 2014-10-14 Alta Devices, Inc. Methods for heating with lamps
US9169554B2 (en) * 2008-05-30 2015-10-27 Alta Devices, Inc. Wafer carrier track
WO2009148913A2 (en) * 2008-06-02 2009-12-10 Mattson Technology, Inc. Process and system for varying the exposure to a chemical ambient in a process chamber
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
US8652259B2 (en) * 2008-10-09 2014-02-18 Silevo, Inc. Scalable, high-throughput, multi-chamber epitaxial reactor for silicon deposition
TW201030178A (en) * 2008-10-10 2010-08-16 Alta Devices Inc Concentric showerhead for vapor deposition
TW201034055A (en) * 2008-10-10 2010-09-16 Alta Devices Inc Continuous feed chemical vapor deposition

Also Published As

Publication number Publication date
CN102422394B (zh) 2015-10-14
US20120106935A1 (en) 2012-05-03
WO2010107837A3 (en) 2011-01-13
EP2409321A4 (en) 2013-07-24
WO2010107839A3 (en) 2011-01-13
CN102422392B (zh) 2016-08-31
CN102422390B (zh) 2015-05-13
WO2010107843A3 (en) 2011-01-13
US20100229793A1 (en) 2010-09-16
EP2409321A2 (en) 2012-01-25
KR20110131292A (ko) 2011-12-06
WO2010107843A2 (en) 2010-09-23
WO2010107842A3 (en) 2011-01-13
US20120090548A1 (en) 2012-04-19
JP2012521093A (ja) 2012-09-10
JP2012521094A (ja) 2012-09-10
CN102422407A (zh) 2012-04-18
JP2012521095A (ja) 2012-09-10
CN102422394A (zh) 2012-04-18
US20120067286A1 (en) 2012-03-22
WO2010107842A2 (en) 2010-09-23
US20120067282A1 (en) 2012-03-22
EP2409320A2 (en) 2012-01-25
CN102422392A (zh) 2012-04-18
TW201038764A (en) 2010-11-01
TW201043727A (en) 2010-12-16
KR20110131290A (ko) 2011-12-06
KR20110128932A (ko) 2011-11-30
CN102422393A (zh) 2012-04-18
EP2409320A4 (en) 2013-07-24
KR20120003455A (ko) 2012-01-10
WO2010107835A3 (en) 2011-01-13
WO2010107839A2 (en) 2010-09-23
TW201042075A (en) 2010-12-01
EP2409319A2 (en) 2012-01-25
CN104962879A (zh) 2015-10-07
EP2409324A2 (en) 2012-01-25
EP2409324A4 (en) 2013-05-15
EP2409319A4 (en) 2013-07-24
JP2012521097A (ja) 2012-09-10
TW201037100A (en) 2010-10-16
TW201043724A (en) 2010-12-16
WO2010107835A2 (en) 2010-09-23
EP2409318A2 (en) 2012-01-25
WO2010107837A2 (en) 2010-09-23
JP2012521096A (ja) 2012-09-10
US8985911B2 (en) 2015-03-24
CN102422407B (zh) 2014-10-15
CN102422390A (zh) 2012-04-18

Similar Documents

Publication Publication Date Title
US8985911B2 (en) Wafer carrier track
US8859042B2 (en) Methods for heating with lamps
US9169554B2 (en) Wafer carrier track
US20160130724A1 (en) Heating lamp system
US8852696B2 (en) Method for vapor deposition
US20100206229A1 (en) Vapor deposition reactor system
US20100212591A1 (en) Reactor lid assembly for vapor deposition
KR20110069851A (ko) 기상증착을 위한 동심형 샤워헤드

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application