TW201038764A - Reactor lid assembly for vapor deposition - Google Patents

Reactor lid assembly for vapor deposition Download PDF

Info

Publication number
TW201038764A
TW201038764A TW099107646A TW99107646A TW201038764A TW 201038764 A TW201038764 A TW 201038764A TW 099107646 A TW099107646 A TW 099107646A TW 99107646 A TW99107646 A TW 99107646A TW 201038764 A TW201038764 A TW 201038764A
Authority
TW
Taiwan
Prior art keywords
assembly
disposed
reactor
holes
showerhead
Prior art date
Application number
TW099107646A
Other languages
English (en)
Inventor
Gang He
Gregg Higashi
Khurshed Sorabji
Roger Hamamjy
Andreas Hegedus
Original Assignee
Alta Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alta Devices Inc filed Critical Alta Devices Inc
Publication of TW201038764A publication Critical patent/TW201038764A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

201038764 ,六、發明說明: 【發明所屬之技術領域】 - 本發明之實施例大體而言係關於用於氣相沉積之設備 '; 及方法,且更特定言之,係關於化學氣相沉積系統、反 * 應器及其製程。 【先前技術】 〇 通常藉由利用多種製造程序來製造光電或太陽能元 件、半導體元件或其他電子元件以操縱—基材之表面。 此等製造製程可包括沉積、退火、蝕刻、摻雜、氧化、 氮化及許多其他製程。大體而言,該等製造設備通常將 部分或整個基礎基材併入該電子元件之最終架構。舉例 而言,光電元体通常形成於一砷化鎵晶圓上,該砷化鎵 B曰圓經合併為該最終光電元件之内部部件。 ❹ 磊晶移植(ELO)為用於製造薄膜元件及材料之一不 *用技術,其未將該基礎基材併入該等最終製造元件 中。;w晶層、薄膜或材料係成長或沉積於一犧牲層上1 該犧牲層係藉由化學氣相沉積(CVD )製程或金屬有機 • CVD ( M〇C VD )製程配置於諸如神化鎵晶圓之成長基材 上^後在濕酸浴中選擇性地蝕刻掉該犧牲層,同時 在則姓刻製程期間使遙晶材料與成長基材分離。該經 分離磊晶材料為一薄層或薄膜且通常稱為ELO薄膜或磊 晶薄膜。每一磊晶薄膜通常含有相對於諸如光電或太陽 4 201038764 能元件、半導體元件或其他電子元件之特定元件具有變 化組合物之眾多層。 該CVD t程包括藉由氣相化學前驅物之反應成長或 沉積磊晶薄膜之步驟。在M〇CVD製程期間,該等化學 前驅物中至少一者為金屬有機化合物,亦即,具有金屬 原子及含有有機片段之至少一個配位體的化合物。 眾夕類型之CVD反應器可用於極不同應用中。舉例而 吕,CVD反應器包括單一或大塊晶圓反應器、大氣壓及 低壓反應器 '周圍溫度及高溫反應器,以及電漿增強反 應器。此等獨特設計解決在CVD製程期間遭遇之多種挑 戰,諸如耗盡效應、污染問題、反應器維護、產出率及 生產成本。 因此’對於CVD反應器及製程而言,需要以與藉由當 前已知之CVD裝備及製程相比污染更小、時間與高產出 率之消耗更小及更便宜之更有效方式在基材上成長磊晶 薄膜堆疊。 【發明内容】 本發明之實施例大體而言係關於用於化學氣相沉積 (CVD )製程之設備及方法。在一實施例中,提供一種 用於氣相沉積之反應器蓋組件,該反應器蓋組件包括: 彼此緊鄰配置於一蓋支撐件上之一第一喷淋頭組件及一 隔離器組件,及彼此緊鄰配置於該蓋支撐件上之一第二 5 201038764 噴淋頭組件及一排氣組件,其中該隔離器組件配置於該 第一喷淋頭組件與該第二噴淋頭組件之間,且該第二噴 淋頭組件配置於該隔離器組件與該排氣組件之間。 ; 在許多實施例中,該第—喷淋頭組件或第二噴淋頭組 件進步含有.一主體,其具有一上部部分及一下部部 分,一中心通道,其延伸穿過該主體之上部部分及下部 部分,位於該主體之内表面之間,且平行於延伸穿過該 〇 主體之一中心軸。該第一噴淋頭組件或第二喷淋頭組件 可進一步含有:一視情況任選的擴散板,其具有第—複 數個孔且配置於該中心通道内;一上部管板,其具有第 二複數個孔且配置於中心通道内該可選擴散板下方;一 下部管板,其具有第三複數個孔且配置於中心通道内該 上部管板下方;及複數個管,其自該上部管板延伸至該 下部管板,其中每一管耦接至來自該第二複數個孔之一 個別孔及來自該第三複數個孔之一個別孔,且與該等個 〇 別孔形成流體連通。 在另一實施例中’提供一種用於氣相沉積之反應器蓋 組件,該反應器蓋組件包括:一第一腔室,其具有彼此 緊鄰配置於一蓋支撐件上之一第一喷淋頭組件及一隔離 ' 器組件;及一第二腔室,其具有彼此緊鄰配置於該蓋支
A - 樓件上之一第二噴淋頭組件及一排氣組件,其中該隔離 器組件配置於該第一噴淋頭組件與該第二喷淋頭組件之 間,且該第二喷淋頭組件配置於該隔離器組件與該排氣 組件之間。 6 201038764
在其他實例中,提供一種用於氣相沉積之反應器蓋組 二,遠反應器蓋組件包括連續對準且彼此緊鄰配置於一 “支撐件上之一第一喷淋頭組件、一隔離器組件、一第 二噴淋頭組件及一排氣組件。在另—實例中,提供一種 用於氣相沉積之反應器蓋組件,該反應器蓋組件包括彼 此靠近連續且線性地配置於一蓋支撐件上之一第一喷淋 頭組件、—隔離器組件、—第:喷淋頭組件及—排氣組 件,其中該隔離器組件配置於該第一喷淋頭組件與該第 噴淋頊組件之間,且該第二喷淋頭組件配置於該隔離 器組件與該排氣組件之間。 在些實例中,該第一噴淋頭組件、該隔離器組件、 該第二喷淋頭組件及該排氣組件係彼此緊鄰且沿著沿該 支撐件之長度延伸之製程路徑而連續配置。該隔離器 組件或該排氣組件可獨立地具有大體上相同於或大於該 製程路徑之寬度的一寬度。又,該隔離器組件或該排氣 組件可獨立地具有大體上相同於或大於該第一喷淋頭組 件或第二噴淋頭組件之寬度的一寬度。 在另—實施例中,提供一種用於氣相沉積之反應器蓋 知·件’該反應器蓋組件包括彼此緊鄰連續且線性地配置 於一蓋支撐件上之一第一喷淋頭組件、一隔離器組件、 一第二噴淋頭組件及一排氣組件,及一溫度調節系統, 該溫度調節系統具有延伸貫穿該蓋支撐件之至少一個流 體通路’及耦接至該流體通路且與其形成流體連通之至 ^ 個入口及至少一個出口。 7 201038764 在另一實施例中,提供一種用於氣相沉積之反應器蓋 組件,該反應器蓋組件包括··一第一腔室,其具有彼此 緊鄰配置於一蓋支撐件上之一第一噴淋頭組件及一隔離 * 器組件;一第二腔室,其具有彼此緊鄰配置於該蓋支撐 - 件上之一第二喷淋頭組件及一排氣組件,其中該隔離器 組件配置於該第一噴淋頭組件與該第二噴淋頭組件之 間;及一溫度調節系統,該溫度調節系統具有延伸貫穿 該蓋支撐件之至少一個流體通路,及耦接至該流體通路 且與其形成流體連通之至少一個入口及至少一個出口。 在一實施例中,該第一喷淋頭組件、該隔離器組件、 該第二喷淋頭組件及該排氣組件係彼此緊鄰且沿著蓋支 撐件之長度而連續配置。在一些實施例中,該隔離器組 件可具有比該第一喷淋頭組件或第二喷淋頭組件更長之 寬度。在其他實施例中,該隔離器組件可具有比該第一 噴淋頭組件或第二噴淋頭組件更短之長度。在一些實施 〇 例中,該排氣組件可具有比該第—喷淋頭組件或第二喷 淋頭組件更長之寬度。在其他實施例中,該排氣組件可 具有比該第-喷淋頭組件或第二噴淋頭 在-些實例中’該第一喷淋頭組件、該隔離器組件、 該第二喷淋頭組件及該排氣組件獨立地具有—矩形幾何 形狀。在其他實财,該第一噴淋頭組件及該第二喷淋 頭、’且件具有一正方形幾何形狀。該蓋支撐件可含有—材 料或由該材料製成’該材料諸如鋼、不錄鋼、300系列 8 201038764 不銹鋼、鐵、鎳、鉻、鉬、鋁、其合金或其組合。 在一實施例中,該反應器蓋組件可進一步含有—溫度 調節系統,該溫度調節系統具有延伸貫穿該蓋支撐件之 一或多個流體通路,其中該流體通路耦接至至少—個入 口及至少一個出口且與其形成流體連通。每一入口及出 口可獨立地耦接至一貯液器、一熱交換器或多個熱交換 器且與其形成流體連通。 Ο
在另-實施例中,該溫度調節系統可獨立地含有延伸 貝穿該蓋支撐件之兩個或兩個以上流體通路’其中該等 流體通路中之每-者耦接至至少-個入口及至少一個出 口且與其形成流體連通。在—實例中,該第二噴淋頭可 配置於該溫度調節系統之兩個獨立的流體通路之間。在 另-實例中,該隔離器組件可配置於該溫度調節:统之 兩個獨立的流體通路之間。每一入口及出口可獨立地耦 接至該貯液器且與其形成流體連通。 在另-實施例中,該溫度調節系統含有延伸貫穿該蓋 支撐件之三個或三個以上獨立的流體通路,其中該等流 體通路中之每-者輕接至至少—個人口及至少_個出: 且與其形成流體連通。在—實例中,該第二t淋頭可配 置於該溫度調節系統之兩個獨立的流體通路之間。在另 -實例中,該隔離器組件可配置於該溫度調節:之 個獨立的流體通路之間。每—人口及出口可獨立地 至該貯液器且與其形成流體連通。 丧 實施例提供該第一喷淋頭組件、該第二噴淋頭組件或 9 201038764 該隔離器組件獨iL地具有:-主體,其含有配置於—下 部部分上之-上部部分卜中心通道,其延伸穿過該主 - ^之上部部分及下部㈣’位於該主體之内|面之間, ; 且平行於延伸穿過該主體之-中心軸;-擴散板,其具 . 有第一複數個孔且配置於該中心通道内;一上部管板, 其具有第二複數個孔且配置於中心通道内該擴散板下 方,及一下部官板,其具有第三複數個孔且配置於該上 〇 °卩e板下方之中心通道内。噴淋頭組件或隔離器組件中 之任一者可進一步獨立地具有自該上部管板延伸至該下 部管板之複數個管,其中每一管耦接至來自該第二複數 個孔之一個別孔及來自該第三複數個孔之一個別孔,且 與該等個別孔形成流體連通。 在另一實施例中,一種排氣組件含有··一主體,其具 有配置於一下部部分上之一上部部分;一中心通道其 延伸穿過該主體之上部部分及下部部分,位於該主體之 内表面之間,且平行於延伸穿過該主體之一中心轴;一 排氣出口,其配置於該主體之上部部分上;一擴散板, 其具有第一複數個孔且配置於該中心通道内;一上部管 '. 板,其具有第二複數個孔且配置於該中心通道内擴散板 ·、 下方,一下部管板,其具有第三複數個孔且配置於該中 k通道内上部官板下方。該排氣組件可進一步含有自該 上部管板延伸至該下部管板之複數個管,其中每一管耦 接至來自該第二複數個孔之一個別孔及來自該第三複數 個孔之一個別孔,且與該等個別孔形成流體連通。 10 201038764 【實施方式】 本發明之實施例大體而言係關於諸如金屬有機cvD (MOCVD )製程之化學氣相沉積(c VD )之一裝置及方 法。如本文所陳述,本發明之實施例經描述為其係關於 Ο
-大軋壓CVD反應器及金屬有機前驅物氣體。然而,應 注意,本發明之彼等態樣並非限於供大氣壓反應器 或金屬有機前驅物氣體使用,但適用於其他類型之反應 器系統及前驅物氣體。為更好地理解本發明之裝置及其 使用方法之新穎性’下文參閱該等隨附圖式。 根據本發明之一實施例,提供一種大氣壓CVD反應 器。該CVD反應器可用以在諸如砷化鎵基材之一基材上 提供多個磊晶層。此等磊晶層可包括砷化鋁鎵、砷化鎵 及砷化磷鎵。此等磊晶層可成長在該砷化鎵基材上以用 於稱後移除’以便該基材可經再使用以產生額外材料。 在一實施例中,該CVD反應器可用以提供太陽能電池。 此等太陽能電池可進-步包括單接面、異接面或其他組 態。在一實施例中,該CVD反應器可經裝設以將2.5瓦 特晶圓形成在10公分x10公分之基材上。在一實施例 中’該CVD反應器可提供每分鐘約丄個基材至每分鐘約 10個基材之產出率範圍。 第1A圖至第1E圖描繪如本文所描述之一實施例中描 述之反應胃100、CVD反應器或腔室。反應器1〇〇含有 201038764 配置於反應器主體組件10 * 。4 2上之反應器蓋組件200〇反 應蓋組件200及其組件進— _ 運步圖不於第2A圖至第2D 圖中且反應器主體組件1〇2 一 _ ^ 步圖不於第3圖中。 反應器蓋組件2 0 0含有—哈私。„斗、_ 赁射窃或隔離器,即隔離器 組件5 0 0 ’其配置於兩個喷狀通〈p 4 又淋碩(即噴淋頭組件700)之 間。反應器蓋組件200亦含有排名 "»有排軋組件80〇。第π圖描 繪含有諸如腔室站16〇、腔官 股至站162之兩個沉積站的反 Ο Ο 應器100。腔室站16〇含右. 有嗔淋頭組件700及隔離器組 件5〇0’而腔室站162含有嘴淋頭組件及排氣組件 _。在-實施例中,隔離器組件綱可用以流動氣體以 將喷淋頭組件700兩者彼此分雜品站尸 丄 此刀離’而排氣組件800可用 以將反應it 1GG之内部環境隔離連揍至面板ιΐ2之另一 反應器。 在本文所述之許多實施例中,噴淋頭組件7〇〇中之每 一者可為模組化喷淋頭組件,隔離器組件5〇〇中之每一 者可為模組化隔離器組件,且排氣組件8〇〇中之每一者 可為模組化排氣組件。如達成特定製程條件所需,噴淋 頭組件700、隔離器組件5〇〇及/或排氣組件8〇〇中之任 -者可自反應器蓋組件200移除’且可用相同或不同組 件來替代。喷淋頭組件700、隔離器組件5〇〇及/或排氣 組件800之該等模組化組件可經獨立地裝設以用於定位 在一 CVD反應器系統内。 在本文所述之替代實施例中,雖然提供反應器丨〇〇之 其他組態,但其未圖示於諸圖中。在一實施例中,反應 12 201038764 器100之反應器蓋組件200含有由兩個喷淋頭組件鳩 分離之三個排氣組件8〇〇,以便反應器蓋組件2⑻相繼 含有第-排氣組件、第一噴淋頭組件、第二排氣组件、 第二喷淋頭組件及第三排氣組件。在另—實施例中,反 應器10G之反應器蓋組件⑽含有由兩個噴淋頭組件· 分離之三個隔離器組件500,以便反應器蓋組件200相 繼含有第一隔離器組件、第一喷淋頭組件、第 組件、第二喷淋頭組件及第三隔離器組件。
Ο 在另實施例中,反應益1 〇〇之反應器蓋組件含 有由兩個喷淋頭組件700分離之兩個隔離器組件5〇〇及 一個排氣組件800,以便反應器蓋組件2〇〇相繼含有第 一隔離器組件、第—噴淋頭組件、第二隔離器組件、第 二喷淋頭組件及第一排氣組件。在另一實例中,反應器 蓋組件200可相繼含有第一隔離器組件、第一喷淋頭組 件、第一排氣組件、第二喷淋頭組件及第二隔離器組件。 在另只例中,反應器蓋組件2 0 0可相繼含有第.一排氣 組件、第一喷淋頭組件、第一隔離器組件、第二噴淋頭 組件及第二隔離器組件。 在另一實施例中,反應器10〇之反應器蓋組件2〇〇含 有由兩個喷淋頭組件700分離之兩個排氣組件8〇〇及一 個隔離器組件500,以便反應器蓋組件2〇〇相繼含有第 一排氣組件、第一噴淋頭組件、第二排氣組件、第二噴 淋頭組件及第一隔離器組件。在另一實例中,反應器蓋 組件200可相繼含有第一排氣組件、第一喷淋頭組件、 13 201038764 第一隔離器組件、第二喷淋頭組件及第二排氣組件。在 另一實例中’反應器蓋組件200可相繼含有第_隔離器 組件、第一喷淋頭組件、第一排氣組件、第二噴淋頭組 - 件及第二排氣組件。 - 反應器主體組件1〇2在一端含有面板no且在相對端 含有面板112。面板ι10及面板112可各自獨立地用以將 類似於或不同於反應器100之額外反應器耦接在一起, 0 或耦接一端蓋、一端板、一晶圓/基材處置器或另一元 件。在一實例中’反應器100之面板可耦接至另— 反應器(未圖示)之面板112。類似地,反應器1〇〇之 面板112可耦接至另一反應器(未圖示)之面板m。密 封件、間隔件或〇型環可配置於兩個接合面板之間。在 一實施例中’該密封件可含有諸如鎳或鎳合金之金屬。 在一實例中’該密封件為一刃狀金屬密封件。在另一實 施例中’該密封件含有聚合物或彈性體,諸如可購自 O DuPont Performance Elastomers L.L.C 之 KALREZ®彈性 體密封件。在另一實施例中,該密封件可為一螺旋密封 件或一 Η型密封件。該密封件或〇型環應形成一氣密密 封件,以防止或大幅減少周圍氣體進入反應器1 〇〇。在 使用或生產期間,可在極少或無氧、水或二氧化碳之情 ' 況下維持反應器100。在一實施例中,可在獨立地約100 PPb (十億分率)或更少,較佳約! 〇 ppb或更少,更佳 約1 ppb或更少,且更佳約1 〇〇 ppt (萬億分率)或更少 之氧濃度、水濃度及/或二氧化碳濃度之情況下維持反應 14 201038764 器 100。 侧面120及侧面130沿著反應器主體組件1〇2之長度 延伸。側面1 20具有上表面128且側面1 3〇具有上表面 • 138。反應器主體組件1〇2之上表面114及上表面116在 - 上表面128與上表面138之間延伸。上表面U4在反應 器主體組件1 02上(僅位於内部)且與面板丨i 〇平行, 且上表面116在反應器主體組件102上(僅位於内部) Q 且與面板112平行。氣體入口 123耦接至側面12〇且自 其延伸。該懸浮氣體或載送氣體可經由氣體入口 123引 入至反應器100中。該懸浮氣體或載送氣體可含有氮、 氦、氬、負i或其混合物。 第1F圖描繪根據本文所描述之—實施例之反應器 100’反應器100耦接至溫度調節系統19〇並且包括反應 态主體組件102及反應器蓋組件2〇〇。在第1F圖中將溫 度調節系統190圖示為具有三個熱交換器18〇a、i8〇b及 〇 l8〇C。然而,溫度調節系統19〇可具有耦接至反應器100 之各部分且與各部分形成流體連通之丨、2、3、4、5個 或更多個熱交換器。熱交換器18〇a、18〇b或18〇〇中之 . 每一者可含有至少一個液體供應器1 82及至少一個液體 : 目流器184。每一液體供應器182可藉由導管186耦接 至反應器1GG上之人口並與其形成流體連通,而每一液 體回流器184可藉由導管186輕接至反應器1〇〇上之出 I並與其形成流體連通。導管186可包括管路(pipe)、配 s ( bing)軟&、其他中空管線或其組合。閥^8可用 15 201038764 184與出口 於液體供應器182與入口之間或液體回流器 之間的每一導管186上。 反應器主體組件102耦接至作為執 勺热調即系統之部件的 至少一個熱交換器並與其形成流體連 % 隹一些實施例 中,反應器主體組件1 〇2可耦接至氐加 按主兩個、三個或更多個 熱交換器並與其形成流體料。第1B圖㈣人口叫 及出口 丨耗接至反應器1〇〇之下部部分ι〇4及該
熱調節系、统’並與反應器100之下部部分ι〇4及該熱調 節系統形成流體連通。 在一實施例中,入口 122a、122b& l22c,及出口 126。 126b及126c耦接至側面120並自其延伸。至少一個熱 交換器耦接至入口 122a、122b及122c,及出口 126&、 126b及126c並與其形成流體連通。入口 122&、^^及 12U可接收來自該等熱交換器之液體,而出口 126&、12仏 及126c將該液體送回至該熱交換器。在—實施例中,每 一入口 122a、122b或122c定位於比每一各別出口 126&、 126b或126c更低之位置,以便流動液體自每一入口 122a、122b或122c向上流過每一連接通路至每一各別出 口 126a 、 126b 或 126c 。 在另一實施例中’入口 132a、132b及132c,及出口 136a、136b及136c耦接至側面13〇並自其延伸。至少一 個熱交換器耦接至入口 132a、132b及132c,及出口 13 6a、136b及13 6c並與其形成流體連通。入口 132a、 132b及132c可接收來自該熱交換器之液體,而出口 16 201038764 13 6a、136b及136c將該液體送回至該熱交換器。 第1C圖至第1D圖圖示反應器主體組件1〇2,其含有 流體通路 124a、124b、124c、134a、134b 及 13 4c。在一 - 實例中’流體通路1 24a在侧面120内且沿著反應器主體 • 組件102之部分長度延伸。流體通路124a耦接至入口 122a及出口 126a並與其形成流體連通。又,流體通路 134a在侧面130内且沿著反應器主體組件1〇2之部分長 度延伸。流體通路134a耦接至入口 132a及出口 136a並 〇 與其形成流體連通。 在另一實例中’流體通路丨24b在反應器主體組件1 02 内之架子或支架臂1 46内且沿著反應器主體組件i 02之 部分長度延伸。流體通路124b搞接至入口 122b及出口 126b並與其形成流體連通。又,流體通路1 34b在反應 器主體組件102内之架子或支架臂146内且沿著反應器 主體組件102之部分長度延伸。流體通路134|3耦接至入 Ο 口 132b及出口 136b並與其形成流體連通。 在另一貫例中,流體通路124c自側面120延伸’穿過 反應器主體組件1 〇2之寬度,並至側面丨3 〇 ^流體通路 • 124c耦接至入口 122c及出口 i32c並與其形成流體連 通。又,流體通路124c自側面13〇延伸,穿過反應器主 ' 體組件102之寬度,並至側面130。流體通路124c耦接 至入口 126c及出口 136(;並與其形成流體連通。 在另一實施例中’反應器主體組件〖〇2含有配置於其 中之晶圓載具軌道400及加熱燈系統6〇〇。加熱燈系統 17 201038764 可用以加熱配置於反應器100上方及内部之晶圓載具軌 道400、晶圓載具及晶圓90。晶圓載具執道4〇〇可在諸 . 如支架臂!46之架子上。大體而言,晶圓載具軌道4〇〇 -· 可配置於支架臂146與夾臂148之間。支架臂ι46可含 有橫穿其之流體通路124b及流體通路n4b。 在一實施例中,諸如一墊圈或一 〇型環之間隔件142 可配置於晶圓載具軌道400之下表面與支架臂146之上 〇 表面之間。又,諸如一墊圈或一 〇型環之間隔件144可 配置於晶圓載具執道400之上表面與夾臂148之下表面 之間。間隔件U2及間隔件144用以形成圍繞晶圓載具 軌道400之間隔或間隙,其有助於晶圓載具軌道4〇〇之 熱管理。在一實例卜支架臂146之上表面具有用於容 納間隔件142之凹槽。又’夾臂148之下表面具有用於 容納間隔件144之凹槽。 第2 A圖至第2C圖描繪根據本文所描述之另一實施例 〇 之反應器蓋組件2〇〇。反應器蓋組件2〇〇含有配置於蓋 支撑件210上之噴淋頭組件7〇〇及隔離器組# 500 (腔 室站160)與噴淋頭組件7〇〇及排氣組件8〇〇 (腔室站 • 1 62 )第2D圖描♦如—實施例中所描述之在反應器蓋組 件200内容納之蓋支撐件21〇。蓋支撐件21〇具有下表 面2〇8及上表面212。凸緣220自蓋支撲件210向外延 申。八有下表面222。在將反應器蓋組件200配置於反 應器主體組件1〇2上時,凸緣22〇有助於支撐反應器蓋 件200凸緣220之下表面222可與反應器主體組件 18 201038764 1〇2之上表面114、116、128及138實體接觸。
在一實施例中,噴淋頭組件7〇〇可配置於蓋支撐件21〇 之噴淋頭通口 230及25〇内,隔離器組件5〇〇可配置於 蓋支撐件210之隔離器通口 24〇内,且排氣組件刚可 配置於蓋支撐件21G之排氣通口 26()内。該氣體或排氣 、、且件之4何形狀通常與各別通口之幾何形狀匹配。每一 喷淋頭組件700及喷淋頭通口 230、250可獨立地具有矩 形或正方形幾何形&。一製程㈣(諸#在製造製程期 間晶圓載具480沿著晶圓載具軌道4〇〇向前行進之路徑) 沿著蓋支撐件210之長度以及晶圓載具執道4〇〇延伸。 噴淋頭通口 230具有長度232及寬度234且喷淋頭通 口 250具有長度252及寬度254。隔離器組件5〇〇及隔 離器通口 240可獨立地具有矩形或正方形幾何形狀。隔 離器通口 240具有長度242及寬度244。排氣組件8〇〇 及排氣通口 260可獨立地具有矩形或正方形幾何形狀。 排氣通口 260具有長度262及寬度264。 该製程路徑沿著噴淋頭通口 23〇之長度232及其中之 第一噴淋頭組件延伸’沿著隔離器通口 240之長度242 及其中之隔離器組件延伸,沿著噴淋頭通口 2 5 〇之長度 252及其中之第二噴淋頭組件延伸,且沿著排氣通口 26〇 之長度262及其中之排氣組件延伸。又,該製程路徑垂 直於或大體上垂直於喷淋頭通口 230之寬度234及其中 之第一噴淋頭組件、垂直於隔離器通口 240之寬度244 及其中之隔離器組件、垂直於喷淋頭通口 250之寬度254 19 201038764 及其中之第二喷淋頭组件,且垂直於排氣通口 260之寬 度264及其中之排氣組件延伸。 、 在*些實例中,第—噴淋頭組件、隔離器組件 ^第—喷淋頭組件700及排氣組件800彼此緊鄰且沿 著…蓋支禮件之長度延伸之製程路徑而連續配置。隔 離益組件5G0以及排氣組件刪可各自具有—寬度該 寬度大體上相同於或大於該製程路徑之寬度。又,隔離 Γ、’件500或排乳組件8〇〇可獨立地具有一寬度,該寬 度大體上相同於或大於第-及第二喷淋頭組件700之寬
在實施例中,噴淋頭組件7〇〇獨立地具有正方形幾 何形狀’且隔離器組件别及排氣組件800具有正方形 幾何形狀。在—實例中,隔離器通口 240之寬度244及 隔離器、、’且件500之寬度可橫跨該腔室内部之寬度延伸。 在另—實例中’排氣通口 260之寬度264及排氣組件800 之寬度可橫跨該腔室内部之寬度延伸。 在一些實施例中,噴淋頭通口 230之寬度234、喷淋 頭通口 。 文·尤度254及每一喷淋頭組件700之寬度可 獨立地在約3吋至約9吋,較佳約5吋至約7吋之範圍 内/例如’約6吋)。又,喷淋頭通口 230之長度232、 喷淋頭通口 250之長度252及每一喷淋頭組件7〇〇之長 又可獨立地在約3吋至約9吋,較佳約5吋至約7吋之 範圍内(例如,約6 〇寸)。 在其他實施例中’隔離器通口 240之寬度244及隔離 20 201038764 器組件500 t寬度可獨立地在約3吁至約i2〇于,較佳約 4寸至約8彳,且更佳約5吋至約6吋之範圍内。又, 隔離器通口 240之長度242及隔離器組件5〇〇之長度可 : 獨立地在約〇.5对至約W,較佳約卜寸至約4时,約 1.5吋至約2吋之範圍内。 在其他實施例中,排氣通口 26G之寬度⑽及排氣組 件8 00之寬度可獨立地在約3吋至約12吋較佳約4吋 ❹至約8 口十’且更佳約5 口寸至約6对之範圍内。又,排氣 通口 260之長度262及排氣組件8〇〇之長度可獨立地在 約0.5对至約5叶,較佳約工忖至約4忖,約15吁至約 2吋之範圍内。 反應器蓋組件200可耦接至作為熱調節系統之部件的 至少一個熱交換器並與其形成流體連通。在一些實施例 中’反應器蓋組件200可耦接至兩個、三個或更多個熱 交換器並與其形成流體連通。 €) 如第2A圖中所描繪’反應器蓋組件200之熱調節系統 3 有入口 214a、216a 及 218a,及出口 214b、216b 及 218b。 每一對入口及出口耦接至延伸貫穿反應器蓋組件2⑻之 . 通路並與其形成流體連通。入口 214α、216α及218a可 接收來自B亥熱父換器之液體,而出口 21朴、216b及218b - 將該液體送回至該熱交換器。在一些實施例中,該溫度 調節系,、充利用熱父換器以獨立地將反應器主體組件^ 及/或反應器蓋組件200維持在約25〇它至約35〇。〇之範圍 内,較佳約275°C至約325°C之範圍内,較佳約29〇。〇至 21 201038764 約310C之範圍内(諸如約3〇〇〇c)的溫度下。 ❹
第2B圖至第2C圖圖示流體通路224、226及似。产 體通路224配置於人口 2Ma與出口 2m之間,人口 2 及出214b可_接至—熱交換器並與其形成流體流 通。流體通路224配置於喷淋頭組件與排氣組件_ 之間。又,流體通路226配置於入口 2l6a與出口鳩 之間’且流體通路228酉己置於入口⑽與出口鳩之 間’其兩者可獨立地耦接至一熱交換器並與其形成流體 流通。流體通路226配置於喷淋頭組件7⑽與隔離器組 件500之間’且流體通路228配置於喷淋頭組件⑽與 隔離器組件500之間。 ' 流體通路224部分地形成於凹槽2丨3與板223之間。 類似地,流體通路226部分地形成於凹槽215與板225 之間,且流體通路228部分地形成於凹槽217與板227 之間。凹槽213、215及217可形成於蓋支撐件21〇之下 表面208内。第2D圖描繪覆蓋凹槽213、215及217分 別的板223、225及227。 在一實施例中,提供用於氣相沉積之反應器蓋組件 2〇〇’其包括彼此緊鄰配置於蓋支撐件21〇上之第一噴淋 頭組件700及隔離器組件5〇〇,及彼此緊鄰配置於蓋支 撐件210上之第二噴淋頭組件7〇0及排氣組件8〇〇,其 中隔離器組件500配置於第一與第二噴淋頭組件7〇〇之 間’且第二喷淋頭組件7〇〇配置於隔離器組件5〇〇與排 氣組件800之間。 22 201038764 在另一實施例中’提供用於氣相沉積之反應器蓋組件 2〇〇’其包括腔室站160’其具有彼此緊鄰配置於蓋支撐 件210上之第一喷淋頭組件700及隔離器組件500 ;及 - 腔室站162,其具有彼此緊鄰配置於蓋支撐件210上之 - 第二喷淋頭組件700及排氣組件800,其中隔離器組件 5〇〇配置於第一與第二噴淋頭組件7〇〇之間,且第二喷 淋頭組件700配置於隔離器組件5〇〇與排氣組件8〇〇之 間。 〇 在另一實施例中,提供用於氣相沉積之反應器蓋組件 200,其包括彼此緊鄰連續且線性地配置於蓋支撐件21 〇 上之第一喷淋頭組件700、隔離器組件5〇〇、第二喷淋頭 組件700及排氣組件800,其中隔離器組件5〇〇配置於 第一與第二噴淋頭組件700之間,且第二喷淋頭組件7〇〇 配置於隔離器組件500與排氣組件8〇〇之間。 在另一實施例中,提供用於氣相沉積之反應器蓋組件 Ο 2〇〇,其包括彼此緊鄰連續且線性地配置於蓋支撐件21〇 上之第一喷淋頭組件700、隔離器組件5〇〇、第二喷淋頭 組件700及排氣組件800;及溫度調節系 〇, 1 . 至少一個液體或流體通路,但通常可具有兩個、三個或 • 更多個液體或流體通路,諸如延伸貫穿蓋支撐件21〇之 - 流體通路224、226及228。溫度調節系統19〇進—步具 有耦接至流體通路224、226及228並與其形成流體連通 之至少一個入口(諸如入口 214a、216a及218〇及至少 —個出口(諸如出口 214b、216b 及 218b)。入口 2ΐ4&、 23 201038764 216a及218a’及出口 214b、216b及2i8b中之每一者可 獨立地耦接至貯液器、熱交換器或諸如熱交換器i8〇a、 • I8013及180c之多個熱交換器並與其形成流體連通。在 一實例中,該貯液器可含有或為水、醇、乙二醇、乙二 . 醇喊、有機溶劑或其混合物之源。 在一實例中,第一喷淋頭組件700可配置於溫度調節 系統190之兩個獨立流體通路之間,該等流體通路延伸 〇 穿過反應器蓋組件200。在另一實例中,第二喷淋頭組 件700可配置於溫度調節系統丨9〇之兩個獨立流體通路 之間’該等流體通路延伸穿過反應器蓋組件200。在另 一實例中,隔離器組件5〇〇可配置於溫度調節系統1 之兩個獨立流體通路之間,該等流體通路延伸穿過反應 器蓋組件20.0。在另一實例中,排氣組件8〇〇可配置於 溫度調節系統190之兩個獨立流體通路之間,該等流體 通路延伸穿過反應器蓋組件200。 〇 在另一實施例中,提供用於氣相沉積之反應器蓋組件 200’其包括腔室站160’其具有彼此緊鄰配置於蓋支擇 件210上之第一喷淋頭組件700及隔離器組件5〇〇 ;腔 . 室站I62,其具有彼此緊鄰配置於蓋支撐件21〇上之第 . 二喷淋頭組件700及排氣組件800,其中隔離器組件5〇〇 配置於第一與第二喷淋頭組件700之間;及溫度調節系 統 190。 在一實施例中,第一喷淋頭組件7〇〇、隔離器組件 5 00、第二噴淋頭組件700及排氣組件彼此緊鄰並a 24 201038764 著蓋支撐件210之县;s:二、由瑞 一 長度而連續配置。在一些實施例中, 隔離器組件5 0 〇可且有fc卜笛一 @ 、虿比第一或第二喷淋頭組件7〇〇更 長之寬度纟其他實施例中’隔離器組件5⑽可具有比 第-或第二喷淋頭組件7〇〇更短之長度。在一些實施例 中’排氣組件800可具有比第—或第二喷淋頭組件彻 更長之寬纟在其他實施例中,排氣組件_可具有比 第一或第二喷淋頭組件700更短之長度。 Ο
在一些實例中’第—噴淋頭組件、隔離器組件 5〇0、第二喷淋頭組件700及排氣組件議獨立地具有矩 形幾何形狀。在其他實例中’第一喷淋頭組件7〇〇及第 二喷淋頭組件700具有正方形幾何形狀。蓋支撐件21〇 可3有材料或由該材料製成,諸如鋼、不銹鋼、3〇〇 系列不銹鋼、鐵、鎳、鉻、银、鋁、其合金或其組合。 實施例提供第—喷淋頭組件700、第二噴淋頭組件7〇〇 或隔離器組件500獨立地具有:一主體,其含有配置於 下卩°卩刀.上之一上部部分..;一中心通道,其在該主體 之内表面之間延伸穿過該主體之上部部分及下部部分, 且平行於延伸穿過該主體之一中心軸;一擴散板,其具 有複數個第一孔且配置於該中心通道内;一上部管板, 其具有複數個第二孔且配置於中心通道内擴散板下方; 一下部管板,其具有複數個第三孔且配置於中心通道内 上部管板下方。噴淋頭組件7〇〇或隔離器組件5〇〇中之 任一者獨立地可進一步具有自該上部管板延伸至該下部 管板之複數個管,其中每一管耦接至來自複數個第二孔 25 201038764 之個別孔及來自複數個第三孔之一個別孔並與其形成 流體連通。 在另一實施例中,排氣組件800含有:一主體,其具 - 有配置於-下部部分上之—上部部分;一中心通道,其 ; 在該主體之内表面之間延伸穿過該主體之上部部分及下 4邛刀且平行於延伸穿過該主體之一中心軸;一排氣 出口,其配置於該主體之上部部分上;一擴散板,其具 有複數個第一孔且配置於該中心通道内;一上部管板, 其具有複數個第二孔且配置於中心通道内擴散板下方,· 一下部管板,其具有複數個第三孔且配置於中心通道内 上部管板下方。排氣組件8〇〇可進一步含有自上部管板 延伸至下部管板之複數個管,其中每一管耦接I來自第 一複數個孔之一個別孔及來自第三複數個孔之一個別孔 並與其形成流體連通。 第4A圖至第4E圖描繪根據本文所描述之一實施例之 〇 曰曰圓載具軌道400。在另一實施例中,提供用於懸浮及 榼過基材感受器(諸如在如反應器丨00之氣相沉積反應 器系統内之懸浮晶圓載具480)之晶圓載具軌道400,其 包括配置於晶圓載具軌道400之下段4 12上的晶圓載具 軌道400之上段410。氣穴430形成於晶圓載具轨道400 • 之上段410與下段412之間。兩個側表面416沿著晶圓 載具軌道400之上段410延伸並彼此平行。導引路徑42〇 在兩個側表面4 1 6之間並沿著上段4 1 〇之上表面4 1 8延 伸。複數個氣孔438配置於導引路徑420内且自上段410 26 201038764 之上表面418延伸,穿過上段41〇,並至氣穴430中。 在另一貫施例中,上部搭接接頭44〇配置於晶圓載具 轨道400之一端處,且下部搭接接頭45〇配置於晶圓載 - 具軌道400之相對端處,其中上部搭接接頭440沿著導 - 引路徑420之一部分及側表面4 1 6延伸。上部搭接接頭 440具有延伸遠於下段412之下表面料2。下部搭接接頭 450具有延伸返於晶圓載具軌道4〇〇之導引路徑420及 側表面416之上表面452。 Ό 大體而言,晶圓載具執道400之上段410及/或下段412 可獨立地含有石英。在—些實例中,晶圓載具轨道4〇〇 之下^又412可為一石英板。晶圓載具軌道4〇〇之上段 及下段412可被融合在一起。在一特定實例中,上段41〇 及下段412皆含有石英且被融合在一起於其間形成氣 穴。雖然在晶圓載具軌道4〇〇之上段41〇及/或下段412 中所含有之石英通常為透明,但是在一些實施例中,晶 〇 圓載具軌道4〇〇之部分可含有不透明石英。 在另一實施例中,氣體通口 434自晶圓載具轨道400 之側表面402延伸並至氣穴43〇中。在一實例中,氣體 . 通口 434延伸穿過上段4 1 0。複數個氣孔43 8可共計約 • 1 〇個孔至約50個孔’較佳約20個孔至約40個孔。氣 孔438中之每一者可具有在約〇 〇〇5叶至約〇 忖,較 佳約0.01吋至約〇 〇3吋之範圍内的直徑。 在其他實施例中’晶圓載具軌道系統可含有端對端串 聯配置之兩個或兩個以上晶圓載具軌道4〇〇,如第圖 27 201038764 至第4E圖中所描繪。在一實施例中,提供該晶圓載具軌 道系統,其包括:第一晶圓載具軌道4〇〇之上部搭接接 頭440,其配置於第二晶圓载具軌道4〇〇之下部搭接接 - 頭45〇之上;一排氣通口,其形成於第一晶圓载具軌道 400之上部搭接接頭440與第二晶圓載具轨道4〇〇之下 部搭接接頭450之間;及一第一導引路徑,其位於第— 曰曰圓載具軌道400之上表面上並與第二晶圓載具軌道 〇 400之上表面上的一第二導引路徑對準。在一些實例中, 第二晶圓載具轨道400之上部搭接接頭44〇可配置於第 三晶圓載具執道400 (未圖示)之下部搭接接頭45〇之 上。 在另一實施例中,提供用於在諸如反應器100之氣相 沉積反應器系統内懸浮及橫過懸浮晶圓載具4 8 0之晶圓 载具軌道400,其包括具有以下元件之晶圓載具軌道 400 :形成於其中之氣穴430 ;導引路徑420,其沿著晶 〇 圓載具軌道400之上表面延伸;複數個氣孔438,其在 導引路徑420内且自晶圓載具軌道400之上表面延伸並 至氣八430中’及配置於晶圓載具軌道4〇〇之一端處的 上部搭接接頭440及配置於晶圓載具軌道400之相對端 * 處的下部搭接接頭450,其中上部搭接接頭440延伸導 - 引路徑42〇之一部分且下部搭接接頭450具有延伸遠於 晶圓載具軌道400之導引路徑420之上表面。 至少一個側表面可配置於晶圓載具軌道400上且沿著 導引路徑420並在其上方延伸。在一些實例中,兩個側 28 201038764 表面4 1 6配置於晶圓載具軌道4〇〇上且沿著導引路徑42〇 並在其上方延伸。導引路徑42〇可在兩個側表面4丨6之 i 間延伸。在一實施例中,晶圓載具軌道400之上段41〇 - 可配置於晶圓載具軌道400之下段4 12之上。晶圓載具 ·· 軌道400之上段410可具有沿著該上表面延伸之導引路 — 徑420。氣穴MO可形成於晶圓載具軌道4〇〇之上段41〇 與下段412之間。在一些實例中,晶圓載具軌道4〇〇之 〇 上段410及下丨又412可被融合在一起。在一些實施例中, 晶圓載具執道400含有石英。晶圓載具軌道4〇〇之上段 410及下段4丨2可獨立地含有石英。在一實例中,晶圓 載具軌道400之下段412為—石英板。 在其他實施例中,氣體通口 434自晶圓載具軌道4〇〇 之側表面延伸並至氣穴430中。氣體通口 434可用以使 懸浮氣體穿過晶圓載具軌道400之側表面流進氣穴 430,並自晶圓載具轨道4〇〇之上表面上之複數個氣孔 〇 438流出。複數個氣孔43 8可共計約1 〇個孔至約50個 孔,較佳約20個孔至約4〇個孔。每一氣孔438可具有 在約0·005吋至約〇·〇5吋,較佳約〇.〇1吋至約〇.〇3吋 之範圍内的直徑。 在另一實施例中,第12Α圖至第12Ε圖描繪懸浮晶圓 載具480,其可用以經由多種處理腔室載運一基材,該 等處理腔室包括如本文所描述之Cvd反應器,以及用於 沉積或姓刻之其他處理腔室。懸浮晶圓載具480具有短 側面471、長側面473、上表面472及下表面474 »雖然 29 201038764 用矩形幾何形狀來圖示懸浮晶圓载具48〇,但是其亦可 具有正方形幾何形狀、圓形幾何形狀或其他幾何形狀。 懸浮晶圓載具480可含有石墨或其他材料或由石墨或其 ·. 他材料形成。懸浮晶圓載具480通常穿過CVD反應器, ' 其中短側面471面向前,而長側面473面向CVD反應器 之側面。 第12A圖至第圖描繪根據本文所描述之一實施例 〇 之懸浮晶圓載具480。第12A圖圖示懸浮晶圓載具48〇 之俯視圖,該晶圓載具在上表面472上含有3個壓痕 475。晶圓或基材可定位於壓痕475内,同時在一製程期 間經由CVD反應器將其轉移。雖然上表面472經圖示具 有3個壓痕475,但是其可具有或多或少壓痕,包括無 壓痕。舉例而言,懸浮晶圓載具480之上表面472可含 有用於含有晶圓或基材之〇、1、2、3、4、5、6、7、8、 9、10、12個或更多個壓痕。在一些實例中,一個晶圓/ 基材或多個晶圓/基材可直接配置於不具有壓痕之上表 面472上。 第12B圖圖示如本文之一實施例中所描述乏懸浮晶圓 • 載具480之仰視圖’該懸浮晶圓載具在下表面474上含 . 有壓痕478。壓痕478可用以助於在懸浮晶圓載具48〇 下方引入氣墊後立即使懸浮晶圓載具480懸浮。氣流可 經導引於壓痕478處,該壓痕累積氣體以形成氣墊。懸 浮晶圓載具480之下表面474可不具有壓痕,或可具有 一個壓痕478 (第12B圖)、兩個壓痕478 (第12C圖至 30 201038764 第12E圖)、三個壓痕478 (未圖示)或更多個壓痕。壓 痕478中之母一者可具有直或漸縮(tapered)側面。在一 實例中’每一壓痕478具有漸縮侧面,以使得側面476 - /、有更夕漸變角度之側面477更陡(steep)或更險 . ( 壓痕478内之側面477可為漸縮以補償遍及懸 子晶圓載具480上之熱梯度。又,側面477可為漸縮或 成角度的以有助於形成氣囊並在沿著晶圓載具軌道400 〇 舉升並移動/橫過懸浮晶圓載具48〇時維持該氣囊低於懸 浮晶圓載具480。在另一實例中,壓痕478具有直或大 體上直的側面及漸縮側面,以使得側面476為直的或大 體上直的且側面477具有一錐度(taper)/角度,或側面477 為直的或大體上直的且側面476具有一錐度/角度。或 者,壓痕478可具有所有直側面,以使得側面476及側 面477為直的或大體上直的。 在另一實施例中,第1 2C圖至第1 2E圖圖示懸浮晶圓 〇 載具480之仰視圖,該晶圓載具在下表面474上含有兩 値壓痕478。兩個壓痕478有助於在懸浮晶圓載具48〇 下方引入氣墊後立即使懸浮晶圓載具48〇懸浮。氣流可 . 經導引於壓痕478處’該壓痕累積氣體以形成氣墊。壓 痕478可具有直或漸縮側面。在一實例中,如第丨〇E圖 " 中所圖不,壓痕478具有所有直側面以使得側面476及 側面477為直的,例如,垂直於下表面474之平面。在 另一實例中,如第10F圖中所圖示,壓痕478具有所有 漸縮側面以使得側面476比具有更多漸變角度之側面 31 201038764 477更陡或更險。壓痕478内之側面477可為漸縮以補 償遍及懸浮晶圓載具480上之熱梯度。或者,壓痕478 可具有直侧面與漸縮側面之—組合,以使得側面476為 直的且側面477具有一錐度,或側面477為直的且側面 476具有一錐度。
Ο 懸浮晶圓載具480含有一熱通量,該熱通量自下表面 474延伸至上表面472,且延伸至配置於其上之任何基 材。該熱通量可由處理系統之内壓力與長度來控制。懸 浮晶圓載具480之輪廓可為漸縮以補償來自其他源之熱 扣失。在一製程期間,熱穿過懸浮晶圓載具48〇之邊緣 (諸如短側面47丨及長側面473 )而損失。然而,該熱 才貝失可藉由減小該懸浮中之通道間隙使更多熱通量進入 懸浮晶圓載具4 8 0之邊緣來得以補償。 在另一實施例中,晶圓載具軌道4〇〇含有配置於導引 路牷420上之懸浮晶圓載具48〇。在—些實例中,懸浮 晶圓載* 480 *有配置於下表面内之至少一個壓痕囊。 在其他實例中,懸浮晶圓載具·具有配置於下表面内 之至少兩個壓痕囊。 第5Α圖至第51)圖描纷根據本文所描述之實施例之用 於諸:反應器⑽之氣相沉積腔室之隔離器組件5〇〇。 在—實施例中’隔離器組件 仵500包括.主體502,其具 有上部部分506及下部部分.由、 一 中心通道516,其延 呷穿過主體502之上部部合Α I刀506及下部部分5〇4。上部 °分506含有上表面5〇7。中心曾 t〜通道516在主體5〇2之 32 201038764 内表面509之間延伸,且平行於延伸穿過主體5〇2之中 心軸501。擴散板530含有複數個氣孔532且配置於中 心通道516内。在一實例中,擴散板530配置於凸緣或 ' 凸耳510上。在另一實例中,隔離器組件500不含有配 - 置於其中之擴散板5 3 0。 隔離器組件500進一步含有上部管板54〇,該上部管 板具有複數個氣孔542且配置於擴散板53〇下方之中心 〇 通道516内。隔離器組件500亦含有下部管板55〇,該 下部管板具有複數個氣孔552且配置於上部管板54〇下 方之中心通道516内。複數個充氣管58〇自上部管板54〇 延伸至下部管板550,其中每-管轉接至來自複數個氣 孔542之一個別孔及來自複數個氣孔552之一個別孔並 與其形成流體連通。在本文所述之許多實施例中充氣 管580中之每一者彼此平行或大體上彼此平行,以及平 行(或大體上平行)於中心軸5〇1延伸。在一替代實施 Ο 例中(未圖示)充氣管580中之每一者可以相對於中心 軸501之一預定角度延伸,諸如在約丨。至約15。或更大 之範圍内。 : 隔離器組件5〇〇可用以藉由提供穿過進氣通口 522並 • 進入孔穴538、548及558之流動路徑來分散氣體,諸如 •淨化氣體、前驅物氣體及/或載送氣體。孔穴538形成於 中^通道516内之上部板52〇與擴散板之間。孔穴 548形成於中心通道516内之擴散板53〇與上部管板 之間。孔穴558形成於中心通道516内之上部管板54〇 33 201038764 與下部管板550之間。 在另一實施例中,隔離器組件5〇〇包括:主體5〇2, 其含有上部部分506及下部部分504,其中上部部分5〇6 ; 含有延伸至下部部分504之上的一凸緣;中心通道5丨6, 其在主體5 02之内表面5〇9之間延伸穿過主體5〇2之上 部部分506及下部部分5〇4,且平行於延伸穿過主體5〇2 之中心軸501 ;擴散板53〇,其含有複數個氣孔532且配 0 置於中心通道516内;上部管板540,其含有複數個氣 孔542且配置於中心通道516内擴散板53〇下方;下部 官板550,其含有複數個氣孔552且配置於中心通道516 内上部管板540下方;及複數個充氣管58〇,其自上部 管板540延伸至下部管板55〇,其中每一管耦接至來自 複數個氣孔542之一個別孔及來自複數個氣孔552之一 個別孔並與其形成流體連通。 在另一實施例中,隔離器組件500包括:主體5〇2, Ο 其含有上部部分506及下部部分504 ’其中上部部分5〇6 自主體502之中心轴501鄰近地延伸遠於下部部分5〇4 且下部部分504平行於中心軸501延伸遠於上部部分 506 ;中心通道516,其在主體502之内表面5〇9之間延 伸穿過主體502之上部部分506及下部部分5〇4,且平 : 行於中心軸501;擴散板530,其含有複數個氣孔532且 配置於中心通道516内;上部管板540,其含有複數個 氣孔542且配置於中心通道516内擴散板530下方;下 部管板550 ’其含有複數個氣孔552且配置於中心通道 34 201038764 516内上部管板54〇下方;及複數個充氣管58〇,其自上 部管板540延伸至下部管fe 550,其中每一管搞接至來 自複數個氣孔542之一個別孔及來自複數個氣孔552之 一個別孔並與其形成流體連通。 在另一實施例中,隔離器組件5〇〇包括:主體5〇2, 其含有上部部分506及下部部分5〇4 ;中心通道516,其 在主體502之内表面5〇9之間延伸穿過主體5〇2之上部 部分506及下部部分5〇4,且平行於延伸穿過主體5〇2 之t心軸501 ’’擴散板53〇,其含有複數個氣孔532且配 置於中心通道516内;上部管板540,其含有複數個氣 孔542且配置於擴散板5 3 〇下方之中心通道5丨6内;及 下部管板5 5 0 ’其含有複數個氣孔5 5 2且配置於上部管 板540下方之中心通道516内。 在另一實施例中’隔離器組件500包括:主體5〇2, 其含有上部部分506及下部部分504;中心通道516,其 在主體502之内表面509之間延伸穿過主體502之上部 部分506及下部部分504 ’且平行於延伸穿過主體5〇2 之中心軸501 ;上部管板540,其含有複數個氣孔532且 配置於中心通道516内擴散板530下方;下部管板550, 其含有複數個氣孔542且配置於中心通道516内上部管 板54〇下方;及複數個充氣管580,其自上部管板540 延伸至下部管板550,其中每一管耦接至來自複數個氣 孔532之一個別孔及來自複數個氣孔542之一個別孔並 與其形成流體連通。 35 201038764 在一些實施例中,炫 &離器組件500為一模組化噴淋頭 組件。主體502之^ 七#分506及下部部分504可獨立 地含有一材料,語如4 如鋼、不銹鋼、300系列不銹鋼、鐵、 錄、絡、銷、銘、复入人 八》金或其組合。在一實例中,主體 502之上部部分5〇 及下部部分504各自獨立地含有不 銹鋼或其合金。 實&例中,隔離器組件500含有配置於主體5〇2 ❹ 之上部部分5〇6上之氣體入口 560。上部板520可配置 於主體502之上部部八 口Ρ刀506之上表面上且氣體入口 56〇 可配置於該板上。兮把 ^板可3有一材料,諸如鋼、不錄鋼、 :〇〇系列不錄鋼、鐵、錄、鉻、顧、銘、其合金或其組 合。在-些實财’該板具有延伸穿過其中之進氣通口 522。氣體入口 560具有延伸穿過進氣通口 522之入口管 564。入口喷嘴562可耗接至入口管…之一端且配置於 該板上方。在另—實例中,該喷淋頭主體之上部部分506 之上表面具有圍繞中心通道516之凹槽5〇8。— 〇型環 了配置於凹槽5 〇 8内 撼料把《 τ曰門。擴政板53()可配置於在中心通道 516内自主體5〇2之側表面突出的凸耳或凸緣上。 j —實施例t,複數個充氣管580可具有計數在約5〇〇 個管至約1,500個管’較佳約7〇〇個管至約wo。個管, 且更佳約800個管至約woo個管之範圍内(例如,約 9〇〇個管)的管。在一些實例中,每一管可具有在約ο」 ⑽至約2cm’較佳約〇_8cm至約l 2cm之範圍内(例 如,約1 cm)的長度。在其他實例中,每一管可具有在 36 201038764 約0.005寸至約0 05时,較佳約〇 〇1时至約〇 对之 範圍:的直徑。在一些實例中,該等管為皮下注射針。 该等管可含有一材料或由該材料製成’諸如鋼、不銹鋼、 3〇〇系列不銹鋼、鐵、鎳、鉻、顧、鋁、其合金或其組 合。 在實施例中,位於擴散板53〇上之複數個氣孔…
的每-孔具有比位於上部管54〇上之複數個氣孔W Ο
的母孔更大之直;^。另外,位於擴散板5 3 〇上之複數 個氣孔532的每一孔具有比位於下部擴散板上之複數個 氣孔552的每一孔更大之直徑…位於上部管板540 上之複數個氣孔542的每一孔具有與位於下部管板55〇 上之複數個氣孔552的每一孔相同之直徑或大體上相同 之直徑。 在-實施例中,擴散板530可含有一材料或由該材料 製成,諸如鋼、不銹鋼、300系列不銹鋼、鐵、鎳、鉻、 翻、銘、其合金或其組合。擴散板53G可含有計數在約 20個孔至約200個孔,較佳約25個孔至約55個孔,且 更佳約4〇個孔至、約60個孔之範圍内的孔。擴散板530 之每一孔可具有在約G.GG5忖至約十較佳約〇.〇1 吋至約0.03吋之範圍内的直徑。在另一實施例中,上部 管板540及/或下部管板550可獨立地含有一材料或由該 材料獨立地製成’諸如鋼、不銹鋼、3〇〇系列不錢鋼、 鐵、錄、鉻、钥、銘、其合金或其組合。上部管板54〇 及/或下部管板550可獨立地具有約5〇〇個孔至約 37 201038764 Ο Ο 個孔,較佳約700個孔至約咖個孔,且更佳約_ 個孔至约!,000個孔。上部管板54〇及/或下部管板55〇 之每-孔可獨立地具有在約0 005吋至約0 05吋較佳 约(UH忖至約0.03时之範圍内的直徑。在另—實施例 中,隔離器組件500可具有在約1〇個孔/平方忖(每平 方对之孔數)至約60個孔/平方时,較佳約15個孔/平方 对至約45個孔/平方忖,且更佳約20個孔/平Μ至約 36個孔/平方忖之範圍内的氣體孔密度及/或管數。 在一實例中,隔離器組件5〇〇之主冑5〇2的上部部分 506之上表面為金屬板。在其他實例中,隔離器組件卿 可具有矩形幾何形狀或正方形幾何形狀。在另—實施例 中,隔離器組件500之主體5〇2進一步含有一溫度調節 系統。該溫度調節系統(諸如溫度調節系統)可含 有在主體502内延伸之洁贈;g,々C1 、呷心机體通路518,且可具有耦接至 流體通路518並與其形成流體連通之入口 51飩及出口 514b。人口 514a及出口 5l4b可獨立地麵接至一貯液哭 或至少-個熱交換器(諸如如第1F圖中所描繪之溫度調 節系統i90内之熱交換器18〇a、18〇b或18〇〇並與其形 成流體連通。 第6圖描繪加熱燈組件6〇〇,其可用以加熱如本文之 實施例中所描述之氣相沉積反應器系統内之晶圓或基 材’以及晶圓載具或基材支撐件。在―實施例中提供 加熱燈組件600,其包括:燈罩61〇,其配置於支撐基座 602之上表面606上且含有第一燈座62〇a及第二燈座 38 201038764 620b ;複數個燈624,其自第一燈座620a延伸至第二燈 座620b,其中每一燈624具有一撕裂燈絲或一非撕裂燈 絲,且配置於支撐基座602之上表面606上的反射器650 / 配置於第一燈座620a與第二燈座620b之間。 ; 在另一實施例中,加熱燈組件600包括:燈罩610, ' 其配置於支撐基座602之上表面606上且含有第一燈座 620a及第二燈座620b ;第一複數個燈624,其自第一燈 座620a延伸至第二燈座620b,其中該第一複數個燈中 〇 之每一燈具有一撕裂燈絲;第二複數個燈624,其自第 一燈座620a延伸至第二燈座620b,其中該第二複數個 燈中之每一燈具有一非撕裂燈絲;及反射器650,其在 第一燈座620a與第二燈座620b之間配置於支撐基座602 之上表面606上。 在另一實施例中,加熱燈組件600包括:燈罩610, 其配置於支撐基座602之上表面606上且含有第一燈座 Q 620a及第二燈座620b ;第一複數個燈624,其自第一燈 座620a延伸至第二燈座620b,其中該第一複數個燈中 之每一燈具有一撕裂燈絲;第二複數個燈624,其自第 一燈座620a延伸至第二燈座620b,其中該第二複數個 ' 燈中之每一燈具有一非撕裂燈絲,且第一複數個燈624 ' 相繼或交替地配置於第二複數個燈624之間,同時在第 一燈座與第二燈座之間延伸。又,反射器650可在第一 燈座620a與第二燈座620b之間配置於支撐基座602之 上表面606上。 39 201038764 在另一實施例中,加熱燈組件6 0 0包括:燈罩6 1 0, 其配置於支撐基座602之上表面606上且含有第一燈座 62〇a及第二燈座620b;複數個燈624,其自第一燈座620a 延伸至第二燈座620b,其中複數個燈624含有相繼或交 ; 替地配置於彼此之間的一第一組燈及一第二組燈,該第 一組燈中之每一燈含有一撕裂燈絲,且該第二組燈中之 每一燈含有一非撕裂燈絲;及反射器650,其在第一燈 座620a與第二燈座620b之間配置於支撐基座602之上 表面606上。 在另一實施例中,加熱燈組件600包括:燈罩610, 其配置於支撐基座602之上表面606上且含有第一燈座 620a及第二燈座620b ;複數個柱622,其配置於第一燈 座620a及第二燈座620b上;複數個燈624,其自第一 燈座620a延伸至第二燈座620b,其中每一燈具有一撕 裂燈絲或一非撕裂燈絲;及反射器650,其在第一燈座 Q 620a與第二燈座620b之間配置於支撐基座602之上表 面606上。 在另一實施例中,加熱燈組件600包括:燈罩610, 其配置於支撐基座602之上表面606上且含有第一燈座 ' 620a及第二燈座620b ;複數個柱622,其配置於第一燈 • 座620a及第二燈座620b上;複數個燈624,其自第一 燈座620a延伸至第二燈座620b,其中每一燈具有一撕 裂燈絲或一非撕裂燈絲,且每一燈具有配置於第一燈座 620a上之兩個柱622之間的第一端及配置於第二燈座 40 201038764 620b上之兩個柱622之間的第二端;及反射器650,其 在第一燈座620a與第二燈座620b之間配置於支撐基座 602之上表面606上。 在另一實施例中,加熱燈組件600包括:燈罩610, 其配置於支撐基座602之上表面606上且含有第一燈座 620a及第二燈座620b ;複數個柱622,其配置於第一燈 座620a及第二燈座620b上;複數個燈624,其自第一 燈座620a延伸至第二燈座620b,其中每一燈具有配置 於第一燈座620a上之兩個柱622之間的第一端及配置於 第二燈座620b上之兩個柱622之間的第二端;及反射器 650,其在第一燈座620a與第二燈座620b之間配置於支 撐基座602之上表面606上。 在另一實施例中,加熱燈組件600包括:燈罩610, 其配置於支撐基座602之上表面606上且含有第一燈座 620a及第二燈座620b ;複數個柱622,其配置於第一燈 座620a及第二燈座620b上;複數個燈624,其自第一 燈座620a延伸至第二燈座620b ;及反射器650,其在第 一燈座620a與第二燈座620b之間配置於支撐基座602 之上表面606上。 在另一實施例中,提供用於氣相沉積反應器系統之加 熱燈組件600,其包括:燈罩610,其配置於支撐基座 602之上表面606上且含有第一燈座620a及第二燈座 620b ;複數個燈624,其自第一燈座620a延伸至第二燈 座620b ;及反射器650,其在第一燈座620a與第二燈座 41 201038764 620b之間配置於支撐基座602之上表面606上。 在一實施例中’反射器650之上表面含有金或金合 金。在一些實例中’至少一個鏡沿著支撐基座602之上 表面606延伸,且以約90。之角度面向反射器650。在其 他實例中,加熱燈組件600含有沿著支撐基座602之上 表面606延伸、彼此面向及以約9〇。之角度面向反射器 650的兩個鏡。
位於加熱燈組件6〇〇内之複數個燈624可共計約丨〇個 燈至約100個燈,較佳約2〇個燈至約5〇個燈,且更佳 、’、勺30個燈至約4〇個燈。在一實例中,加熱燈組件6〇〇 3有約34個燈。實施例提供每一燈可與電源、獨立開關 及控制器電氣接觸。該控制器可用以獨立地控制每一燈 之功率。 在其他貫鉍例中,位於加熱燈組件6〇〇内之支撑基座 及每燈座62〇a或620b可獨立地含有一材料或由 違材料製成,諸如鋼、不錄鋼、·系列不銹鋼、鐵、 鎳路鉬、鋁、其合金或其組合。在一些實例中,第 一 〇a或第一燈座620b可獨立地含有不銹鋼或其 合金或由不錄鋼或其合金製成。第-燈座62Ga或第二燈 62〇b獨立地可具有在約2,〇G〇 W/m2-K至約3 000 UK ’ 較佳約 2,3〇〇 w/m2_K 至約 2,7〇〇 w/m2_K 之範 S内2的冷❹數°在—實例中’該冷卻係數為約2,500 K在其他實施例中,第-燈座620a及第二燈座 自八有在約〇·001吋至約〇_1吋之範圍内的厚度。 42 201038764 根據本文所描述之多個實施例,第丨〇 A圖描繪非撕裂 燈絲燈670且第10B圖描繪撕裂燈絲燈680。非撕裂燈 絲燈670含有燈泡672及非撕裂燈絲674,而撕裂燈絲 • 燈680含有燈泡682及撕裂燈絲684。如貫穿本文之實 .· 施例中所描述’複數個燈624通常含有非撕裂燈絲燈 670、撕裂燈絲燈68〇或非撕裂燈絲燈67〇與撕裂燈絲燈 6 8 0之混合物。 ◎ fUA圖至f 11F圖描繪不同複數個燈,其可為燈咖 且用以調整氣相沉積反應器(諸如反應器1 〇〇 )内之晶 圓載具轨道(諸如晶圓載具軌道400)、晶圓載具或基材 支撐件(諸如懸浮晶圓載具480 )及/或晶圓或基材(諸 如晶圓90)上的熱輪廓(heat profile),如本文之實施例 中所描述。在一實施例中,第丨丨A圖圖示含有全部非撕 裂燈絲燈670之複數個燈且第11B圖圖示含有全部撕裂 燈絲燈680之複數個燈。在另一實施例中,第丨lc圖圖 〇 示相繼或交替地含有非撕裂燈絲燈670及撕裂燈絲燈 680之複數個燈。在其他實施例中,第i丨D圖圖示複數 個燈,其在每兩個非撕裂燈絲燈670之間含有一撕裂燈 絲燈680,而第11E圖圖示複數個燈,其在每兩個撕裂 ' 燈絲燈680之間含有一非撕裂燈絲燈670。第11F圖圖 ' 不複數個燈,其連續或交替地含有非撕裂燈絲燈670及 撕裂燈絲燈6 8 0,然而,每一燈彼此間隔比第丨1 a圖至 第11E圖中之該等燈更遠。 在其他實施例中,提供一種用於藉由加熱燈組件600 43 201038764 在諸如反應器100之氣相沉積反應器系統内加熱諸如懸 浮晶圓载具480之基材或基材感受器之方法,其包括以 下步驟.將基材感受器之下表面暴露至自加熱燈組件 發射之成量’及將該基材感受器加熱至一預定溫度,其 中加熱燈組件600含有:燈罩61〇,其配置於支撐基座 602之上表面606上且含有至少一個燈座62〇a或62〇b ; Ο
複數個燈624,其自該等燈座中至少一者延伸;及反射 器650,其配置於支撐基座6〇2之上表面6〇6上緊鄰 該燈座且在該等燈下方。 該方法之實施例進一步提供加熱燈組件6〇〇含有具有 撕裂燈絲燈680、非撕裂燈絲之燈或含有撕裂或非撕裂 燈絲之燈的混合物。在一實施例中,該等燈中之每一者 具有撕裂燈絲燈680。撕裂燈絲燈68〇可具有在第一端 與第二端之間的一中心。撕裂燈絲燈68〇之第一端及第 二端可維持比撕裂燈絲燈68〇之中心更暖。因此,該基 材感受器之外邊緣可維持比該基材感受器之中心點2 暖。 在另一實施例中,該等燈中之每一者具有非撕裂燈絲 燈670。非撕裂燈絲燈67〇可具有 間的-中心。非撕裂燈絲燈67。之中心可維持 燈絲燈670之第-端及第二端更暖。因此,該基材感受 器之中心點可維持比該基材感受器之外邊緣更暖。 在另-實施例中,複數個燈624具有撕裂燈絲燈及非 撕裂燈絲燈。在一實施例中,撕裂燈絲燈68〇及非撕裂 44 201038764 燈絲燈6 7 0相繼地配置於彼此之間。每一燈可獨立地與 一電源及一控制器電氣接觸。該方法進一步包括以下步 驟:獨立地調整流至每一燈之電量。在一實例中,撕裂 ; 燈絲燈680可具有在第一端與第二端之間的一中心。撕 裂燈絲燈680之第一端及第二端經維持比撕裂燈絲燈 680之中心更暖。因此,該基材感受器之外邊緣可維持 比該基材感受器之一中心點更暖。在另一實例中,非撕 裂燈絲燈6 7 0可具有在第一端與第二端之間的一中心。 〇 非撕裂燈絲燈670之中心可維持比非撕裂燈絲燈670之 第一端及第二端更暖。因此,該基材感受器之中心點可 維持比該基材感受器之外邊緣更暖。 在各種實施例中’該方法提供該基材感受器可為基材 載具或晶圓載具。燈罩610可具有第一燈座620a及第二 燈座620b。第一燈座620a及第二燈座620b可彼此平行 或大體上彼此平行。在一實例中,反射器650可配置於 Ο 第—燈座620a與第二燈座620b之間。第一燈座620a及 第一燈座620b各自具有在約0.001 σ寸至約〇·ι对之範圍 内的厚度。該等燈座之預定厚度有助於維持該等燈座之 恒定溫度。因此’第一燈座620a及第二燈座620b可各 自獨立地維持在約275°C至約375°C,較佳約300°C至約 • 35〇°C之範圍内的溫度。 第7A圖至第7D圖描繪根據本文所描述之實施例之用 於諸如反應器100之氣相沉積腔室之喷淋頭組件7〇〇。 在—實施例中,喷淋頭組件700包括:主體702,其具 45 201038764 Ο
有上部部分706及下部部分7〇4 :及中心通道716,其延 伸穿過主冑702之上部部& 及下部部分7。4。上部 部分706含有上表面707。中心通道716在主體7〇2之 内表面709之間延伸,且平行於延伸穿過主體7〇2之中 。軸701。擴政板730含有複數個氣孔732且配置於中 心通道716内。在一實例中’擴散板73〇配置於凸緣或 凸耳710上。在另—實例中,喷淋頭組件不含有配 置於其中之視情況任選的擴散板73〇。 喷淋頭組件700進一步含有上部管板74〇,該上部管 板具有複數個氣孔742且配置於中心通道716内擴散板 730下方。喷淋頭組件7〇〇亦含有下部管板75〇,該下部 管板具有複數個氣孔752且配置於中心通道716内上部 管板740下方。複數個充氣管自上部管板74〇延伸 至下部管板750,其中每一管耦接至來自複數個氣孔742 之一個別孔及來自複數個氣孔752之—個別孔並與其形 成流體連通。在本文所述之許多實施例中,充氣管 中之每一者彼此平行或大體上彼此平行以及平行於中心 軸7〇1延伸。在一替代實施例中(未圖示)充氣管780 中之每-者可以相對於中心幸由7〇1之—預定角度延伸, 諸如在約1。至約15。或更大之範圍内。 喷淋頭組件700可用以藉由提供穿過進氣通口 722並 進入孔穴738、748及758之流動路徑來分散氣體,諸如 淨化氣體、前驅物氣體及/或载送氣體。孔穴738形成於 中心通道7i6内之上部板720與擴散板73〇之間。孔六 46 201038764 748形成於中心通道716内之擴散板73〇與上部管板74〇 之間孔八758形成於中心通道716内之上部管板740 與下部管板750之間。 - 在另—實施例中’喷淋頭組件700包括:主體702, . 其含有上部部分706及下部部分704,其中上部部分7〇6 含有延伸於下部部分704之上的一凸緣;中心通道716, 其在主體702之内表面709之間延伸穿過主體7〇2之上 ❹ 部部分706及下部部分704,且平行於延伸穿過主體7〇2 之中心軸701 ;擴散板730 ’其含有複數個氣孔732且配 置於中心通道716内;上部管板74〇,其含有複數個氣 孔742且配置於中心通道716内擴散板73〇下方;下部 管板750,其含有複數個氣孔752且配置於中心通道716 内上部管板740下方;及複數値充氣管780,其自上部 管板740延伸至下部管板75〇,其中每一管耦接至來自 複數個氣孔742之一個別孔及來自複數個氣孔752之一 〇 個別孔並與其形成流體連通。 在另一實施例中,噴淋頭組件700包括:主體7〇2, 其含有上部部分706及下部部分704,其中上部部分7〇6 自主體702之中心轴701鄰近地延伸遠於下部部分7〇4 且下部部分704平行於中心轴701延伸遠於上部部分 : 706 ;中心通道716,其在主體702之内表面7〇9之間延 伸穿過主體702之上部部分706及下部部分7〇4,且平 行於中心軸701 ;擴散板730,其含有複數個氣孔732且 配置於中心通道716内.,上部管板740,其含有複數個 47 201038764 氣孔742且配置於中心通道716内擴散板73〇下方;下 部管板750,其含有複數個氣孔752且配置於中心通道 716内上部管板74〇下方;及複數個充氣管78〇,其自上 * \ 部管板740延伸至下部管板750,其中每一管耦接至來 : 自複數個氣孔742之一個別孔及來自複數個氣孔752之 一個別孔並與其形成流體連通。 在另一實施例中,噴淋頭組件700包括:主體7〇2, 〇 其含有上部部分706及下部部分704 ;中心通道716,其 在主體702之内表面709之間延伸穿過主體7〇2之上部 部分706及下部部分704,且平行於延伸穿過主體7〇2 之中心軸701;擴散板730,其含有複數個氣孔732且配 置於中心通道716内;上部管板740,其含有複數個氣 孔742且配置於中心通道716内擴散板73〇下方;及下 部官板750,其含有複數個氣孔752且配置於中心通道 716内上部管板74〇下方。 〇 在另一實施例中,喷淋頭組件700包括:主體702, 其含有上部部分706及下部部分7〇4;中心通道716,其 在主體702之内表面709之間延伸穿過主體7〇2之上部 . 部分及下部部分704,且平行於延伸穿過主體7〇2 •’ 之中心轴7〇1;上部管板740,其含有複數個氣孔爪且 . 配置於中心通道716内擴散板730下方;下部管板75〇, 其含有複數個氣孔742且配置於中心通道716内上部管 板740下方;及複數個充氣管78〇,其自上部管板74〇 延伸至下部管板750,直中I ά ^ ^ r ^ ”甲母一官耦接至來自複數個氣 48 201038764 孔732之一個別孔及來自複數個氣孔μ之一個別孔並 與其形成流體連通。 在-些實施例中’喷淋頭組件為一模組化嗔淋頭 組件。主體7〇2之上部部幻〇6及下部部分7〇4可獨立 地含有-材料,諸如鋼、不錄鋼、綱系列不錄鋼鐵、 錄、鉻、翻、銘、其合金或其組合。在—實例中,主體 ❹ 〇 702之上部部》7G6及下部部> 7()4各自獨立地含有不 銹鋼或其合金。 在一實施例中’喷淋頭組件7〇〇含有配置於主體M2 之上4。1^刀706上的氣體入σ 。上部板72q可配置 於主體7〇2之上部料706之上表面上且氣體入口 760 可配置於該板上。該板可含有—材料,諸如鋼、不錄鋼、 3〇〇系列不銹鋼、鐵、鎳、鉻、鉬、鋁、其合金或其組 合。:一些實例中’該板具有延伸穿過其之進氣通口 722。氣體入口 76〇具有延伸穿過進氣通口 之入口管 %4。入口嗔嘴762可麵接至入口管764之一端且配置二 該板上方。在另一實例中,該喷淋頭主體之上部部分7〇6 之上表面具有圍繞中心通道716之凹槽7〇8。一 〇型環 可配置於凹槽708内。擴散板73〇可配置於在中心通^ 716内自主體702之側表面突出的凸耳或凸緣上。 在一實施例中,複數個充氣管78〇可具有計數在約5〇〇 個管至約1,500個管,較佳約7〇〇個管至約L200個管, 且更佳約800個管至約uoo個管之範圍内(例如,約 900個管)的管。在—些實例中,每—管可具有在約〇 5 49 201038764 cm至約2 Cm,較佳約〇8cm至約a㈣之範圍内(例 如約1 cm )的長度。在其他實例中,每一管可具有在 約0.005时至約us „寸,較佳約G ()卜寸至約。们时之 範圍内的直徑。在一些實例中’該等管為皮下注射針。 5亥等管可含有一材料或由該材料製成,諸如鋼、不銹鋼、 3〇〇系列不銹鋼、鐵、鎳、鉻、鉬、鋁、其合金或其組 合。 Ο ❹ 在貝施例中,位於擴散板730上之複數個氣孔732 的每-孔具有比位於上部管才反74〇上之複數個氣孔% 的每-孔更大之直徑。另外,位於擴散板73()上之複數 個氣孔732的每一孔具有比位於下部擴散板上之複數個 氣孔752的每一孔更大之直徑。又,位於上部管板74〇 上之複數個氣1 742的每一孔具有與位於下部管板 上之複數個氣孔752的每一孔相同之直徑或大體 之直徑。 在一實施例中’擴散板73〇可含有一材料或由該材料 製成,諸如鋼、不鱗鋼、3〇〇系列不錄鋼、鐵、錄、絡、 鉬、鋁、其合金或其組合。擴散板730可含有計數在約 個孔至約200個孔’較佳約25個孔至約乃個孔,且
更佳約40個孔至約60個:?丨夕玆® U 個孔之範圍内的孔。擴散板730 之每一孔可具有在約0.005对至約〇 〇5时,較佳約〇 〇1 吋至約0.03吋之範圍内的直徑。在另一實施例中 管板740及/或下部管板75〇可獨立地含有—材料或由該 村料獨立地製成,諸如鋼、不錄鋼、3⑽㈣不錄鋼、/ 50 201038764 鐵、錄、鉻、鉬、紹、其合金或其組合。上部管板74〇 及/或下部管板750可獨立地具有約5〇〇個孔至約i5〇〇 . 個孔,較佳約7〇〇個孔至約wo個孔,且更佳約_ :個孔至約1,〇〇〇個孔。上部管板74〇及/或下部管板乃〇 • 之每一孔可獨立地具有在約0 005吋至約0 05吋較佳 約0.01吋至約0.03吋之範圍内的直徑。在另一實施例 中,喷淋頭組件70〇可具有在約10個孔/平方吋(每平 ◎ 方吋之孔數)至約60個孔/平方吋,較佳約15個孔/平方 吋至約45個孔/平方吋,且更佳約2〇個孔/平方吋至約 36個孔/平方吋之範圍内的氣體孔密度及/或管數。 在一實例中,噴淋頭組件7〇〇之主體7〇2的上部部分 706之上表面為一金屬板。在其他實例中,噴淋頭組件 7〇〇可具有矩形幾何形狀或正方形幾何形狀。在另一實 施例中’喷淋頭組件7〇〇之主體7〇2進一步含有一溫度 調節系統。該溫度調節系統(諸如溫度調節系統i 9〇 ) © 可含有在主體702内延伸之液體或流體通路718,且可 具有耦接至流體通路718並與其形成流體連通之入口 714a及出口 714b。入口 714a及出口 714b可獨立地耦接 • 至一貯液器或至少一個熱交換器(諸如如第1F圖中所描 繪之溫度調節系統190内之熱交換器18〇a、18〇b或18〇c ) ' 並與其形成流體連通。 第8 A圖至第8D圖描繪根據本文所描述之實施例之用 於諸如反應器100之氣相沉積腔室之排氣組件8〇〇。在 —實施例中,排氣組件800包括:主體802,其具有上 51 201038764 部部分806及下部部公β 士 I 口丨刀804 ,及中心通道816,其延伸穿 過主體802之上部部八8Λ#Ι;议丁〜 Ρ刀8 06及下部部分8〇4。上部部分 , 祕含有上表面8〇7。中心通道816在主體隠之内表面 ' 8〇9之間延伸,且平行於延伸穿過主體802之中心轴 .801擴政板830含有複數個氣孔832且配置於中心通道 . 816内。在一實例中,擴散板830配置於凸緣或凸耳81〇 上。在另-實例中’排氣組件_不含有配置於其中之 視情況任選的擴散板8 3 〇。 〇 排氣組件800進一步含有上部管板84〇,該上部管板 具有複數個氣孔842且配置於擴散板83〇下方之中心通 道816内。排氣組件8〇〇亦含有下部管板85〇,該下部 管板具有複數値氣孔854且配置於中心通道816内上部 管板840下方。複數個排氣管88〇自上部管板84〇延伸 至下部官板850’其中每一管耦接至來自複數個氣孔842 之一個別孔及來自複數個氣孔8 5 4之一個別孔並與其形 〇 成流體連通。在本文所述之許多實施例中,充氣管880 中之每一者彼此平行或大體上彼此平行以及平行於中心 軸801延伸。在一替代實施例中,充氣管88〇中之每一 者可以相對於中心軸801之一預定角度延伸,諸如在約 — 丨°至約15。或更大之範圍内。 - 排氣組件8〇〇經由排氣通口 822及孔穴838、848及 858拉動真空或減小内壓力。孔穴838形成於中心通道 816内之上部板820與擴散板830之間。孔穴848形成 於中心通道816内之擴散板830與上部管板840之間。 52 201038764 孔八858形成於中心通道8 16内之上部管板840與下部 管板850之間。 在另—貫施例中,排氣組件800包括:主體802,其 含有上部部分806及下部部分804,其中上部部分806 3有延伸於下部部分804之上的一凸緣;中心通道8 1 6, 其在主體802之内表面809之間延伸穿過主體8〇2之上 4 4分806及下部部分8〇4,且平行於延伸穿過主體8〇2 之中心轴801 ;擴散板830,其含有複數個氣孔832且配 置於中心通道816内;上部管板84〇,其含有複數個氣 孔842且配置於中心通道816内擴散板830下方;下部 官板850,其含有複數個氣孔854且配置於中心通道816 内上部管板840下方;及複數個排氣管880,其自上部 f板840延伸至下部管板85〇,其中每一管耦接至來自 複數個氣孔842之一個別孔及來自複數個氣孔854之一 個別孔並與其形成流體連通。 在另一實施例中’排氣組件800包括:主體802,其 含有上部部分806及下部部分804,其中上部部分806 自主體802之中心軸801鄰近地延伸遠於下部部分804 且下部部分804平行於中心軸8〇 1延伸遠於上部部分 8〇6 ’中心通道816’其在主體802之内表面809之間延 伸穿過主體802之上部部分8〇6及下部部分804,且平 行於中心軸801,擴散板830 ’其含有複數個氣孔832且 配置於中心通道816内;上部管板84〇,其含有複數個 氣孔842且配置於中心通道816内擴散板830下方;下 53 201038764 b板8 5 0,其含有複數個氣孔8 5 4且配置於中心通道 816内上部官板840下方;及複數個排氣管880,其自上 ^ 部管板840延伸至下部管板850,其中每一管耦接至來 : 自複數個氣孔842之一個別孔及來自複數個氣孔854之 .一個別孔並與其形成流體連通。 在另—實施例中,排氣組件800包括:主體802,其 含有上部部分8〇6及下部部分804;中心通道816,其在 〇 主體802之内表面8〇9之間延伸穿過主體8〇2之上部部 刀806及下部部分804,且平行於延伸穿過主體8〇2之 中。轴801,擴散板830,其含有複數個氣孔832且配置 於中心通遏816内;上部管板84〇,其含有複數個氣孔 842且配置於中心通道816内擴散板83〇下方;及下部 官板85〇’其含有複數個氣孔854且配置於中心通道816 内上部管板840下方。 在另—實施例中,排氣組件80〇包括:主體8〇2,其 〇 含有上部部分806及下部部分8〇4;中心通道816,其在 主體802之内表面8〇9之間延伸穿過主體8〇2之上部部 分806及下部部分804,且平行於延伸穿過主體8〇2之 . 中〜軸801 ;上部管板84〇,其含有複數個氣孔832且配 . 置於中心通道810内擴散板83〇下方;下部管板85〇, 其έ有複數個氣孔842且配置於中心通道8丨6内上部管 板840下方;及複數個排氣管880,其自上部管板84〇 延伸至下部管板850,其中每一管耦接至來自複數個氣 孔832之—個別孔及來自複數個氣孔842之一個別孔並 54 201038764 與其形成流體連通。 在一些實施例中’排氣 件。主體《Μ 0為—模組化喷淋頭組 仔主體802之上部部分 合右一鉍汉卜邛分804可獨立地 枓,諸如鋼、不銹鋼、 鋅、鉻、鉑力 _ 300系列不銹鋼、鐵、 錄鉻翻、紹、其合㈣Μ合。在1_卜Μ 802之上部_§卩> 錄鋼或其合1及下部部分804各自獨立地含有不
在只施例中,排氣組件_含有配置於主體802之 上部部分806上的排氣出口 86〇。上部板82〇可配置於 主體8〇2之上部部㈣6之上表面上且排氣出口 860可 配置於該板上。該板可含有一材料,諸如鋼、不錄鋼、 3〇〇系列不銹鋼、鐵、鎳、鉻、銦、銘、其合金或其組 合。在—些實例中,該板具有延伸穿過其之排氣通口 822。排氣出口 860具有延伸穿過排氣通口 822之排氣管 864。排氣喷嘴862可耦接至排氣管864之一端且配置於 該板上方。在另一實例中,該噴淋頭主體之上部部分8〇6 之上表面具有圍繞中心通道816之凹槽808。一 〇型環 可配置於凹槽808内。擴散板830可配置於在中心通道 816内自主體802之側表面突出的凸耳或凸緣上。 在一實施例中’複數個排氣管880可具有計數在約5 個管至約50個管,較佳約7個管至約30個管,且更佳 約10個管至約2 0個管之範圍内(例如,約14個管)的 管。在一些實例中’每一管可具有在約〇.5 cm至約2 cm, 較佳約0.8 cm至約1.2 cm之範圍内(例如,約1 cm) 55 201038764 的長度。在其他實例中,每 〇.4吁,較佳約0.2忖至約約〇·ι时至約 吋)的直徑。在一實例中 (例如,約0.23 管及孔。 排乳組件8〇〇含有單_列之 在另-實施例中’複數個排氣管88〇可 500個管至約1,500個管,軔 '、什數在約 較佳約700個管5的, 管,且更佳約800個管至& 力,200個 丨口 e主約i 〇〇〇個營 Ο 約_個管)的管。在— \之軌_(例如, 〇.一至約2cm,較佳約08 L、有在約 (例如,…的長度。在二中:之範圍内 只例中,每一管可且 有在約0.005吋至約0·05吋,較佳 八 吋之範圍内的直徑。 、至約0.03 在一些實例中,該等管為皮下注射針。該等管可含有 -材料或由該材料製成’諸如鋼、不銹鋼、3〇〇夺列不 錄鋼、鐵、錄m、其合金或其組合。 在-實施例中,位於擴散板㈣上之複數個氣孔㈣ 的孔具有比位於上部管840上之複數個氣孔 的每-孔更大之直徑。另外,位於擴散板83〇上之複數 個氣孔832的每-孔具有比位於下部擴散板上之複數個 氣孔854的每一孔更大之直徑。又,位於上部管板8扣 上之複數個氣孔842的每一孔具有與位於下部管板85〇 上之複數個氣孔854的每一孔相同之直徑或大體上相同 之直徑。 在一實施例中,擴散板830可含有一材料或由該材料 56 201038764 製成諸如鋼、不鱗鋼、3GQ系列不銹鋼、鐵、錄、絡、 铜、銘:其合金或其組合。在另一實施例中,擴散板830 可含有5十數在約5個孔至約50個孔,較佳約7個孔至約 30個孔’且更佳約1〇個孔至約2〇個孔之範圍内(例如, 約14個孔)的孔。擴散板830之每一孔可具有在約01 寸至、..勺0.4对’較佳約〇 2忖至約〇 3对之範圍内(例如,
約〇·23对)的直徑。在一實例中,擴散板830含有單一 列之孔。在另—實施例中,擴散板830可含有計數在約 20個孔至約200個孔,較佳約25個孔至約55個孔,且 更佳約4〇個孔至約60個孔之範圍内的孔。擴散板830 之每-孔可具有在約〇 〇〇5对至約〇 〇5忖較佳約㈣ 吋至約0.03吋之範圍内的直徑。 在另一實施例中,上部管板840及/或下部管板85〇可 獨立地含有-材料或由該材料獨立地製成,諸如鋼、不 銹鋼、300系列不銹鋼、鐵、鎳、鉻、鉬、鋁、其合金 或其組合。在-實施例中,上部管板州及/或下部管板 850可獨立地具有計數在約5個孔至約50個孔,較佳約 7個孔至約30個孔,且更佳约1〇個孔至約別個孔之範 圍内(例如’約14個孔)的孔。上部管板84〇及/或下 部管板850之每一孔可獨立地具有在肖〇1时至約 对,較佳約0.2对至約〇.3忖之範圍内(例如,約〇23 对)的直徑。在另—實施例中,排氣組件_可具有在 約5個孔/平方付(每平方忖之孔數)至約30個孔/平方 吋,較佳約8個孔/平方吋至約25個孔/平方吋,且更佳 57 201038764 約10個孔/平方吋至約20個孔/平方吋之範圍内的氣體孔 逸、度及/或管數。 在另一實施例中,上部管板840及/或下部管板850可 獨立地具有約500個孔至約1,500個孔,較佳約700個 孔至約1,200個孔’且更佳約8〇0個孔至約ι,〇〇〇個孔。 上部管板840及/或下部管板85〇之每一孔可獨立地具有 在約0.005吋至約〇.05吋,較佳約〇 〇1吋至約〇 〇3吋 之範圍内的直徑。 在一實例中,排氣組件800之主體8〇2的上部部分8〇6 之上表面為一金屬板。在其他實例中,排氣組件8〇〇可 /、有矩形歲何形狀或正方形幾何形狀。在另一實施例
802進一步含有一溫度調節系 統。該溫度調節系統(諸如溫度調節系統19〇 )可含有 在主體802内延伸之液體或流體通路818,且可具有耦 接至流體通路818並與其形成流體連通之入口 81如及出 二M4b。入口 814a及出口 81仆可獨立地耦接至一貯液 器或至y個熱父換器(諸如如第丨F圖中所描繪之溫度 調節系統190内之熱交換器18〇a、18〇“t 18〇〇並與: 形成流體連通。 、/' 在其他實施例中, 800具有:主體go〗 可用於氣相沉積腔室中之排氣組件
且平行於延伸穿過主體802 部分806及下部部分804, 之中心軸801 ;排氣出口 58 201038764 副’其配置於主體802之上部部分8〇6上;擴散板請, 其含有複數個氣孔832且配置於中心通道816内;上部 管板840’其含有複數個氣孔842且配置於令心通道 内擴散板830下方;下部管板85〇,其含有複數個氣孔 852且配置於中心通道816内上部管板84〇下方;及複 數個充氣管880,其自上部管板84〇延伸至下部管板 850 ’其中每一管耦接至來自複數個氣孔842之一個別孔
及來自複數個氣孔852之一個別孔並與其形成流體連 通。 排氣組件800可進一步含有配置於主體8〇2之上部部 刀806之上表面上的上部板82〇。排氣出口 86〇可配置 於上部板820上。上部板820可含有一材料或由該材料 製成’諸如鋼、不銹鋼、300系列不銹鋼、鐵、鎳、鉻、 鉬、鋁、其合金或其組合。上部板82〇通常具有延伸穿 過其之一排氣通口。排氣出口 86〇具有延伸穿過排氣通 口 822之排氣管864。在一實例中,排氣喷嘴862可耦 接至排氣管864之一端且配置於上部板82〇上方。在另 一實例中,該排氣组件主體之上部部分8〇6之上表面具 有圍繞中心通道816之凹槽808。一 〇型環可配置於凹 槽808内。擴散板830可配置於在中心通道816内自主 體802之侧表面突出的凸耳或凸緣上。 第9A圖至第9F圖描繪如由本文之實施例所描述之反 應器系統1000 ’即一CVD系統,其含有多個反應器 1100a、ll〇〇b 及 1100c。反應器 ii〇〇a、ii〇〇b 及 li〇〇e 59 201038764 可為與反應器100相同之反應器或可為反應器100之修 改變型。在一實施例中,如第9A圖至第9C圖中所圖示, 反應器1100a耦接至反應器ll〇〇b,反應器ii〇〇b耦接至 反應器1100c。反應器1100a之一端在介面1〇12處耦接 至端蓋1050’而反應器1100a之另一端在介面1〇14處輕 接至反應器1100b之一端。反應器1 l〇〇b之另一端在介 面1016處耦接至反應器1100c之一端,而反應器i1〇〇c 之另一端在介面1016處耦接至端板1002。 第9D圖至第9F圖描繪在反應器ll〇〇b與反應器u00c 之間的介面1 0 1 8之部分的近視圖。在另一實施例中,反 應器1100b含有具有下部搭接接頭145〇之晶圓載具執道 1400且反應器^(^含有具有上部搭接接頭1440之晶圓 載具軌道1400。 排氣淨化通口 1080可配置於反應器1100b内之晶圓載 具軌道1400與反應器n00c内之晶圓載具軌道ι4〇〇之 間。排氣淨化通口 1080與通路146〇形成流體連通,通 路1460自排氣淨化通口 1〇8〇延伸至晶圓載具軌道14〇〇 下方。與排氣組件800類似之排氣組件1 〇58配置於反應 器11 00b之反應器蓋組件上。排氣組件j 〇58可用以移除 來自排氣淨化通口 1080之氣體。排氣組件1〇58含有排 氣出口 1〇6〇、排氣噴嘴1062及排氣管1〇64。 在另一實施例中,反應器系統1〇〇〇可含有除反應器 ll〇〇a、ll〇〇b及ii00c之外的額外反應器(未圖示)。在 實例中,在反應器系統丨〇〇〇中包括第四反應器。在另 60 201038764 一實例中’在反應器系統1000中包括第五反應器。在不 同組態及實施例中,反應器系統100〇可具有1、2、3、 4、5、6、7、8、9、10個或更多個反應器。在其他實施 例中’反應器1100a、1100b及1100c或未圖示之其他反 應器可在每一反應器中含有1、2、3、4個或更多個噴淋 頭組件(未圖示)。 Ο ❹ 在本文所述之替代實施例中,雖然提供反應器u〇〇a、 ll〇〇b及ii〇〇c之其他組態,但其未圖示於諸圖中。在一 實施例中,反應器ll〇〇a、U00b或u〇〇c中之每一者可 含有由兩個喷淋頭組件分離之三個排氣組件,以便該等 反應器蓋組件中之任一者可相繼含有第一排氣組件、第 一喷淋頭組件、第二排氣組件、第二喷淋頭組件及第三 排氣組件。在另一實施例中,反應器u〇〇a、11〇仙或 1100c中之每—者可含有由兩個喷淋頭組件分離之三個 隔離器組件,讀該反應器蓋組件相繼含有第-隔離器 組件、第-喷淋頭組件、第二隔離器組件、第二喷淋頭 組件及第三隔離器組件。 在另—實施例中,反應器U_、l祕或U00C中之 每-者可含有由兩個噴淋頭組件分離之兩個隔離器組件 及一個排氣組件,以便該等反應器蓋組件中之任一者可 相繼含有第一隔離器組件、第一嗜 貫淋頭組件、第二隔離 器組件、第二喷淋頭組件及第— F虱組件。在另一實例 中,該等反應器蓋組件中之任一者 ^ 考了相繼含有第一隔離 器組件、第一喷淋頭組件、第一 乐排軋組件、第二喷淋頭 61 201038764 組件及第二隔離器組件 組件中之任-者可相繼含有第一:例中,該等反應器蓋 組件、第-隔離器組件、第喷氣組件、第-喷淋頭 組件。 —嘴淋頭組件及第二隔離器 在另一實施例中,及庙 每-者可含有由^ ―或1100c中之 個二有由兩個嘴淋頭組件分離之兩個排氣組件及 -心離,組件’以便該等反應器
相繼含有第一排氣 卞J '、、第一噴淋頭組件、第二排氣組 件、第二噴淋頭組件及第—隔離器組件。在另—實例中, 該等反應器蓋組件中之杯_ 之任一者可相繼含有第一排氣組 Ο 件、第一喷淋頭組件隔離器組件1二噴淋頭組 件及第二排氣組件。在另_實例中,該等反應器蓋組件 中之任-者可相繼含有第一隔離器組件、第一喷淋頭組 第排氣組件、第二噴淋頭組件及第二排氣組件。 如本文之實施例中所描述,反應g i 00、反應器系統 1 〇〇〇及此專反應器之變型可適用於多種cVD、 及/或磊晶沉積製程以在晶圓或基材上形成材料分類。在 貫施例中,弟ΠΙ/ν族材料 其含有第III族(例如, 硼、鋁、鎵或銦)之至少一種元素及第V族(例如,氮、 磷、砷或銻)之至少一種元素可形成或沉積於晶圓上。 沉積材料之實例可含有氮化鎵、磷化銦、磷化銦鎵、砰 化録、珅化銘鎵、其衍生物、其合金、其多層或其組合。 在本文之一些實施例中’該等沉積材料可為磊晶材料。 雖然該沉積材料或蟲晶材料可含有一個層,但是通常含 62 201038764 有多個層。在一些實例中,該磊晶材料含有具有砷化鎵 之一層及具有砷化鋁鎵之另一層。在另一實例中,該磊 . 晶材料含有一砷化鎵緩衝層、一砷化鋁鎵鈍化層及一砷 : 化鎵主動層。該砷化鎵緩衝層可具有在約100 nm至約 ;' 5〇〇mn之範圍内(諸如約3〇〇nm)的厚度,該坤化銘鎵 鈍化層具有在約10nm至約5〇11111之範圍内(諸如約3〇 η"0的厚度,且該砷化鎵主動層具有在約500 nm至約 〇 2,000 _之範圍内(諸如約1,〇〇〇聰)的厚度。在一些 貫例中,該磊晶材料進一步含有第二钟化紹鎵純化層。 在一實施例中,用於反應器100或反應器系統1000中 之製程氣體可含有胂、氬、氦、氮、氫或其混合物。在 一實例中,該製程氣體含有諸如胂之砷前驅物。在其他 實施例中’該第一前驅物可含有鋁前驅物、鎵前驅物、 銦前驅物或其組合’且該第二前驅物可含有氮前驅物、 磷前驅物、砷前驅物、銻前驅物或其組合。 〇 在一實施例中’該CVD反應器可經裝設以將氮供應至 該反應器,以在入口及出口處沿著該反應器之軌道浮置 該基材。氫/胂混合物亦可用以在該出口與入口之間沿著 . 該CVD反應器之軌道浮置該基材。沿著該轨道之平臺可 包括入口氮隔離區、預熱排氣、氫/胂混合物預埶 ’· @、珅化鎵沉積區、钟化鎵I氣、石申化銘鎵沉積區、钟 化鎵N-層沉積區、砷化鎵1層沉積區、含磷砷化氫隔離 區、第一含磷砷化鋁鎵沉積區、含磷砷化鋁鎵排氣、第 二含磷砷化鋁鎵沉積區、氫/胂混合物冷卻隔離區、冷卻 63 201038764 排氣及出口氮隔離區。穿過反應器之基材之溫度在通過 該入口隔離區時可增加,或在穿過該等區時可維持,或 在靠近該胂冷卻隔離區時可降低。 * - 在另一實施例中,該CVD反應器可經裝設以將.氮供應 ; 至該反應器’以在入口及出口處沿著該反應器之軌道浮 置該基材。氫/胂混合物亦可用以在該出口與入口之間沿 著該CVD反應器之軌道浮置該基材。沿著該軌道之平臺 ❹ 可包括入口氮隔離區、預熱排氣、氫/胂混合物預熱隔離 區 '排氣、沉積區、排氣、氫/胂混合物冷卻隔離區、冷 卻排軋及出口氮隔離區。穿過該反應器系統之基材之溫 度在通過該入口隔離區時可增加,在穿過該沉積區時可 維持’且在靠近該胂冷卻隔離區時可降低。 在另一實施例中,該CVD反應器可經裝設以將氮供應 至該反應器,以在入口及出口處沿著該反應器之執道浮 置該基材。氫/胂混合物亦可用以在該出口與入口之間沿 〇 著該CVD反應器之軌道浮置該基材。沿著該執道之平臺 可包括入口氣隔離區、具有流量平衡限制器之預熱排 氣、活性氫/胂混合物隔離區、珅化鎵沉積區、坤化銘鎵 • 沉積區、砷化鎵N-層沉積區、畔化鎵p_層沉積區、含填 坤化銘鎵沉積區、冷卻排氣及出口氮隔離區。穿過該反 ‘ 應器之基材之溫度在通過該入口隔離區時可增加,或在 穿過該等沉積區時可維持’或在靠近該冷卻排氣時可降 低。 在另一實施例中’該CVD反應器可經裝設以將氮供應 64 201038764 至該反應器’以在人σ及出口處沿著該反應器之軌道浮 置該基材。氫/胂混合物亦可用以在該出口與入口之間沿 著《亥CVD反應器之執道浮置該基材。沿著該軌道之平臺 彳包括入口氮隔離區、具有流量平衡限制器之預孰排 氣、石申化鎵沉積㊣、石中化銘鎵沉積區、石申化鎵Ν-層沉積 區砷化鎵ρ-層沉積區、含磷砷化鋁鎵沉積區、具有流 量平衡限制器之冷卻排氣及出口氮隔離區。穿過該反應 ο 器之基材之溫度在通過該入口隔離區時可增加,或在穿 過該等沉積區時可維持,或在靠近該冷卻排氣時可降低。 第17圖圖示第七組態800。該CVD反應器可經裝設 以將氮供應至該反應器,以在入口及出口處沿著該反應 器之軌道浮置該基材。氫/胂混合物亦可用以在該出口與 入口之間沿著該CVD反應器之軌道浮置該基材。沿著該 執道之平臺可包括入口氮隔離區、預熱排氣、沉積區、 冷卻排氣及出口氮隔離區。穿過該反應器之基材之溫度 〇 在通過該入口隔離區時可增加,或在穿過沉積區時可維 持’或在靠近該冷卻排氣時可降低。 在一實施例中,該CVD反應器可經裝設以磊晶成長含 有砷化鎵材料及砷化鋁鎵材料之雙異質結構,以及蟲晶 « • 成長含有砷化鋁材料之一橫向過度成長犧牲層。在一些 '實例中’砷化鎵、砷化鋁鎵及砷化鋁材料可以約1 之速率加以沉積》在一些實施例中,該CVD反應器可具 有每分鐘約6個晶圓至每分鐘約1 0個晶圓之產出率。 在一實施例中,該CVD反應器可經裝設以提供每分鐘 65 201038764 一個10 cmx 1 〇 cm基材之沉積速率。在一實施例中,該 CVD反應器可經裝設以提供一 300 nm砷化鎵緩衝層。 在一實施例中,該CVD反應器可經裝設以提供一3〇 nm . 砷化鋁鎵鈍化層。在一實施例中’該CVD反應器可經裝 • 設以提供一 1,〇〇〇 nm砷化鎵主動層。在一實施例中,該 CVD反應器可經裝設以提供一 30 nm砷化鋁鎵鈍化層。 在一實施例中,該CVD反應器可經裝設以提供小於 1 X 104每平方公分之差排密度、99%之光致發光效率及 0 25〇奈秒之光致發光壽命。 在一實施例中,該CVD反應器可經裝設以提供具有$ nm ί儿積+ -0.5nm之遙晶橫向過度成長層、大於1><1〇6之 蝕刻選擇性、零針孔及大於每小時0.2 mm之砷化銘蚀刻 速率。 在一實施例中’該CVD反應器可經裝設以提供對於 3 00°C以上溫度不大於1〇。(:之中心至邊緣溫度非均勻 〇 性、不超過5之V-ΙΙΙ比率及700T:之最高溫度。 在一實施例中,該CVD反應器可經裝設以提供一沉積 層,該沉積層具有一 300 nm砷化鎵緩衝層、一 5 nm石申 化銘犧牲層、一 10 nm砷化銘鎵窗層、一 7〇〇 nm坤化鎵 4 1 X1017石夕主動層、一 300 nm砷化鋁鎵1 X 1〇19 c p +層及 • 一 300 nm 坤化鎵 1 X 1 〇19 C P +層。 在一實施例中,該CVD反應器可經褒設以提供一沉積 層’該沉積層具有一 300 nm砷化鎵緩衝層、一 $ nm珅 化鋁犧牲層、一 10 nm磷化铟錁窗層' —7〇〇 nm石申化鎵 66 201038764 1x10矽主動層、一 1〇〇 ηπι砷化鎵c p層、—nm 磷化銦鎵P窗層、一 20nm磷化銦鎵ιχ1〇2〇ρ+穿隧接面 層、一 20nm-化銦鎵lxl〇2〇N+穿隧接面層、一別订瓜 砷化鋁鎵窗、一 400 nm磷化銦鎵N主動層、一 1〇〇 nm 磷化銦鎵P主動層、一 3〇 nm砷化鋁鎵p窗及一 3 砷化鎵P +接觸層。
儘s刖文針對本發明之實施例,但可在不脫離本發明 之基本範疇的情況下設計本發明之其他及更多實施例, 且本發明之範疇係由以下申請專利範圍來決定。 【圖式簡單說明】 因此,可詳細地理解本發明之上述特徵結構之方式, 即上文簡要概述之本發明之更特定播述可參照實施例進 行’些貫施例圖示於附加阖式中。然而,應注意,附 加圖式僅圖示本發明之典型實施例,且因此不欲視為其 範疇之限制,因為本發明可允許其他同等有效之實施例。 第1A圖至第1Effl描繪根據本文所描述之實施例之一 CVD反應器; 第1F圖描繪根據本文所描述之另一實施例之輕接至 一溫度調節系統之一 CVD反應器; 第2A圖至第2C圖描緣根據本文所描述之實施例之一 反應器蓋組件; 第2D圖描繪根據本文所描述之實施例之反應器蓋支 67 201038764 撐件; 第3圖描繪根據本文所描述之實施例之一反應器主體 組件; 第4Α圖至第4Ε圖描繪根據本文所描述之實施例之一 晶圓载具軌道; 第5 Α圖至第5D圖描繪根據本文所描述之實施例之一 隔離器組件; Ο ❹ 第6圖播緣根據本文所描述之實施例之一加熱燈組 件; 第7A圖至第7D圖描繪根據本文所描述之實施例之一 喷淋頭組件; 第8A圖至第80圖描繪根據本文所描述之實施例之一 排氣組件; 第9A圖至第卯圖描繪根據本文所描述之實施例之含 有多個CVD反應器之一 CVD系統; 第1 0A圖至第10B圖描繪桐诚士 + & , s根據本文所描述之實施例之 燈; 繪根據本文所描述之其他實施 繪根據本文所描述之另一實施 第11A圖至第11F圖描 例之複數個燈; 第12A圖至第12B圖描 例之一懸浮基材載具;及 第12C圖至第12E圖描繪根據 τ^:4之另一奮 例之其他懸浮基材載具 68 201038764
【主要元件符號說明】 90 晶圓 128 上表面 100 反應器 130 側面 102 反應器主體組 132a 入口 132b 入口 104 下部部分 132c 入口 /出口 110 面板 134a 流體通路 112 面板 134b 流體通路 114 上表面 134c 流體通路 116 上表面 136a 出口 118a 入口 136b 出口 118b 出曰 136c 出口 /出口 120 側面 138 上表面 122a 入口 142 間隔件 122b 入口 144 間隔件 122c 入口 /出口 146 支架臂 123 氣體入口 148 夾臂 124a 流體通路 160 腔室站 124b 流體通路 162 腔室站 124c 流體通路 180a 熱交換器 126a 出口 180b 熱交換器 126b 出口 180c 熱交換器 126c 入口 /出口 182 液體供應器 69 201038764
184 液體回流器 228 流體通路 186 導管 230 喷淋頭通口 188 閥 232 長度 190 溫度調節系統 234 寬度 200 反應器蓋組件 240 隔離器通口 208 下表面 242 長度 210 蓋支撐件 244 寬度 212 上表面 250 喷淋頭通口 213 凹槽 252 長度 214a 入口 254 寬度 214b 出口 260 排氣通口 215 凹槽 262 長度 216a 入口 264 寬度 216b 出口 400 晶圓載具執道 217 凹槽 402 側表面 218a 入口 410 上段 218b 出曰 412 下段 220 凸緣 416 側表面 222 下表面 418 上表面 223 板 420 導引路徑 224 流體通路 430 氣穴 225 板 434 氣體通口 226 流體通路 438 氣孔 227 板 440 上部搭接接頭 70 201038764
442 下表面 518 流體通路 450 下部搭接接頭 520 上部板 452 上表面 522 進氣通口 471 短側面 530 擴散板 472 上表面 532 子匕 473 長側面 538 孑L穴 474 下表面 540 上部管板 475 壓痕 542 氣孔 476 側面 548 孔穴 All 側面 550 下部管板 478 壓痕 552 子L 480 懸浮晶圓載具 558 孔穴 500 隔離器組件 560 氣體入口 501 中心軸 562 入口喷嘴 502 主體 564 入口管 504 下部部分 580 充氣管 5 06 上部部分 600 加熱燈組件 507 上表面 602 支撐'基座 508 凹槽 606 上表面 509 内表面 610 燈罩 510 凸耳 620a 第一燈座 514a 入口 620b 第二燈座 514b 出口 622 柱 516 中心通道 624 燈 71 201038764
650 反射器 738 孔穴 670 非撕裂燈絲燈 740 上部管板 672 燈泡 742 子L 674 非撕裂燈絲 748 孔穴 680 撕裂燈絲燈 750 下部管板 682 燈泡 752 子L 684 撕裂燈絲 758 孔穴 700 喷淋頭組件 760 氣體入口 701 中心轴 762 入口喷嘴 702 主體 764 入口管 704 下部部分 780 充氣管 706 上部部分 800 排氣組件 707 上表面 801 中心轴 708 凹槽 802 主體 709 内表面 804 下部部分 710 凸耳 806 上部部分 714a 入口 807 上表面 714b 出口 808 凹槽 716 中心通道 809 内表面 718 流體通路 810 凸耳 720 上部板 814a 入口 722 進氣通口 814b 出口 730 擴散板 816 中心通道 732 孔 818 流體通路 72 201038764
820 上部板 1100c 反應器 822 排氣通口 1002 端板 830 擴散板 1012 介面 832 子L 1014 介面 838 孑L穴 1016 介面 840 上部管板 1018 介面 842 孔 1050 端蓋 848 孔穴 1058 排氣組件 850 下部管板 1060 排氣出口 852 1062 排氣喷嘴 858 孔穴 1064 排氣管 860 排氣出口 1080 排氣淨化通口 862 排氣喷嘴 1400 晶圓載具軌道 864 排氣管 1440 上部搭接接頭 880 排氣管/充氣管 1450 下部搭接接頭 1000 反應器系統 1460 通路 1100a 反應器 1100b 反應器 73

Claims (1)

  1. 201038764 七、申請專利範圍: 1. 一種用於氣相沉積之反應器蓋組件,其包含: . 一第一喷淋頭組件及一隔離器組件,其彼此緊鄰配置於 一蓋支撐件上,其中該第一噴淋頭組件進一步包含: : 一主體,其包含一上部部分及一下部部分; 一中心通道,其延伸穿過該主體之該上部部分及該 下部部分’位於該主體之内表面之間,且平行於延伸穿 ¢) 過該主體之一中心軸; 一上部官板,其包含第二複數個孔且配置於該中心 通道内; 一下部管板,其包含第三複數個孔且配置於該中心 通道内該上部管板下方;及 複數個笞,其自該上部管板延伸至讓下部管板,其 中每e耦接至來自該第二複數個孔之一個別孔及來自 〇 該第三複數個孔之-個別孔且與該等個別孔形成流體連 通;及 :第二喷淋頭組件及一排氣組件,其彼此緊鄰配置於該 盍支撐件上’其中該隔離器組件配置於該第—噴淋頭组 :件與該第二嗔淋頭組件之間,且該第二噴淋頭組件配置 於該隔離器組件與該排氣組件之間。 =如申請專利範圍第i項之反應器蓋組件,其中該蓋支 牙件包含-材料,該材料係選自由以下構成之群組:鋼、 74 201038764 不銹鋼、3〇〇系列不 金或其組合。 銹鋼、鐵、鎳 鉻、鉬、鋁、其合 3 ·如申請專利範圚笛】 ..^ 靶圍第1項之反應器蓋組件,其中該第一 喷淋頭組件、該隔 $ °°件、§亥第二噴淋頭組件及該排 观組件係彼此緊 鄰且沿耆沿該蓋支撐件之一長度延伸之 ,程路徑而連續配置。 〇 4:如申凊專利範圍第3項之反應器蓋組件,其中該隔離 :牛或該排氣組件具有一寬度,該寬度大體上相同於 或大於該製程路徑之寬度。 ^如申請專利範圍第3項之反應器蓋組件,其中該隔離 器組件或該排氣組件具有—寬度,該寬度大體上相同於 或大於該第-喷淋頭組件或該第二喷淋頭組件之寬度。 6·如申請專利範圍第i項之反應器蓋組件,其中該第— 喷淋頭組件、該隔離器組件、該第二喷淋頭組件及該排 氣組件獨立地具有一矩形幾何形狀。 7.如申請專利範圍第6項之反應器蓋組件,其中該第— 喷淋頭組件及該第二喷淋頭組件具有一正方 7〜屬何形 狀。 75 201038764 人如申凊專利範圍第1項之反應器蓋組件,其進一步包 :.。溫度調節系統,該溫度調節系統包含延伸貫穿該 =擇件之至少—個流體通路,其中該流體通路輕接至 個入口及至少一個出口且與其形成流體連通。 9口如申請專利範圍第8項之反應器蓋組件,其中每一入 、出口獨立地耦接至一熱交換器且與其形成流體連 二.如申請專利範圍帛1項t反應器蓋組件,其進一步包 、又調郎系統,該溫度調節系統包含延伸貫穿該 盖切件之至少兩個獨立的流體通路,其中該等流體通 中之每一者耦接至至少一個入口及至少一個出口且與 其形成流體連通。 Ο U •如申請專利範圍第16項之反應器蓋組件,其中該第 嘴淋頭配置於該溫度調節系統之該兩個獨立的流體通 路之間。 *. I2.如申請專利範圍第11項之反應器蓋組件,其中每一 入口及出Π獨立地㈣至—熱交換器且與其形成流體連 通0 13.如申請專利範圍第10項之反應器蓋組件,其中該隔 76 201038764 流體通 離器組件配置於該溫度調節系統之該兩個獨立的 路之間。 14.如申請專利範圍第13項之反應器蓋組件其中每 入口及出口獨立地耦接至一熱交換器且與其形成流 1 5.如申請專利範圍第1項之反應器蓋組 /、硬—步包 含:一溫度調節系統,該溫度調節系統包含延伸貫穿該 蓋支撐件之至少三個獨立的流體通路,其中 八τ忑4流體通 路中之每一者耦接至至少一個入口及至少一 ^ 调出口且與 其形成流體連通。 〃 1 6.如申請專利範圍第1 5項之反應器蓋組件,复 ’、T遠第 二喷淋頭配置於該溫度調節系統之該兩個獨立
    W々U·體通 路之間。 17.如申請專利範圍第16項之反應器蓋組件,其中每— 入口及出口獨立地耦接至一熱交換器且與其形成流體 通。 ’L 18.如申請專利範圍第Μ項之反應器蓋組件,其中节隔 離器組件配置於該溫度調節系統之該兩個獨立的流體通 路之間。 77 201038764 19.如申請專利範圍第18項之反應器蓋組件,其中每一 • 入口及出口獨立地耦接至一熱交換器且與其形成流體連 通。 2〇.如申請專利範圍第1項之反應器蓋組件,其中該隔離 器組件獨立地包含: 〇 一主體,其包含配置於一下部部分上之一上部部分; —中心通道,其延伸穿過該主體之該上部部分及該 下部部分,位於該主體之内表面之間,且平行於延伸穿 過该主體之一中心軸; —擴散板,其包含第一複數個孔且配置於該中心通 道内; —上部管板,其包含第二複數個孔且配置於該中心 通道内該擴散板下方; D _ 、下°卩^板,其包含第三複數個孔且配置於該中心 通道内該上部管板下方;及 、 複數個官,其自該上部管板延伸至該下部管板,其 中母—管輕接至來自該第二複數個孔之—孔及 諸皆一、 -複數個孔之—個別1,且與該等個別孔形成流體 連通。 1項之反應器蓋組件,其中該排氣 21_如申請專利範圍第 &件包含: 78 201038764 -主體,其包含配置於一下部部分上之—上部部分; -中心通道,其延伸穿過該主體之該上部部分及該 下部部分’位於該主體之内表面之間,且平行於延伸穿 過該主體之一中心軸; 一排氣出口,其配置於該主體之該上部部分上; 一擴散板,其包含第一複數個孔且配置於該中心通 道内; 一上部管板,其包含第二複數個孔且配置於該中心 通道内該擴散板下方; 一下部管板,其包含第三複數個孔且配置於該中心 通道内該上部管板下方;及 複數値管’其自該上部管板延伸至該下部管板,其 中每一管耦接至來自該第二複數個孔之一個別孔及來自 該第二複數個孔之一個別孔,且與該等個別孔形成流體 連通。 22. —種用於氣相沉積之反應器蓋組件,其包含: 一第一噴淋頭組件、一隔離器組件、一第二喷淋頭組件 及一排氣組件’該等組件彼此緊鄰連續且線性地配置於 一蓋支樓件上’其中該隔離器組件配置於該第一噴淋頭 組件與該第二喷淋頭組件之間,且該第二喷淋頭組件配 置於該隔離器組件與該排氣組件之間,且其中該第一喷 淋頭組件進一步包含: 一主體’其包含一上部部分及一下部部分; 79 201038764 —中心通道’其延伸穿過該主體之該上部部分及該 下部部分’位於該主體之内表面之間,且平行於延伸穿 . 過該主體之一中心軸; —上部管板’其包含第二複數個孔且配置於該中心 . 通道内; Λ —下部管板,其包含第三複數個孔且配置於該中心 通道内該上部管板下方;及 〇 —複數個管,其自該上部管板延伸至該下部管板,其 ^耗接至來自該弟二複數個孔之一個別孔及來自 4第二複數個孔之_個別孔,且與該等個別孔形成流體 連通。 23_。如申請專利範圍第22項—之反應器蓋組件,其中該蓋 支樓件包含—材料,該材料係選自由以下構成之群組: 鋼、不錄鋼、300系列不錢鋼、鐵、鎳、鉻、翻、銘、 其合金或其組合。 24.如申請專利範圍第22項 —噴淋頭組件、該隔離器組 排氣組件係彼此緊鄰且沿著 之一製程路徑而連續配置。 之反應器蓋組件,其中該第 件、該第二噴淋頭組件及該 沿該蓋支撐件之一長度延伸 2 5.如申請專利範圍第 離器組件或該排氣組件 24項之反應器蓋組件,其中該隔 具有一寬度,該寬度大體上相同 80 201038764 於或大於該製程路徑之寬度。 . 26.如申請專利範圍第24項之反應器蓋組件,其中該隔 : 離益組件或該排氣組件具有一寬度,該寬度大體上相同 ; 於或大於該第一喷淋頭組件或該第二喷淋頭組件之寬 度。 0 27.如申請專利範圍第22項之反應器蓋組件,其中該第 一喷淋碩組件、該隔離器組件、該第二喷淋頭組件及該 排氣組件獨立地具有一矩形幾何形狀。 28.如申請專利範圍第27項之反應器蓋組件,其中該第 噴淋頭組件及該第二喷淋頭組件具有一正方形幾何形 狀。 G 29.如申請專利範圍第22項之反應器蓋組件,其進—步 包3 : —溫度調節系統,該溫度調節系統包含延伸貫穿 該蓋支撐件之至少一個流體通路’其中該流體通路輕接 ‘ 至至少一個入口及至少一個出口且與其形成流體連通。 30.如申請專利範圍第29項之反應器蓋組件,其中每— 入口及出口獨立地耦接至一熱交換器且與其形成流體連 通。 81 201038764 3 1 ·如申請專利範圍第22項之反應器蓋組件,其進一步 包含:一溫度調節系統,該溫度調節系統包含延伸貫穿 • 3亥蓋支撐件之至少兩個獨立的流體通路,其中該等流體 通路中之每一者耦接至至少一個入口及至少—個出口且 : 與其形成流體連通。 32·如申請專利範圍第3 1項之反應器蓋組件,其中該第 〇 二噴淋頭配置於該溫度調節系統之該兩個獨立的流體通 路之間。 33.如申請專利範圍第32項之反應器蓋組件,其中每一 入口及出口獨立地耦接至一熱交換器且與其形成流體連 通。 34. 如申請專利範圍第3 1項之反應器蓋組件,其中該隔 離器組件配置於該溫度調節系統之該兩個獨立的流體通 路之間。 35. 如申請專利範圍第34項之反應器蓋組件,其中每一 入口及出口獨立地耦接至一熱交換器且與其形成流體連 通。 36.如申請專利範圍第22項之反應器蓋組件,其進一步 包含:一溫度調節系統,該溫度調節系統包含延伸貫穿 82 201038764 該蓋支撐件之至少三個獨立的流體通路,其中該等流體 通路中之每一者耦接至至少一個入口及至少—個出口且 . 與其形成流體連通。 : 37·如申請專利範圍第36項之反應器蓋組件,其中該第 二噴淋頭配置於該溫度調節系統之該兩個獨立的流體雨 路之間。 爪a L Ο 38·如申請專利範圍第37項之反應器蓋組件,其中每 入口及出口獨立地耦接至一熱交換器且與其形成流體連 通。 39. 如申請專利範圍第36項之反應器蓋組件, 雜哭4 千該隔 器、、且件配置於該溫度調節系統之該兩個獨 路之間。 的流體通 40. 如申請專利範圍第39項之反應器蓋組件,其中^ 入口及出口獨立地麵接至一熱交換器且盘其开彡士 诵。 、具开乂成流體連 其中該隔 4 1 ·如申請專利範圍第22項之反應器蓋組件, 離器組件獨立地包含: —主體,其包含配置於一下部部分上之一 上部部分. 一中心通道,其延伸穿過該主體之該上 ’ °卩分及讀 83 201038764 下部部分,位於該主體之内表面之間,且平行於延伸穿 過#亥主體之'中心軸; 一擴散板’其包含第一複數個孔且配置於該中心通 道内; 一上部管板,其包含第二複數個孔且配置於該中心 通道内該擴散板下方; 下。卩管板’其包含第三複數個孔且配置於該中心 通道内該上部管板下方;及 複數個官,其自該上部管板延伸至該下部管板,其 中每g輕接至來自該第二複數個孔之一個別孔及來自 該第二複數個孔之-個別孔’且與該等個別孔形成流體 連通。 如申請專利範圍第22項之反應器蓋組件,其中該排 乳組件包含:
    =主體,其包含配置於一下部部分上之—上部部分; ―中心料’其延伸穿㈣主體之該上部部分及該 °卩分,位於該主體之内表 過該主體之-中心軸;表面之間,且平行於延伸穿 道内 排氣出口,其配置於該主體之該 擴散板,其包含第一複數個孔且 上部部分上; 配置於該中心通 —上部管板,其包含第 通道内該擴散板下方; 二複數個孔且配置於該中心 84 201038764 —下部管板,其包含第三複數個孔且配置於該中心 通道内該上部管板下方;及 複數個管,其自該上部管板延伸至該下部管板,其 中每—管耦接至來自該第二複數個孔之一個別孔及來自 β亥第三複數個孔之一個別孔,且與該等個別孔形成流體 連通。 . 種用於氣相沉積之反應器蓋組件,其包含: —第—噴淋頭組件、一隔離器組件、一第二喷淋頭組件 及排氣組件,該等組件彼此緊鄰連續且線性地配置於 蓋支撐件上,且其中該第一喷淋頭組件進一步包含: 一主體,其包含一上部部分及—下部部分; —中心通道,其延伸穿過該主體之該上部部分及該 下部部分,位於該主體之内表面之間,且平行於延伸穿 過該主體之一中心軸; —上部管板,其包含第二複數個孔且配置於該中心 通道内; 一下部管板,其包含第三複數個孔且配置於該中心 通道内該上部管板下方;及 複數個管’其自該上部管板延伸至該下部管板,其 中每一管耦接至來自該第二複數個孔之一個別孔及來自 該第二複數個孔之一個別孔且與該等個別孔形成流體連 通;及 溫度調節系統,其包含延伸貫穿該蓋支撐件之至少一 85 201038764 其·形成流體連通 個流體通路,及耦接至該流體通路且與 之至少一個入口及至少一個出口。 44. 如申請專利範圍第43項之反應器蓋組件,其中該蓋 支撐件包含一材料’該材料係選自由以下構成:群組: 鋼、不銹鋼、300系列不銹鋼、鐵、鎳、鉻、鉬、鋁、 其合金或其組合。 45. 如申請專利範圍第43項之反應器蓋組件其中該第 噴淋碩組件、該隔離器組件、該第二喷淋頭組件及該 排氣組件係彼此緊鄰且沿著沿該蓋支撐件之一長度延伸 之一製程路徑而連續配置。 46. 如申請專利範圍第45項之反應器蓋組件,其中該隔 離器組件或該排氣組件具有一寬度,該寬度大體上相同 〇 於或大於該製程路徑之寬度。 47. 如申請專利範圍第45項之反應器蓋組件,其中該隔 • 離器組件或該排氣組件具有一寬度,該寬度大體上相同 於或大於該第一喷淋頭組件或該第二喷淋頭組件之寬 *- 度。 48.如申請專利範圍第43項之反應器蓋組件,其中該第 一喷淋頭組件、該隔離器組件、該第二喷淋頭組件及該 86 201038764
    排氣組件獨立地具有一矩形幾何形狀。 49.如申請專利範圍第48項之反應器蓋組件,其中該第 一喷淋頭組件及該第二喷淋頭組件具有一正方形幾何形 狀。 87
TW099107646A 2009-03-16 2010-03-16 Reactor lid assembly for vapor deposition TW201038764A (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US16070309P 2009-03-16 2009-03-16
US16070109P 2009-03-16 2009-03-16
US16069609P 2009-03-16 2009-03-16
US16069009P 2009-03-16 2009-03-16
US16069909P 2009-03-16 2009-03-16
US16070009P 2009-03-16 2009-03-16
US16069409P 2009-03-16 2009-03-16

Publications (1)

Publication Number Publication Date
TW201038764A true TW201038764A (en) 2010-11-01

Family

ID=42729639

Family Applications (5)

Application Number Title Priority Date Filing Date
TW099107650A TW201043727A (en) 2009-03-16 2010-03-16 Wafer carrier track
TW099107657A TW201042075A (en) 2009-03-16 2010-03-16 Showerhead for vapor deposition
TW099107646A TW201038764A (en) 2009-03-16 2010-03-16 Reactor lid assembly for vapor deposition
TW099107659A TW201037100A (en) 2009-03-16 2010-03-16 Vapor deposition reactor system and methods thereof
TW099107644A TW201043724A (en) 2009-03-16 2010-03-16 Heating lamp system and methods thereof

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW099107650A TW201043727A (en) 2009-03-16 2010-03-16 Wafer carrier track
TW099107657A TW201042075A (en) 2009-03-16 2010-03-16 Showerhead for vapor deposition

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW099107659A TW201037100A (en) 2009-03-16 2010-03-16 Vapor deposition reactor system and methods thereof
TW099107644A TW201043724A (en) 2009-03-16 2010-03-16 Heating lamp system and methods thereof

Country Status (7)

Country Link
US (5) US20120067286A1 (zh)
EP (5) EP2409324A4 (zh)
JP (5) JP2012521097A (zh)
KR (5) KR20120003455A (zh)
CN (6) CN102422390B (zh)
TW (5) TW201043727A (zh)
WO (5) WO2010107835A2 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9635713B2 (en) 2005-05-18 2017-04-25 Judco Manufacturing, Inc. Cordless handheld heater
US20130209076A1 (en) * 2005-05-18 2013-08-15 Judco Manufacturing, Inc. Handheld heater
US20100209082A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Heating lamp system
US8852696B2 (en) * 2008-05-30 2014-10-07 Alta Devices, Inc. Method for vapor deposition
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US9169554B2 (en) * 2008-05-30 2015-10-27 Alta Devices, Inc. Wafer carrier track
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
CN102246274A (zh) * 2008-10-10 2011-11-16 奥塔装置公司 用于气相沉积的同轴喷头
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
US10066297B2 (en) 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9212422B2 (en) 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US9644268B2 (en) 2011-08-31 2017-05-09 Alta Devices, Inc. Thermal bridge for chemical vapor deposition reactors
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9328419B2 (en) * 2012-04-18 2016-05-03 Hermes-Epitek Corporation Gas treatment apparatus with surrounding spray curtains
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
TWI502096B (zh) * 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
US9105286B2 (en) 2013-07-30 2015-08-11 HGST Netherlands B.V. Method using epitaxial transfer to integrate HAMR photonic integrated circuit (PIC) into recording head wafer
WO2015081072A1 (en) * 2013-11-26 2015-06-04 Applied Materials Israel, Ltd. System and method for forming a sealed chamber
CN104911565B (zh) * 2014-03-11 2017-12-22 中微半导体设备(上海)有限公司 一种化学气相沉积装置
US10332770B2 (en) * 2014-09-24 2019-06-25 Sandisk Technologies Llc Wafer transfer system
US10932323B2 (en) 2015-08-03 2021-02-23 Alta Devices, Inc. Reflector and susceptor assembly for chemical vapor deposition reactor
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
EP3464677A4 (en) * 2016-06-02 2020-02-19 Applied Materials, Inc. MULTI-ZONE TREATMENT KIT FOR CONTINUOUS STEAM CHEMICAL DEPOSIT (CVD)
DE102016110788A1 (de) * 2016-06-13 2017-12-14 Aixtron Se Vorrichtung und Verfahren zur Herstellung von optoelektronischen Bauelementen, insbesondere von Multi-Junction-Solarzellen im Durchlaufverfahren
CN108321105A (zh) 2018-03-23 2018-07-24 北京创昱科技有限公司 一种加热组件
US10612136B2 (en) * 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102192369B1 (ko) * 2019-05-03 2020-12-17 주성엔지니어링(주) 기판 처리 장치
CN111370498B (zh) * 2020-03-23 2022-05-31 中国科学院长春光学精密机械与物理研究所 一种探测器小型永久高真空腔体及制备方法
EP3937219B1 (de) * 2020-07-06 2023-08-30 Siltronic AG Verfahren zum erzeugen eines gasvorhangs aus spülgas in einem schlitzventiltunnel und schlitzventiltunnel
WO2024101775A1 (ko) * 2022-11-07 2024-05-16 주식회사 넥서스비 산화갈륨용 하이브리드 증착 장치 및 이를 이용한 하이브리드 증착 방법

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3650042A (en) 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
US4074474A (en) * 1975-10-08 1978-02-21 Cristy Nicholas G Floor support arrangement
US4316430A (en) 1980-09-30 1982-02-23 Rca Corporation Vapor phase deposition apparatus
US4545327A (en) 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US4834020A (en) * 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4908495A (en) * 1988-12-20 1990-03-13 Texas Instruments Incorporated Heating lamp assembly for ccvd reactors
US4941429A (en) 1988-12-20 1990-07-17 Texas Instruments Incorporated Semiconductor wafer carrier guide tracks
US5105762A (en) * 1988-12-20 1992-04-21 Texas Instruments Incorporated Support and seal structure for CCVD reactor
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US4928626A (en) * 1989-05-19 1990-05-29 Applied Materials, Inc. Reactant gas injection for IC processing
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
WO1992009103A1 (en) * 1990-11-16 1992-05-29 Kabushiki-Kaisha Watanabe Shoko Device and method for carrying thin plate-like substrate
JPH05152208A (ja) * 1991-11-29 1993-06-18 Fujitsu Ltd 半導体製造装置
US5863337A (en) * 1993-02-16 1999-01-26 Ppg Industries, Inc. Apparatus for coating a moving glass substrate
US5413671A (en) * 1993-08-09 1995-05-09 Advanced Micro Devices, Inc. Apparatus and method for removing deposits from an APCVD system
JPH07228346A (ja) * 1993-12-22 1995-08-29 Hitachi Ltd 搬送装置、搬送処理装置及び被処理物搬送処理方法
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5776254A (en) * 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
JPH08176826A (ja) * 1994-12-28 1996-07-09 Mitsubishi Electric Corp Cvd法による薄膜の堆積装置及び堆積方法並びに該堆積装置又は該堆積方法で用いられるcvd原料及び液体原料容器
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JP2845773B2 (ja) * 1995-04-27 1999-01-13 山形日本電気株式会社 常圧cvd装置
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JPH108402A (ja) 1996-06-26 1998-01-13 Ishikawajima Harima Heavy Ind Co Ltd 軌道走行装置
US5863843A (en) * 1996-07-31 1999-01-26 Lucent Technologies Inc. Wafer holder for thermal processing apparatus
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
JP3341619B2 (ja) * 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
US5792273A (en) * 1997-05-27 1998-08-11 Memc Electric Materials, Inc. Secondary edge reflector for horizontal reactor
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
KR19990043658A (ko) 1997-11-29 1999-06-15 윤종용 화학 기상 증착 방법을 이용한 반도체 소자의 박막 형성 방법
JP2000068222A (ja) * 1998-08-21 2000-03-03 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2000072251A (ja) * 1998-08-31 2000-03-07 Watanabe Shoko:Kk 浮上搬送装置および浮上搬送システム
US6244572B1 (en) 1998-10-05 2001-06-12 Robert Delsole Carburetor float bowl drain screw and recovery system
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2000159342A (ja) 1998-11-20 2000-06-13 Daiichi Shisetsu Kogyo Kk 板状部材の搬送装置
US6254687B1 (en) * 1999-03-26 2001-07-03 Japan Process Engineering, Ltd. Chemical vapor deposition system with reduced material deposition on chamber wall surfaces
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
JP2000306905A (ja) * 1999-04-22 2000-11-02 Sony Corp 薄膜形成装置
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
JP5049443B2 (ja) * 2000-04-20 2012-10-17 東京エレクトロン株式会社 熱処理システム
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
JP4021125B2 (ja) 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
US6805466B1 (en) * 2000-06-16 2004-10-19 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
JP4717179B2 (ja) * 2000-06-21 2011-07-06 日本電気株式会社 ガス供給装置及び処理装置
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6465761B2 (en) * 2000-07-24 2002-10-15 Asm America, Inc. Heat lamps for zone heating
TWI303084B (en) * 2000-09-08 2008-11-11 Tokyo Electron Ltd Shower head structure, film forming method, and gas processing apparauts
JP4815724B2 (ja) * 2000-09-08 2011-11-16 東京エレクトロン株式会社 シャワーヘッド構造及び成膜装置
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
JP4948701B2 (ja) * 2000-12-28 2012-06-06 東京エレクトロン株式会社 加熱装置、当該加熱装置を有する熱処理装置、及び、熱処理制御方法
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
JP2002261089A (ja) * 2001-03-06 2002-09-13 Sony Corp 半導体製造装置
US6656838B2 (en) * 2001-03-16 2003-12-02 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
KR100423954B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착방법
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP2003007622A (ja) * 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc 基板処理装置
US20030066603A1 (en) * 2001-08-20 2003-04-10 Lord Corporation Adhesive composition for bonding an elastomer to a substrate and a method for the bonding thereof
JP2003121023A (ja) * 2001-10-10 2003-04-23 Tokyo Electron Ltd 熱媒体循環装置及びこれを用いた熱処理装置
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
KR20030078454A (ko) 2002-03-29 2003-10-08 주식회사 엘지이아이 표면처리장치와 그 방법 및 표면처리된 제품
US6705457B2 (en) 2002-04-01 2004-03-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Transport device and method of transporting to-be-processed elements through a high-temperature zone
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置
JP2004055595A (ja) 2002-07-16 2004-02-19 Sharp Corp 気相成長装置
KR100509231B1 (ko) * 2003-01-03 2005-08-22 주식회사 아이피에스 박막증착용 반응용기
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
CN100495655C (zh) * 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
EP1710833A4 (en) 2004-01-30 2011-05-25 Sharp Kk SEMICONDUCTOR MANUFACTURING APPARATUS AND SEMICONDUCTOR MANUFACTURING METHOD USING THE SAME
JP4404303B2 (ja) * 2004-02-03 2010-01-27 株式会社アルバック プラズマcvd装置及び成膜方法
US6888104B1 (en) * 2004-02-05 2005-05-03 Applied Materials, Inc. Thermally matched support ring for substrate processing chamber
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
JP5291281B2 (ja) * 2004-06-28 2013-09-18 株式会社渡辺商行 浮上搬送装置及び浮上搬送方法
WO2006020424A2 (en) * 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US20060128160A1 (en) * 2004-12-10 2006-06-15 Yoo Woo S Photoresist strip using solvent vapor
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
JP2006279008A (ja) * 2005-03-02 2006-10-12 Ushio Inc ヒータ及びヒータを備えた加熱装置
JP4869612B2 (ja) * 2005-03-25 2012-02-08 東京エレクトロン株式会社 基板搬送システムおよび基板搬送方法
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP2008540840A (ja) 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
EP1902497A1 (en) 2005-06-08 2008-03-26 Firecomms Limited Surface emitting optical devices
EP1734202A1 (fr) * 2005-06-14 2006-12-20 Tarkett SAS Panneau pourvu d'un adhésif repositionnable, en particulier pour le revêtement de sols, murs ou plafonds
US7691204B2 (en) * 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
JP2007182304A (ja) 2006-01-06 2007-07-19 Tokyo Electron Ltd 基板搬送装置、基板搬送方法及びコンピュータプログラム
JP4594241B2 (ja) 2006-01-06 2010-12-08 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びコンピュータプログラム
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP4709662B2 (ja) 2006-02-28 2011-06-22 三菱重工業株式会社 透明電極膜の製膜方法及び太陽電池の製造方法
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP4916802B2 (ja) * 2006-07-20 2012-04-18 大日本スクリーン製造株式会社 熱処理装置
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
JP2008255386A (ja) * 2007-04-02 2008-10-23 Hitachi Kokusai Electric Inc 基板処理装置
JP2008270670A (ja) * 2007-04-24 2008-11-06 Oki Electric Ind Co Ltd 薄膜形成装置及び薄膜形成方法
WO2008147225A1 (en) * 2007-05-25 2008-12-04 New Zealand Heavy Engineering Research Association Incorporated Panels
US20080302052A1 (en) * 2007-06-08 2008-12-11 Kelly Gibson Panelling system formed from panels defined by tongue and groove strips
JP5076697B2 (ja) * 2007-07-17 2012-11-21 株式会社Ihi 薄板移送装置、薄板処理移送システム、及び薄板移送方法
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US20090133631A1 (en) * 2007-11-23 2009-05-28 Applied Materials Inc. Coating device and method of producing an electrode assembly
CN201151852Y (zh) * 2007-12-13 2008-11-19 张秀福 铁路钢轨轨缝纵向联接结构
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
CN201190181Y (zh) * 2008-04-23 2009-02-04 吴明鸿 化学气相沉积系统的多片式活动电镀车台
EP2281300A4 (en) * 2008-05-30 2013-07-17 Alta Devices Inc METHOD AND DEVICE FOR A CHEMICAL STEAM SEPARATION REACTOR
US8859042B2 (en) * 2008-05-30 2014-10-14 Alta Devices, Inc. Methods for heating with lamps
US9169554B2 (en) * 2008-05-30 2015-10-27 Alta Devices, Inc. Wafer carrier track
KR20110022036A (ko) * 2008-06-02 2011-03-04 맷슨 테크놀로지, 인크. 기판 처리방법
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
US8652259B2 (en) * 2008-10-09 2014-02-18 Silevo, Inc. Scalable, high-throughput, multi-chamber epitaxial reactor for silicon deposition
KR20110069852A (ko) 2008-10-10 2011-06-23 알타 디바이씨즈, 인크. 연속적인 공급 화학 기상 증착
CN102246274A (zh) 2008-10-10 2011-11-16 奥塔装置公司 用于气相沉积的同轴喷头

Also Published As

Publication number Publication date
EP2409320A2 (en) 2012-01-25
CN102422407B (zh) 2014-10-15
CN102422394B (zh) 2015-10-14
EP2409319A4 (en) 2013-07-24
TW201043724A (en) 2010-12-16
WO2010107835A2 (en) 2010-09-23
US20120090548A1 (en) 2012-04-19
EP2409318A2 (en) 2012-01-25
WO2010107843A3 (en) 2011-01-13
EP2409324A2 (en) 2012-01-25
CN102422390A (zh) 2012-04-18
KR20110131292A (ko) 2011-12-06
CN102422392A (zh) 2012-04-18
TW201043727A (en) 2010-12-16
TW201042075A (en) 2010-12-01
KR20110131291A (ko) 2011-12-06
US20120067286A1 (en) 2012-03-22
CN102422390B (zh) 2015-05-13
US20120106935A1 (en) 2012-05-03
CN102422394A (zh) 2012-04-18
JP2012521096A (ja) 2012-09-10
US20120067282A1 (en) 2012-03-22
US8985911B2 (en) 2015-03-24
EP2409324A4 (en) 2013-05-15
JP2012521093A (ja) 2012-09-10
WO2010107839A3 (en) 2011-01-13
WO2010107835A3 (en) 2011-01-13
WO2010107842A3 (en) 2011-01-13
WO2010107842A2 (en) 2010-09-23
EP2409321A2 (en) 2012-01-25
CN102422393A (zh) 2012-04-18
WO2010107837A3 (en) 2011-01-13
CN102422392B (zh) 2016-08-31
CN102422407A (zh) 2012-04-18
EP2409320A4 (en) 2013-07-24
US20100229793A1 (en) 2010-09-16
CN104962879A (zh) 2015-10-07
KR20120003455A (ko) 2012-01-10
KR20110128932A (ko) 2011-11-30
WO2010107839A2 (en) 2010-09-23
EP2409319A2 (en) 2012-01-25
WO2010107843A2 (en) 2010-09-23
TW201037100A (en) 2010-10-16
JP2012521094A (ja) 2012-09-10
JP2012521097A (ja) 2012-09-10
EP2409321A4 (en) 2013-07-24
KR20110131290A (ko) 2011-12-06
JP2012521095A (ja) 2012-09-10
WO2010107837A2 (en) 2010-09-23

Similar Documents

Publication Publication Date Title
TW201038764A (en) Reactor lid assembly for vapor deposition
TW201145435A (en) Wafer carrier track
TW201137160A (en) Heating lamp system and method of use
US8852696B2 (en) Method for vapor deposition
US20100209626A1 (en) Methods for heating with lamps
TW201137946A (en) Vapor deposition reactor system
US20100212591A1 (en) Reactor lid assembly for vapor deposition