JP2012521093A - 蒸着反応装置システム及びその方法 - Google Patents

蒸着反応装置システム及びその方法 Download PDF

Info

Publication number
JP2012521093A
JP2012521093A JP2012500902A JP2012500902A JP2012521093A JP 2012521093 A JP2012521093 A JP 2012521093A JP 2012500902 A JP2012500902 A JP 2012500902A JP 2012500902 A JP2012500902 A JP 2012500902A JP 2012521093 A JP2012521093 A JP 2012521093A
Authority
JP
Japan
Prior art keywords
assembly
reactor
disposed
wafer carrier
showerhead
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012500902A
Other languages
English (en)
Inventor
ヒー,ゲング
ヒガシ,グレッグ
ソラブジ,クルシード
ハマムジィ,ロジャー
ヘジェダス,アンドレアス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Awbscqemgk Inc
Original Assignee
Awbscqemgk Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Awbscqemgk Inc filed Critical Awbscqemgk Inc
Publication of JP2012521093A publication Critical patent/JP2012521093A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

本発明の実施形態は、一般的に、化学蒸着(CVD)プロセスのための装置及び方法に関する。一実施形態では、CVD反応装置は、蓋サポート上に互いに連続して直線的に配置された、反応装置本体の上に配置され、第1のシャワーヘッドアセンブリを含む反応装置の蓋アセンブリと、アイソレータアセンブリと、第2のシャワーヘッドアセンブリと、排気アセンブリと、を有している。CVD反応装置は、更に、反応装置本体の両端部に配置した第1及び第2のフェースプレートを含み、第1のシャワーヘッドアセンブリが第1のフェースプレートとアイソレータアセンブリとの間に配置され、排気アセンブリが第2のシャワーヘッドアセンブリと第2のフェースプレートとの間に配置されている。反応装置本体は、ウエハキャリアトラック上のウエハキャリアと、ウエハキャリアトラックの下に配置され、ウエハキャリアに配置されたウエハを加熱するために利用することができる、複数のランプを含むランプアセンブリと、を有している。
【選択図】図1A

Description

本発明の実施形態は、一般的に、蒸着のための装置及び方法に関し、特に、化学蒸着システム、反応装置、及びそのプロセスに関するものである。
太陽電池や太陽電池デバイス、半導体デバイス、又は他の電子機器は、通常、基板の表面を操作するための各種の製造プロセスを利用して製造されている。これらの製造プロセスは、蒸着、熱処理、エッチング、ドーピング、酸化、窒化、及び多くの他のプロセスを含まれる場合がある。エピタキシャルリフトオフ(ELO)は、材料の層が成長基板に堆積してその後成長基板から除去される、薄膜デバイスや材料を製造するためのあまり一般的でない手法である。エピタキシャル層、フィルム、又は、材料は、化学蒸着(CVD)プロセス又は金属有機CVD(MOCVD)プロセスにより、ガリウムヒ素ウエハなどの、成長基板上に堆積される犠牲層上に成長又は堆積される。その後、エピタキシャル材料がELOエッチングプロセス中に成長基板から分離されている間、犠牲層を選択的にウェット酸浴でエッチング除去する。孤立したエピタキシャル材料は、通常、ELOフィルムやエピタキシャル膜と呼ばれる、薄い層又はフィルムであってもよい。各エピタキシャル膜は、一般的に、太陽光発電や太陽光発電装置、半導体デバイス、又は、他の電子機器などの特定のデバイスに対し組成を変化させた多数の層を含んでいる。
CVDプロセスは、気相の化学的前駆体の反応によって、エピタキシャル膜を成長又は堆積することを含む。MOCVDプロセス中、化学的前駆体の少なくとも1つは、金属−有機化合物、すなわち、金属の原子及び有機フラグメントを含む少なくとも1つの配位子を有する化合物である。
非常に異なるアプリケーションのために、数多くのタイプのCVD反応装置がある。例えば、CVD反応装置は、プラズマ助長反応装置だけでなく、単一又はバルクのウエハ反応装置、大気圧及び低圧の反応装置、周囲温度及び高温の反応装置を含む。これらの明確な設計は、空乏効果、汚染の問題、反応装置のメンテナンス、スループット、及び、生産コストなどの、CVDプロセス中に発生する種々の課題を解決する。
したがって、現在知られているCVD装置及びプロセスによりも少ない汚染、高いスループット及び安価でより効率的に、基板上にエピタキシャル薄膜や材料を成長させるための、CVDプロセス、反応装置及びプロセスに対する必要性がある。
本発明の実施形態は、一般的に、化学蒸着(CVD)プロセス用の装置及び方法に関する。一実施形態では、CVD反応装置は、反応装置本体の上に配置された反応装置の蓋アセンブリを含んで提供されている。一例では、反応装置の蓋アセンブリは、第1のシャワーヘッドアセンブリ、アイソレータアセンブリ、第2のシャワーヘッドアセンブリ、及び連続して直線的に蓋のサポートに隣接して配置された排気アセンブリを含む。反応装置本体は、ウエハキャリアトラック上に配置されたウエハキャリア、及びウエハキャリアのトラックの下に配置されたウエハキャリア複数のランプを有するランプアセンブリを有している。一例では、ランプアセンブリは、複数のランプの下であってウエハキャリアトラックの下に配置したリフレクタを含んでいる。別の例では、反応装置本体は、浮上ウエハキャリアトラック状に配置された浮上ウエハキャリア、及び、複数のランプを有し、ウエハキャリアトラックの下に配置されたランプアセンブリを有している。
多くの実施形態において、第1又は第2のシャワーヘッドアセンブリは、更に、上部と下部とを有する本体と、本体の内面の間で、本体を通って延在する中心軸に対して平行な、中央チャネルと、を含んでいる。第1又は第2のシャワーヘッドアセンブリは、更に、第1の複数の孔を有し、中央チャネル内に配置されたオプションの拡散プレートと、第2の複数の孔を有し、オプションの拡散プレート下の中央チャネル内に配置された上側チューブプレートと、第3の複数の孔を有し、上側チューブプレート下の中央チャネル内に配置された下側チューブプレートと、及び、上側チューブプレートから下側チューブプレートへ延在する複数のチューブと、を含み、各チューブは、第2の複数の孔からの個別の孔及び第3の複数の孔からの個別の孔と連結されるとともに、流体通信状態となっている。
別の例では、反応装置の蓋アセンブリは、反応装置本体の一端に配置された第1のフェースプレートを含み、第1のシャワーヘッドアセンブリが、第1のフェースプレート及びアイソレータアセンブリと、反応装置本体の他端に配置された第2のフェースプレートと、の間に配置され、排気アセンブリが、第2のシャワーヘッドアセンブリと第2のフェースプレートとの間に配置される。
別の例では、反応装置本体は、ウエハキャリアトラック上に配置されたウエハキャリアと、複数のランプを含み、ウエハキャリアトラックの下に配置されたランプアセンブリと、温度調節システムと、を有している。温度調節システムは、反応装置の蓋全体に拡張し、第1の入口と流体の伝達で第1の流体経路に連結された第1の出口を含む、第1の流体経路と、反応装置の本体全体に拡張し、第2の入口と流体の伝達で第2の流体経路に連結された第2の出口を含む、第2の流体経路と、を含んでいる。
別の実施形態では、反応装置本体上に配置された反応装置の蓋アセンブリを含む化学蒸着(CVD)反応装置が設けられ、反応装置の蓋アセンブリが、蓋サポート部の上で互い隣同士に配置された第1のシャワーヘッドアセンブリ及びアイソレータアセンブリと、蓋サポート部の上で互いに隣同士に配置された第2のシャワーヘッドアセンブリ及び排気アセンブリと、を含み、アイソレータアセンブリが第1及び第2のシャワーヘッドアセンブリとの間に配置され、第2のシャワーヘッドアセンブリがアイソレータアセンブリと排気アセンブリとの間に配置されている。
一例では、反応装置本体上に配置された反応装置の蓋アセンブリを含むCVD反応装置が設けられ、反応装置の蓋アセンブリが、蓋サポート部の上で互い隣同士に配置された第1のシャワーヘッドアセンブリ及びアイソレータアセンブリを有する第1の容器と、蓋アセンブリの上で互いに隣同士に配置された第2のシャワーヘッドアセンブリ及び排気アセンブリを有する第2の容器と、を含む。
別の例では、反応装置本体上に配置された反応装置の蓋アセンブリを含むCVD反応装置が設けられ、反応装置の蓋アセンブリが、蓋サポートの上で隣同士に直線的に配置され連続して整列した、第1のシャワーヘッドアセンブリ、アイソレータアセンブリ、第2のシャワーヘッドアセンブリ及び排気アセンブリを含み、アイソレータアセンブリが第1及び第2のシャワーヘッドアセンブリの間に配置されるとともに、第2のシャワーヘッドアセンブリがアイソレータアセンブリと排気アセンブリとの間に配置されている。
別の例では、CVD反応装置は、蓋サポートを通って延在する少なくとも1つの流体経路と、流体経路と流体連通して連結された少なくとも1つの入口及び少なくとも1つの出口と、を含む。
いくつかの例では、第1のシャワーヘッドアセンブリはモジュール式のシャワーヘッドアセンブリであり、第2のシャワーヘッドアセンブリはモジュール式のシャワーヘッドアセンブリであり、アイソレータアセンブリはモジュール式のアイソレータアセンブリであり、排気アセンブリはモジュール式の排気のアセンブリとすることができる。
ここに説明した他の実施形態では、ランプアセンブリから放射された放射線にウエハキャリアトラックの下面を露出することによって所定の温度でウエハキャリアに配置された少なくとも1つのウエハを加熱することを含む、蒸着反応装置内でウエハを処理するための方法が提供されており、ウエハキャリアが蒸着反応器内のウエハキャリアトラック上に配置されている。この方法は、更に、第1のシャワーヘッドアセンブリ及びアイソレータアセンブリを有する第1のチャンバを通って、ウエハキャリアトラックに沿ってウエハキャリアを横断し、第1の材料を蒸着する間第1のシャワーヘッドから流れる気体の前駆体の第1の混合物にウエハを露出し、アイソレータアセンブリから流れるプロセスガス(例えば、アルシンガス)にウエハを露出し、第2のヘッドアセンブリと排気アセンブリを有する第2のチャンバを介してウエハキャリアトラックに沿ってウエハキャリアを横断し、第2の材料を蒸着する間第2のシャワーヘッドから流れるガス状前駆体の第2の混合物にウエハを露出し、排気アセンブリを通して蒸着反応装置からガスを除去するステップを含む。いくつかの例では、所定の温度は、約250℃乃至約350℃、好ましくは約275℃乃至約325℃、好ましくは290℃乃至約310℃の範囲内であり、例えば約300℃である。
別の例では、蒸着反応装置内でウエハを処理するための方法が提供されており、その方法は、蒸着反応装置内でウエハキャリアトラックの上面に配置された複数の孔からウエハキャリアトラック内のキャビティに浮上ガスを流し、孔から流れる浮上ガスにウエハキャリアの下面を露出することにより、ウエハキャリアトラックからウエハキャリアを浮上させるステップを含み、ウエハキャリアの上面は、少なくとも1つのウエハ、好ましくは複数のウエハを有する。この方法は、更に、ランプアセンブリから放出される放射線にウエハキャリアトラックの下面を露出することによって、所定の温度にウエハとウエハキャリアを加熱し、少なくとも2つのチャンバを介してウエハキャリアトラックに沿ってウエハキャリアを横断するステップを含み、第1のチャンバが第1のシャワーヘッドアセンブリ及びアイソレータのアセンブリを含み、第2のチャンバが第2のシャワーヘッドアセンブリと排気アセンブリを含んでいる。
別の実施形態において、蒸着反応装置内でウエハを処理するための方法が提供されており、その方法は、蒸着反応装置内でウエハキャリアトラックの上面に配置された複数の孔から流れる浮上ガスにウエハキャリアの下面を露出することにより、ウエハキャリアトラックからウエハキャリアを浮上させ、ウエハキャリアの上面が少なくとも1つのウエハを有し、ランプアセンブリから放出される放射線のウエハキャリアトラックの下面を露出することにより、所定の温度にウエハとウエハキャリアを加熱するステップを含んでいる。この方法は更に、第1のシャワーヘッドアセンブリ及びアイソレータアセンブリを有する第1のチャンバを通って、ウエハキャリアトラックに沿ってウエハキャリアを横断し、第1のシャワーヘッドから流れる、第1の前駆体(例えば、ガリウム前駆体又は他のグループIIIの前駆体)と第2の前駆(例えば、ヒ素の前駆体又は他のグループVの前駆体)にウエハを露出し、アイソレータアセンブリから流れるプロセスガス(例えば、アルシンガス)にウエハを露出し、第2のシャワーヘッドアセンブリ及び排気アセンブリを有する第2のチャンバを介してウエハキャリアトラックに沿ってウエハキャリアを横断し、第2のシャワーヘッドから流れるガス状の前駆体の混合物にウエハを露出し、排気アセンブリを通して蒸着反応装置からガスを除去するステップを含んでいる。
プロセスガスは、アルシン、アルゴン、ヘリウム、窒素、水素、又はそれらの混合物を含むことができる。一例では、プロセスガスはアルシンなどのヒ素の前駆体を含んでいる。他の実施形態では、第1の前駆体は、アルミニウムの前駆体、ガリウムの前駆体、インジウムの前駆体、又はそれらの組み合わせを含めることができ、第2の前駆体は、窒素の前駆体、リンの前駆体、ヒ素の前駆体、アンチモンの前駆体、又はこれらの混合物を含めることができる。
別の例では、蒸着反応装置内でウエハを処理するための方法が提供されており、この方法は、ランプアセンブリから放出される放射線にウエハキャリアトラックの下面を露出することにより、ウエハキャリア上に配置された少なくとも1つのウエハを加熱し、ウエハキャリアが蒸着反応装置内のウエハキャリアトラック上に配置され、所定の温度で反応装置の蓋アセンブリを維持するために、蒸着反応装置の反応装置の蓋アセンブリ全体に延在する経路を通って液体を流し、液体及び経路は温度調節システムと流体連通する、ステップを含んでいる。
別の実施形態において、蒸着反応装置内でウエハを処理するための方法が提供されており、この方法は、ランプアセンブリから放出される放射線にウエハキャリアトラックの下面を露出することにより、ウエハキャリア上に配置された少なくとも1つのウエハを加熱し、ウエハキャリアが蒸着反応装置内のウエハキャリアトラック上に配置され、所定の温度で反応装置の蓋アセンブリを維持するために、蒸着反応装置の反応装置の蓋アセンブリ全体に延在する経路を通って液体を流し、液体及び経路は温度調節システムと流体連通し、少なくとも2つのチャンバを介してウエハキャリアトラックに沿ってウエハキャリアを横断させ、第1のチャンバが第1のシャワーヘッドアセンブリ及びアイソレータアセンブリを含むとともに、第2のチャンバが第2のシャワーヘッドアセンブリ及びアイソレータアセンブリを含み、排気アセンブリを通して蒸着反応装置からガスを除去する、ステップを含んでいる。
したがって、上記本発明の特徴を列挙する方法を詳細に理解することができ、簡単に上述したように要約された発明のより特定の記載を、そのうちのいくつかは添付図面に記載されている実施形態を参照することによって得ることができる。しかし、添付図面は本発明の単に代表的な実施形態を例示しており、本発明は他の同等に効果的な実施形態を許容することができるため、その範囲を限定すると見なされないことに注意すべきである。
図1A−1Eは本明細書中に記載の実施形態に係るCVD反応装置を示す。図1Fは本明細書中に記載の他の実施形態に係る温度調節システムに連結されたCVD反応装置を示す。 図2A−2Cは本明細書中に記載の実施形態に係る反応装置の蓋アセンブリを示す。図2Dは本明細書中に記載の実施形態に係る反応装置の蓋サポートを示す。 図3は本明細書中に記載の実施形態に係る反応装置の本体アセンブリを示す。 図4A−4Eは本明細書中に記載の実施形態に係るウエハキャリアトラックを示す。 図5A−5Dは本明細書中に記載の実施形態に係るアイソレータアセンブリを示す。 図6は本明細書中に記載の実施形態に係る加熱用ランプアセンブリを示す。 図7A−7Dは本明細書中に記載の実施形態に係るシャワーヘッドアセンブリを示す。 図8A−8Dは本明細書中に記載の実施形態に係る排気アセンブリを示す。 図9A−9Fは本明細書中に記載の実施形態に係る複数CVD反応装置を含むCVDシステムを示す。 図10A−10Bは本明細書中に記載の実施形態に係るランプを示す。 図11A−11Fは本明細書中に記載の他の実施形態に係る複数のランプを示す。 図12A−12Bは本明細書中に記載の別の実施形態に係る浮揚する基板キャリアを示す。図12C−12Eは本明細書中に記載の別の実施形態に係る他の浮揚する基板キャリアを示す。
本発明の実施形態は、一般に、金属−有機CVD(MOCVD)プロセスのような化学蒸着(CVD)の装置及び方法に関する。ここに記載のように、本発明の実施形態は、それらが大気圧CVD反応装置と有機−金属前駆体ガスに関連するものとして記載される。しかしながら、本発明の態様は、大気圧CVD反応装置又は金属−有機前駆体ガスとの使用に限定されるものではなく、他のタイプの反応装置システム及び前駆体ガスに適用可能であることに注意のこと。本発明の装置とその使用方法の新規性をより良く理解するために、以下の記載において添付の図面を参照する。
本発明の一実施形態によれば、大気圧CVD反応装置が提供される。CVD反応装置は、ガリウムヒ素基板などの基板上に複数のエピタキシャル層を提供するために使用される。これらのエピタキシャル層は、アルミニウムガリウムヒ素、ガリウムヒ素、及びリンガリウムヒ素を含むことができる。これらのエピタキシャル層は、後に除去するためガリウムヒ素基板上に成長され、基板は追加の材料を生成するために再利用できる。一実施形態では、CVD反応装置は太陽電池を提供するために使用されることがある。これらの太陽電池は、更に、単接合、ヘテロ接合、又はその他の構成を含むことができる。一実施形態では、CVD反応装置は、10センチメートルの基板で10センチメートルで2.5ワットのウエハを開発するように構成することができる。一実施形態では、CVD反応装置は、毎分約1基板から毎分約10基板のスループットを提供することができる。
図1A−1Eは、本明細書に記載する実施形態で記載したように、反応装置100、CVD反応装置又はチャンバを示している。反応装置100は、反応装置本体アセンブリ102上に配置された反応装置の蓋アセンブリ200を含んでいる。反応装置の蓋アセンブリ200及びそのコンポーネントは、更に図2A−2Dに示されており、反応装置本体アセンブリ102は、更に図3に示されている。
反応装置の蓋アセンブリ200は、インジェクタ又はアイソレータ、2つのシャワーヘッドアセンブリの間に配置されたアイソレータアセンブリ500、シャワーヘッド阿線ビル700を含んでいる。反応装置の蓋アセンブリ200は、また、排気アセンブリ800を含んでいる。図1Cは、チャンバステーション160、162のような2つの蒸着ステーションを含む反応装置100を示している。チャンバステーション160は、シャワーヘッドアセンブリ700とアイソレータアセンブリ500を含んでおり、一方チャンバステーション162は、シャワーヘッドアセンブリ700及び排気アセンブリ800を含んでいる。一実施形態において、アイソレータアセンブリ500は、両シャワーヘッドアセンブリ700を互いに分離するためのガスを流すために使用することができ、一方排気アセンブリ800は、フェースプレート112に接続された別の反応装置から反応装置100の内部環境を隔離するために使用される。
ここに記載された多くの実施形態において、シャワーヘッドアセンブリ700の各々はモジュール式のシャワーヘッドアセンブリとすることができ、アイソレータアセンブリ500の各々はモジュール式のアイソレータアセンブリとすることができ、排気アセンブリ800の各々はモジュラー式の排気アセンブリとすることができる。シャワーヘッドアセンブリ700、アイソレータアセンブリ500、及び/又は、排気アセンブリ800のいずれかは、反応装置の蓋アセンブリ200から除去されてもよいし、特定のプロセス条件のために希望により同一又は異なるアセンブリに置き換えてもよい。シャワーヘッドアセンブリ700、アイソレータアセンブリ500、及び/又は、排気アセンブリ800のモジュラー式のアセンブリは、CVD反応装置システム内に位置決めされるようそれぞれ構成することができる。
ここに記載された別の実施形態において、反応装置100の他の構成が提供されているが、図面に記載されていない。一実施形態では、反応装置100の反応装置の蓋アセンブリ200は、2つのシャワーヘッドアセンブリ700によって分離された3つの排気アセンブリ800を含んでおり、その結果、反応装置の蓋アセンブリ200は、順次、第1の排気アセンブリ、第1のシャワーヘッドアセンブリ、第2の排気アセンブリ、第2のシャワーヘッドアセンブリ及び第3の排気アセンブリを含んでいる。別の実施形態では、反応装置100の反応装置の蓋アセンブリ200は、2つのシャワーヘッドアセンブリ700で分離された3つのアイソレータアセンブリ500を含み、その結果、反応装置の蓋アセンブリ200は、順次、第1のアイソレータアセンブリ、第1のシャワーヘッドアセンブリ、第2のアイソレータアセンブリ、第2のシャワーヘッドアセンブリ及び第3のアイソレータアセンブリを含んでいる。
別の実施形態において、反応装置100の反応装置の蓋アセンブリ200は、2つのシャワーアセンブリ700によって分離された2つのアイソレータアセンブリ500及び1つの排気アセンブリ800を含み、その結果、反応装置の蓋アセンブリ200は、順次、第1のアイソレータアセンブリ、第1のシャワーヘッドアセンブリ、第2のアイソレータアセンブリ、第2のシャワーヘッドアセンブリ及び第1の排気アセンブリを含んでいる。別の例では、反応装置の蓋アセンブリ200は、順次、第1のアイソレータアセンブリ、第1のシャワーヘッドアセンブリ、第1の排気アセンブリ、第2のシャワーヘッドアセンブリ及び第2のアイソレータアセンブリを含んでいる。別の例では、反応装置の蓋アセンブリ200は、順次、第1の排気アセンブリ、第1のシャワーヘッドアセンブリ、第1のアイソレータアセンブリ、第2のシャワーヘッドアセンブリ及び第3のアイソレータアセンブリを含んでいる。
別の実施形態において、反応装置100の反応装置の蓋アセンブリ200は、2つのシャワーアセンブリ700によって分離された2つの排気アセンブリ800及び1つのアイソレータアセンブリ700を含み、その結果、反応装置の蓋アセンブリ200は、順次、第1の排気アセンブリ、第1のシャワーヘッドアセンブリ、第2の排気アセンブリ、第2のシャワーヘッドアセンブリ及び第1の排気アセンブリを含んでいる。別の例では、反応装置の蓋アセンブリ200は、順次、第1の排気アセンブリ、第1のシャワーヘッドアセンブリ、第1のアイソレータアセンブリ、第2のシャワーヘッドアセンブリ及び第2の排気アセンブリを含んでいる。別の例では、反応装置の蓋アセンブリ200は、順次、第1のアイソレータアセンブリ、第1のシャワーヘッドアセンブリ、第1の排気アセンブリ、第2のシャワーヘッドアセンブリ及び第2の排気アセンブリを含んでいる。
反応装置の本体アセンブリ102は、一方の端部のフェースプレート110及び反対側の端部のフェースプレート112を含んでいる。フェースプレート110及び112は、反応装置100と類似又は異なる追加の反応装置と連結するために、又は、エンドキャップ、エンドプレート、ウエハ/基板のハンドラ、又は別のデバイスと連結するために、それぞれ利用される。一例では、反応装置100のフェースプレート110は、別の反応装置(図示せず)のフェースプレート112に連結することができる。同様に、反応装置100のフェースプレート112は、別の反応装置(図示せず)のフェースプレート110に連結することができる。シール、スペーサ、又はOリングが、2つの接合フェースプレートの間に配置されることがある。一実施形態において、シールは、ニッケルやニッケル合金などの金属を含むことがある。一例において、シールはナイフエッジメタルシールである。別の実施形態において、シールは、デュポンパフォーマンスエラストマー社から入手可能なKALREZ(登録商標)エラストマーのような、ポリマー又はエラストマーを含む。別の実施形態において、シールがヘリックスシール又はHシールである。シールやOリングは、周囲ガスの反応装置100への浸入を防ぐか大幅に減少させるために気密シールを形成する。反応装置100は、使用又は製造中、ほとんどあるいは全く酸素、水、又は炭酸ガスがない状態で維持することができる。一実施形態では、反応装置100は、それぞれ約100ppb(10億分の1)以下、好ましくは約10ppb以下、更に好ましくは約1ppb以下、更に好ましくは約100ppt(1兆分の1)以下の酸素濃度、水分濃度、及び/又は、二酸化炭素濃度で維持される。
側面120及び130は、反応装置の本体アセンブリ102の長さに沿って延びている。側面120は上面128を有し、側面130は上面138を有している。反応装置の本体アセンブリ102の上面114及び116は、上面128と138との間に延びている。上面114は、反応装置の本体アセンブリ102のすぐ内側でフェースプレート110と平行であり、上面116は、反応装置の本体アセンブリ102のすぐ内側でフェースプレート112と平行である。ガス入口123は、側面120に連結され、側面120から延びている。浮上ガス又はキャリアガスは、ガス入口123を通して反応装置100内に投与することができる。浮上ガス又はキャリアガスは、窒素、ヘリウム、アルゴン、水素、又はそれらの混合物を含むことができる。
図1Fは、本明細書に記載の一実施形態に従って、温度調節システム190に連結した、反応装置の本体アセンブリ102及び反応装置の蓋アセンブリ200を含む、反応装置100を示す。温度調節システム190は、3つの熱交換器180a、180b及び180cを有するものとして、図1Fに示されている。しかし、温度調節システム190は、反応装置100のさまざまな部分と流体連通するとともに連結する、1、2、3、4、5あるいはそれ以上の個数の熱交換器を有することができる。熱交換器180a、180b又は180cの各々は、少なくとも1つの液体供給路182と少なくとも1つの液体リターン路184を含むことができる。各液体リターン路184は、導管186によって反応装置100の出口と流体連通して連結することができる一方、各液体供給路182は、導管186によって反応装置100の入口と流体連通して連結されてもよい。導管186は、パイプ、チューブ、ホース、他の中空線、又はそれらの組み合わせを含めることができる。バルブ188は、液体供給路182と入口との間又は液体リターン路184と出口との間の各導管186上で使用することができる。
反応装置の本体アセンブリ102は、熱調節システムの一部として、少なくとも一つの熱交換器と連結されて流体連通する。いくつかの実施形態では、反応装置の本体アセンブリ102は、2つ、3つ又はそれ以上の個数の熱交換器と流体連通接するとともに連結されていてもよい。図1Bは、反応装置100の下部104及び熱調節システムとの流体連通するとともに連結する、入口118a及び出口118bを示している。
一実施形態では、入口122a、122b及び122cと出口126a、126b及び126cとは、側面120と連結し、側面120から延びている。少なくとも1つの熱交換器は、入口122a、122b及び122cと出口126a、126b及び126cと流体連通するとともに連結されている。出口126a、126b及び126cは、熱交換器に液体を送り返す一方、入口122a、122b及び122cは、熱交換器から液体を受け取る。一実施形態では、各入口122a、122b又は122cは、それぞれの出口126a、126b及び126cよりも低い位置に位置決めされて配置されており、その結果、各入口122a、122b又は122cからの流動液体は、それぞれの接続経路を介して各出口126a、126b又は126cに上向きに流れる。
別の実施形態では、入口132a、132b及び132cと出口136a、136b及び136cとは、側面130に連結し、側面130から延びている。少なくとも1つの熱交換器は、入口132a、132b及び132cと出口136a、136b及び136cとに流体連通するとともに連結されている。出口136a、136b及び136cは熱交換器に液体送り返す一方、入口132a、132b及び132cは熱交換器から液体を受け取る。
図1C−1Dは、流体経路124a、124b、124c、134a、134b及び134cを含む、反応装置の本体アセンブリ102を示している。一例では、流体経路124aは、側面120内であって反応装置の本体アセンブリ102の部分的な長さに沿って延びている。流体経路124aは、入口122a及び出口126aと連結されて流体連通する。また、流体経路134aは、側面130内であって反応装置の本体アセンブリ102の部分的な長さに沿って延びている。流体経路134aは、入口132a及び出口136aと連結されて流体連通する。
別の例では、流体経路124bは、反応装置の本体アセンブリ102内及び突起やブラケットのアーム146内であって反応装置の本体アセンブリ102の部分的な長さに沿って延びている。流体経路124bは、入口122b及び出口126bと連結されて流体連通する。また、流体経路134bは、反応装置の本体アセンブリ102内及び突起やブラケットのアーム146内であって反応装置の本体アセンブリ102の部分的な長さに沿って延びている。流体経路134bは、入口132b及び出口136bと連結されて流体連通する。
別の例では、流体経路124cは、側面120から、反応装置の本体アセンブリ102の幅を介して、側面130に延びている。流体経路124cは、入口122c及び出口132cと流体連通するとともに連結されている。また、流体経路124cは、側面130から、反応装置の本体アセンブリ102の幅を介して、側面130に延びている。流体経路124cは、入口126c及び出口136cと連結されて流体連通する。
別の実施形態において、反応装置の本体アセンブリ102は、ウエハキャリアトラック400及びその内部に配置された加熱ランプアセンブリ600を含んでいる。加熱ランプシステムは、ウエハキャリアトラック400、ウエハキャリア、及び反応装置100内あるいは上に配置されたウエハ90を加熱するために使用される。ウエハキャリアトラック400は、ブラケットアーム146のような突起の上にある。一般的に、ウエハキャリアトラック400は、ブラケットアーム146及びクランプアーム148との間に配置される。ブラケットアーム146は、そこを通って横切る流体経路124b及び134bを含んでいる。
一実施形態では、ガスケット又はOリングのようなスペーサは、ウエハキャリアトラック400の下面とブラケットアーム146の上面との間に配置される。また、ガスケットやOリングなどの別のスペーサは、ウエハキャリアトラック400の上面とクランプアーム148の下面との間に配置される。スペーサは、ウエハキャリアトラック400の熱管理を助けるウエハキャリアトラック400の周りのスペース又はギャップを形成するために使用される。一例では、ブラケットアーム146の上面はスペーサを収納するための溝を有する。同様に、クランプアーム148の下面は、スペーサを収納するための溝を有する。
図2A−2Cは、本明細書に記載の別の実施形態に係る反応装置の蓋アセンブリ200を示している。反応装置の蓋アセンブリ200は、シャワーヘッドアセンブリ700及びアイソレータアセンブリ500(チャンバステーション160)とシャワーヘッドアセンブリ700及び蓋サポート210上に配置された排気アセンブリ800(チャンバステーション162)とを含んでいる。図2Dは、一実施形態で記載したように、反応装置の蓋アセンブリ200内に含まれている蓋サポート210を示している。蓋サポート210は下面208と上面212を有している。フランジ220は、蓋サポート210から外側に延びており、下面222を有している。反応装置の本体アセンブリ102上に配置されたときに、フランジ220は、反応装置の蓋アセンブリ200をサポートすることができる。フランジ220の下面222は、反応装置の本体アセンブリ102の上面114、116、128及び138と物理的に接触している。
一実施形態では、シャワーヘッドアセンブリ700は、蓋サポート210のシャワーヘッドポート230及び250内に配置され、アイソレータアセンブリ500は、蓋サポート210のアイソレータポート240内に配置され、排気アセンブリ800は、蓋サポート21の排気ポート260内に配置される。ガスや排気アセンブリの形状は、一般的にそれぞれのポートの形状と一致する。各シャワーヘッドアセンブリ700とシャワーヘッドポート230及び250は、それぞれ、矩形又は正方形の形状を持つことができる。プロセスパス−浮上ウエハキャリア480が製造ステップ中ウエハのキャリアトラック400に沿って前方に移動する経路のような−は、ウエハキャリアトラック400と同様に蓋サポート210の長さに沿って延びている。
シャワーヘッドポート230は長さ232と幅234とを有し、シャワーヘッドポート250は長さ252と幅254を有している。アイソレータアセンブリ500及びアイソレータポート240は、それぞれ、矩形又は正方形の形状を持つことができる。アイソレータポート240は長さ242と幅244を有している。排気アセンブリ800及び排気ポート260は、それぞれ、矩形又は正方形の形状を持つことができる。排気ポート260は長さ262と幅264を有している。
プロセスパスは、シャワーヘッドポート230及びその中の第1のシャワーヘッドアセンブリの長さ232に沿って延び、アイソレータポート240及びその中のアイソレータアセンブリの長さ242に沿って延び、シャワーヘッドポート250及びその中の第2のシャワーヘッドアセンブリの長さ252に沿って延び、排気ポート260及びその中の排気アセンブリの長さ262に沿って延びている。また、プロセスパスは、シャワーヘッドポート230及びその中の第1のシャワーヘッドアセンブリの幅234に対し、アイソレータポート240及びその中のアイソレータアセンブリの幅244に対し、シャワーヘッドポート250及びその中の第2のシャワーヘッドアセンブリの幅254に対し、排気ポート260及びその中の排気のアセンブリの幅264に対し、それぞれ垂直又は実質的に垂直に延びている。
いくつかの例では、第1のシャワーヘッドアセンブリ700、アイソレータアセンブリ500、第2のシャワーヘッドアセンブリ700及び排気アセンブリ800は、互いに連続して、蓋サポートの長さに沿って延在するプロセスパスに沿って配置されている。排気アセンブリ800と同様に、アイソレータアセンブリ500は、プロセスパスの幅と実質的に同一又はそれよりも大きい幅を有している。また、アイソレータアセンブリ500又は排気アセンブリ800は、それぞれ、第1及び第2のシャワーヘッドアセンブリ700の幅と実質的に同一又はそれよりも大きい幅を有している。
一実施形態では、シャワーヘッドアセンブリ700はそれぞれ正方形の形状を有し、アイソレータアセンブリ500と排気アセンブリ800は正方形の形状を有している。一例では、アイソレータポート240の幅244及びアイソレータアセンブリ500の幅は、チャンバの内部の幅を横切って延在することができる。別の例では、排気ポート260の幅264と排気アセンブリ800の幅は、チャンバの内部の幅を横切って延在することができる。
いくつかの実施形態では、シャワーヘッドポート230の幅234、シャワーヘッドポート250の幅254、及び、各シャワーヘッドアセンブリ700の幅は、それぞれ、約3インチ乃至約9インチ、好ましくは約5インチ乃至約7インチの範囲内、例えば約6インチである。また、シャワーヘッドポート230の長さ232、シャワーヘッドポート250の長さ252、及び、各シャワーヘッドアセンブリ700の長さは、それぞれ、約3インチ乃至約9インチ、好ましくは約5インチ乃至約7インチの範囲内、例えば約6インチである。
他の実施形態では、アイソレータポート240の幅244及びアイソレータアセンブリ500の幅は、それぞれ、約3インチ乃至約12インチ、好ましくは約4インチ乃至約8インチ、更に好ましくは約5インチ乃至約6インチの範囲内である。また、アイソレータポート240の長さ242及びアイソレータアセンブリ500の長さは、それぞれ、約0.5インチ乃至約5インチ、好ましくは約1インチ乃至約4インチ、更に好ましくは、約1.5インチ乃至約2インチの範囲内である。
他の実施形態では、排気ポート260の幅264及び排気アセンブリ800の幅は、それぞれ、約3インチ乃至約12インチ、好ましくは約4インチ乃至約8インチ、更に好ましくは約5インチ乃至約6インチの範囲内である。また、排気ポート260の長さ262及び排気アセンブリ800の長さは、それぞれ、約0.5インチ乃至約5インチ、好ましくは約1インチ乃至約4インチ、更に好ましくは約1.5インチ乃至約2インチの範囲内である。
反応装置の蓋アセンブリ200は、熱調節システムの一部としての少なくとも1つの熱交換器と流体連通するとともに連結されていてもよい。いくつかの実施形態では、反応装置の蓋アセンブリ200は、2、3又はそれ以上の個数の熱交換器と流体連通するとともに連結されていてもよい。
反応装置の蓋アセンブリ200の熱制御システム190(図1F)は、図2Aに示すように、入口214a、216a及び218aと出口214b、216b及び218bとを含んでいる。入口と出口の各ペアは、反応装置の蓋アセンブリ200全体に延在する経路と連結されて流体連通する。出口214b、216b及び218bが、熱交換器180a−180cのような熱交換器に液体送り返す一方、入口214a、216a及び218aは、熱交換器から液体を受け取ることができる。いくつかの実施形態では、温度調節システム190は、約250℃乃至約350℃、好ましくは約275℃乃至325℃、更に好ましくは約290℃乃至310℃の範囲内、例えば約300℃の温度に、反応装置の本体アセンブリ102及び/又は反応装置の蓋アセンブリ200をそれぞれ維持するために、熱交換器180a−180cを利用する。
図2B−2Cは流体経路224、226及び228を示している。流体経路224は、熱交換器に連結されて流体連通する、入口214aと出口214bとの間に配置されている。流体経路224は、シャワーヘッドアセンブリ700と排気アセンブリ800との間に配置される。また、流体経路226は、入口216aと出口216bとの間に配置され、流体経路228は、それぞれが熱交換器に連結されて流体連通する、入口218aと出口218bとの間に配置される。流体経路226は、シャワーヘッドアセンブリ700とアイソレータアセンブリ500との間に配置され、流体経路228は、シャワーヘッドアセンブリ700とアイソレータアセンブリ500との間に配置される。
流体経路224は部分的に溝213とプレート223との間に形成される。同様に、流体経路226は部分的に溝215とプレート225との間に形成され、流体経路228は部分的に溝217とプレート227との間に形成される。溝213、215及び217は、蓋サポート210の下面208内に形成することができる。図2Dは、溝213、215及び217をそれぞれカバーするプレート223、225及び227を示している。
一実施形態では、蓋サポート210に隣同士に配置された第1のシャワーヘッドアセンブリ700及びアイソレータアセンブリ500、及び蓋サポート210に隣同士に配置された第2のシャワーヘッドアセンブリ700及び排気アセンブリ800を含む、蒸着用反応装置の蓋アセンブリ200が設けられ、アイソレータアセンブリ500は、第1及び第2のシャワーヘッドアセンブリ700の間に配置され、第2のシャワーヘッドアセンブリ700は、アイソレータアセンブリ500と排気アセンブリ800との間に配置される。
別の実施形態では、蓋サポート210に隣同士に配置された第1のシャワーヘッドアセンブリ700及びアイソレータアセンブリ500を有するチャンバステーション160と、蓋サポート210に隣同士に設けられた第2のシャワーヘッドアセンブリ700及び排気アセンブリ800を有するチャンバステーション162とを含む、蒸着用反応装置の蓋アセンブリ200が設けられ、アイソレータアセンブリ500は、第1及び第2シャワーヘッドアセンブリ700の間に配置され、第2のシャワーヘッドアセンブリ700は、アイソレータアセンブリ500及び排気アセンブリ800の間に配置される。
別の実施形態において、蓋サポート210に連続して直線的に隣同士に配置された、第1のシャワーヘッドアセンブリ700、アイソレータアセンブリ500、第2のシャワーヘッドアセンブリ700及び排気アセンブリ800を含む、蒸着用反応装置の蓋アセンブリ200が設けられ、アイソレータアセンブリ500は、第1及び第2のシャワーヘッドアセンブリ700の間に配置され、第2のシャワーヘッドアセンブリ700は、アイソレータアセンブリ500及び排気アセンブリ800の間に配置される。
別の実施形態において、蓋サポート210に連続して直線的に隣同士に配置された、第1のシャワーヘッドアセンブリ700、アイソレータアセンブリ500、第2のシャワーヘッドアセンブリ700及び排気アセンブリ800を含む、蒸着用反応装置の蓋アセンブリ200が設けられ、温度調節システム190が、少なくとも1つの液体又は液体経路、しばしば蓋サポート210の全体に延在する液体経路224、226及び228のような、2、3あるいはそれ以上の液体又は液体経路を有する。温度調節システム190は、更に、流体経路224、226及び228と流体連通するとともに連結された、入口214a、216a及び218aのような少なくとも1つの入口と、出口214b、216b及び218bのような少なくとも1つの出口と、を有する。入口214a、216a及び218aと出口214b、216b及び218bとの各々は、それぞれ、液体貯留装置、熱交換器又は熱交換器180a、180b及び180cなどの複数の熱交換器と流体連通するとともに連結される。一例では、液体貯留装置は、ソース水、アルコール、グリコール、グリコールエーテル、有機溶剤、又は、これらの混合物を含むことができる。
一例では、第1のシャワーヘッドアセンブリ700は、反応装置の蓋アセンブリ200を介して延在する温度調節システム190の2つの独立した流体経路の間に配置される。別の例では、第2のシャワーヘッドアセンブリ700は、反応装置の蓋アセンブリ200を介して延在する温度調節システム190の2つの独立した流体経路の間に配置される。別の例では、アイソレータアセンブリ500は、反応装置の蓋アセンブリ200を介して延在する温度調節システム190の2つの独立した流体経路の間に配置される。別の例では、排気アセンブリ800は、反応装置の蓋アセンブリ200を介して延在する温度調節システム190の2つの独立した流体経路の間に配置される。
別の実施形態では、蓋サポート210に隣同士に配置された、第1のシャワーヘッドアセンブリ700及びアイソレータアセンブリ500とを有するチャンバステーション160と、蓋サポート210に隣同士に配置された、第2のシャワーヘッドアセンブリ700及び排気アセンブリ800とを有するチャンバステーション162とを含む、蒸着用反応装置の蓋アセンブリ200が設けられ、アイソレータアセンブリ500は、第2及び第2シャワーヘッドアセンブリ700と温度調節システム190との間に配置される。
一実施形態では、第1のシャワーヘッドアセンブリ700、アイソレータアセンブリ500、第2のシャワーヘッドアセンブリ700及び排気アセンブリ800は、蓋サポート210の長さに沿って連続して隣同士に配置されている。いくつかの実施形態において、アイソレータアセンブリ500は、第1又は第2のシャワーヘッドアセンブリ700よりも長い幅を持つことができる。他の実施形態では、アイソレータアセンブリ500は、第1又は第2のシャワーヘッドアセンブリ700よりも短い長さを有することができる。いくつかの実施形態では、排気アセンブリ800は、第1又は第2のシャワーヘッドアセンブリ700よりも長い幅を持つことができる。他の実施形態では、排気アセンブリ800は、第1又は第2のシャワーヘッドアセンブリ700よりも短い長さを有することができる。
いくつかの例では、第1のシャワーヘッドアセンブリ700、アイソレータアセンブリ500、第2のシャワーヘッドアセンブリ700及び排気アセンブリ800は、矩形の形状をそれぞれ持っている。他の例では、第1のシャワーヘッドアセンブリ700と第2のシャワーヘッドアセンブリ700は、正方形の形状を持っている。蓋サポート210は、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、それらの合金、又はそれらの組合せなどの材料を含むかそれらの材料から作られる。
実施形態は、アイソレータアセンブリ500又は第1又は第2のシャワーヘッドアセンブリ700の各々が、それぞれ、下部504又は704に配置された上部506又は706を含む本体502又は702と、本体502又は702の内面509又は709間に、上部506又は706及び下部504又は704を介して延び、本体502又は702を介して延在する中心軸501又は701に対し平行な、中央チャネル516又は716と、第1の複数の孔532又は732を有し、中央チャネル516又は716内に配置されている追加の拡散プレート530又は730と、を有している。アイソレータアセンブリ500又は第1又は第2のシャワーヘッドアセンブリ700は、それぞれ、第2の複数の孔542又は742を有し、中央チャネル516又は716内であって選択的に拡散プレート530又は730の下に配置された、上側チューブプレート540又は740、及び、第3の複数の孔552又は752を有し、中央チャネル516又は716内であって上側チューブプレート540又は740の下に配置された下側チューブプレート550又は750、を有している。どちらかのシャワーヘッドアセンブリ700又はアイソレータアセンブリ500は、それぞれ更に、上側チューブプレート540又は740から下側チューブプレート550又は750に延在する複数のガスチューブ580又は780を有しており、ガスチューブ580又は780のそれぞれは、第2の複数の孔542又は742からの個々の孔及び第3の複数の孔552又は752からの個々の孔と連結されて流体連通する。
別の実施形態では、排気アセンブリ800は、下部804上に配置された上部806を有する本体802と、上部806及び下部804を介して、本体802の内面809の間に、本体802を介して延在する中心軸801と平行に延在する、集中チャンネル816と、本体802の上部806上に配置した排気出口860と、第1の複数の孔832を有し、中央チャネル816内に配置された追加の拡散プレート830と、第2の複数の孔842を有し、中央チャネル816内であって選択的に拡散プレート830(もし存在すれば)の下に配置された、上側チューブプレート840と、第3の複数の孔852を有し、中央チャネル816内であって上側チューブプレート840の下に配置された、下側チューブプレート850と、を含んでいる。排気アセンブリ800は、更に、上側チューブプレート840から下側チューブプレート850に延在する複数の排気チューブ880を含んでおり、排気チューブ880の各々が、第2の複数の孔842の個々の孔及び第3の複数の孔852の個々の孔と連結されて流体連通する。
図4A−4Eは、本明細書に記載した一実施形態に係るウエハキャリアトラック400を示している。別の実施形態では、ウエハキャリアトラック400の下部セグメント412上に配置されたウエハキャリアトラック400の上部セグメント410を含む、反応装置100のような蒸着反応装置システム内のウエハキャリア480を浮上させるように、基板のサセプタを浮上させて横断させるためのウエハキャリアトラック400を設けている。ガスキャビティ430は、ウエハキャリアトラック400の上部セグメント410と下部セグメント412との間に形成されている。二つの側面416は、ウエハキャリアトラック400の上部セグメント410に沿って互いに平行に延びている。ガイドパス420は、2つの側面416の間で、上部セグメント410の上面418に沿って延びている。複数のガス孔438は、ガイドパス420内に配置され、上部セグメント410の上面418から、上部セグメント410を介して、ガスキャビティ430へと延びている。
別の実施形態では、上側ラップジョイント440はウエハキャリアトラック400の一端に配置されており、下側ラップジョイント450はウエハキャリアトラック400の反対側の端部に配置されており、上側ラップジョイント440は、ガイドパス420及び側面416の一部に沿って延びている。上側ラップジョイント440は、下部セグメント412よりも更に延在する下面442を有している。下側ラップジョイント450は、ウエハキャリアトラック400のガイドパス420及び側面416より更に延在する上面452を有している。
一般的に、ウエハキャリアトラック400の上部セグメント410及び/又は下部セグメント412は、それぞれ、石英を含むことができる。いくつかの例では、ウエハキャリアトラック400の下部セグメント412は石英板である。ウエハキャリアトラック400の上部セグメント410及び下部セグメント412は、一緒に融合させることができる。一具体例では、上部セグメント410及び下部セグメント412の両方は、石英を含んでおり、一緒に融合させてそれらの間にガスキャビティを形成する。ウエハキャリアトラック400の上部セグメント410及び/又は下部セグメント412に含まれる石英は通常透明であるが、いくつかの実施形態では、ウエハキャリアトラック400の一部が不透明な石英を含むこともある。
別の実施形態では、ガスポート434は、ウエハキャリアトラック400の側面402からガスキャビティ430内へと延びている。一例では、ガスポート434は、上部セグメント410を介して延びている。複数のガス孔438は、約10の孔乃至約50の孔、好ましくは約20の孔乃至約40の孔、の数である。ガス孔438のそれぞれは、約0.005インチ乃至約0.05インチ、好ましくは約0.01インチ乃至約0.03インチの範囲内の直径を有することができる。
他の実施形態では、ウエハキャリアトラックシステムは、図4D−4Eに示すように、端部と端部とを直列に配置した2つあるいはそれ以上のウエハキャリアトラック400を含むことができる。一実施形態では、第2のウエハキャリアトラック400の下側ラップジョイント450上に配置された第1のウエハキャリアトラック400の上側ラップジョイント440と、第1のウエハキャリアトラック400の上側ラップジョイント440と第2のウエハキャリアトラック400の下側ラップジョイント450との間に形成された排気ポートと、第2のウエハキャリアトラック400の下面上の第2のガイドパスと整列した第1のウエハキャリアトラック400の上面上の第1のガイドパスと、を含むウエハキャリアトラックシステムが設けられている。いくつかの例では、第2のウエハキャリアトラック400の上側ラップジョイント440は、第3のウエハキャリアトラック400(図示せず)の下側ラップジョイント450上に配置されることがある。
別の実施形態では、その内部に形成されたガスキャビティ430を有するウエハキャリアトラック400と、ウエハキャリアトラック400に沿って延在するガイドパス420と、ガイドパス420の内部であってウエハキャリアトラック400からガスキャビティ430内へと延在する複数のガス孔438と、ウエハキャリアトラック400の一端に配置された上側ラップジョイント440及びウエハキャリアトラック400の反対側の端部に配置された下側ラップジョイント450と、を含む、反応装置100などの蒸着反応装置システム内で浮上ウエハキャリア480を浮上させて横断するためのウエハキャリアトラック400が設けられており、上側ラップジョイント440はガイドパス420の一部を延ばし、下側ラップジョイント450はウエハキャリアトラック400のガイドパス420よりも更に延在する上面を有している。
少なくとも一つの側面は、ウエハキャリアトラック400上に配置され、ガイドパス420に沿ってその上に延在する。いくつかの例では、2つの側面416は、ウエハキャリアトラック400上に配置され、ガイドパス420に沿ってその上に延在する。ガイドパス420は、2つの側面416の間で延ばすことができる。一実施形態では、ウエハキャリアトラック400の上部セグメント410は、ウエハキャリアトラック400の下部セグメント412の上に配置することもできる。ウエハキャリアトラック400の上部セグメント410は、上面に沿って延在するガイドパス420を有することができる。ガスキャビティ430は、ウエハキャリアトラック400の上部セグメント410と下部セグメント412との間に形成することができる。いくつかの例では、ウエハキャリアトラック400の上部セグメント410及び下部セグメント412は一緒に融合させることができる。いくつかの実施形態では、ウエハキャリアトラック400は石英を含む。ウエハキャリアトラック400の上部セグメント410及び下部セグメント412は、それぞれ、石英を含むことができる。一例では、ウエハキャリアトラック400の下部セグメント412は石英板である。
他の実施形態では、ガスポート434は、ウエハキャリアトラック400の側面からガスキャビティ430へと延びている。ガスポート434は、ウエハキャリアトラック400の上面を介して、ガスキャビティ430内に、ウエハキャリアトラック400の上面上の複数のガス孔438から、浮上ガスを流すために利用される。複数のガス孔438は、約10の孔乃至約50の孔、好ましくは約20の孔乃至約40の孔、の数である。ガス孔438のそれぞれは、約0.005インチ乃至約0.05インチ、好ましくは約0.01インチ乃至約0.03インチの範囲内の直径を有することができる。
別の実施形態では、図12A−12Eは、蒸着又はエッチングのために使用される他の処理チャンバと同様に、本明細書に記載のCVD反応装置を含む各種の処理チャンバを介して基板を搬送するために使用することができる浮上ウエハキャリア480を示している。浮上ウエハキャリア480は、短辺471、長辺473、上面472及び下面474を有している。浮上ウエハキャリア480は矩形の形状で示されているが、また正方形の形状、円形の形状、又は他の形状を有することができる。浮上ウエハキャリア480は、グラファイトや他の材料を含むかそれらの材料から形成される。浮上ウエハキャリア480は、通常、長辺473がCVD反応装置の側面に対し向かい合う一方、CVD反応装置を介して前方に向かう短辺471へ移動する。
図12A−12Bは、本明細書に記載された一実施形態に係る浮上ウエハキャリア480を示している。図12Aは、上面472の3つの凹み475を含む浮上ウエハキャリア480の上面図を示している。ウエハ又は基板は、プロセス中CVD反応装置を介して転送させながら、凹み475内に位置決めされる。3つの凹み475で示されているが、上面472は、凹みが無い状態を含む、より多いあるいはより少ない凹みを有することができる。例えば、浮上ウエハキャリア480の上面472は、ウエハ又は基板を収容するために、0、1、2、3、4、5、6、7、8、9、10、12又はそれ以上の凹みを含むことができる。いくつかの例では、1つのウエハ/基板又は複数のウエハ/基板が、凹みを持っていない上面472上に直接配置される。
図12Bは、一実施形態で記載されているように、下面474上に凹み478を含む浮上ウエハキャリア480の底面図を示している。凹み478は、浮上ウエハキャリア480下でのガスクッションの導入により浮上ウエハキャリア480を浮揚させる助けとして使用される。ガス流量は、ガスクッションを形成するためにガスを蓄積する凹み478で方向付けられる。浮上ウエハキャリア480の下面474は、凹みがない場合もあり、又は1つの凹み478の場合(図12B)、2つの凹み478の場合(図12C−12E)、3つの凹み478の場合(図示せず)あるいはそれ以上の場合がある。凹み478のそれぞれは、直線状又はテーパ状の側面を有することができる。一例では、各凹み478は、側面476が角度の緩やかな変化を有する側面477より急勾配あるいはより急激に変化するような、テーパ状の側面を有している。凹み478内の側面477は、浮上ウエハキャリア480を横切る温度勾配を補正するために、テーパ状となっている。また、側面477は、ウエハキャリアトラック400に沿って浮上ウエハキャリア480を浮上させて移動/搬送させる一方、ガスポケットを形成して浮上ウエハキャリア480の下にガスポケットを維持するために、テーパ状又は斜めに形成されている。別の例では、凹み478は、側面476が直線状又は実質的に直線状であり、側面477がテーパ/角度を有するかあるいは側面477が直線状又は実質的に直線状であり、側面476がテーパ/角度を有するように、直線状又は実質的に直線状の側面及びテーパ状の側面を有する。また、凹み478は、側面476及び477が直線状又は実質的に直線状となるように、すべて直線状の側面とすることができる。
別の実施形態において、図12C−12Eは下面474に2つの凹み478を含む浮上ウエハキャリア480の底面図を示す。2つの凹み478は、浮上ウエハキャリア480下へのガスクッションの導入により、浮上ウエハキャリア480を浮揚させる助けとなる。ガス流量は、ガスクッションを形成するためにガスを蓄積する凹み478で方向付けられる。凹み478は直線状又はテーパ状の側面を有することができる。一例では、図10Eに示すように、凹み478は、側面476及び477が直線状、例えば、下面474の平面に対し垂直となるように、すべて直線状の側面を有する。別の例では、図10Fに示すように、凹み478は、側面476が、多くが角度の緩やかな変化を有する側面477より急勾配又はより急激であるように、すべてテーパ状の側面を有している。凹み478内の側面477は、浮上ウエハキャリア480を横切る温度勾配を補正するために、テーパ状となっている。また、凹み478は、側面476が直線状であり、側面477がテーパ状かあるいは側面477が直線状であり、側面476がテーパを有するように、直線状の側面とテーパ状の側面との組み合わせを有することもできる。
浮上ウエハキャリア480は、下面474から上面472及びその上に配置された任意の基板へと延在する、熱流束を含んでいる。熱流束は、処理システムの内部圧力と長さの両方によって制御される。浮上ウエハキャリア480のプロファイルは、他のソースからのヒートロスを補償するために、テーパ状となっている。プロセス中、短辺471及び長辺473のような浮上ウエハキャリア480のエッジ部を介して、熱が失われている。しかし、失われた熱は、浮上中のチャネルのギャップを減らすことにより、浮上ウエハキャリア480のエッジ部により多くの熱流束を許容することによって、補償することができる。
別の実施形態では、ウエハキャリアトラック400は、ガイドパス420上に配置された浮上ウエハキャリア480を含む。いくつかの例では、浮上ウエハキャリア480は下面内に配置された少なくとも1つの凹みポケットを有している。他の例では、浮上ウエハキャリア480は、下面内に配置された少なくとも2つの凹みポケットを有している。
図5A−5Dは、本明細書に記載された実施形態に従って、反応装置100のような、蒸着チャンバのためアイソレータアセンブリ500を示している。一実施形態において、アイソレータアセンブリ500は、上部506及び下部504を有する本体502と、本体502の上部506及び下部504を介して延在する中央チャネル516と、を含んでいる。上部506は上面507を含んでいる。中央チャネル516は、本体502の内面509の間であって本体502を介して延在する中心軸501に平行に、延びている。拡散プレート530は、複数のガス孔532を含み、中央チャネル516内に配置されている。一例では、拡散プレート530は、フランジ又は突起510上に配置されている。別の例では、アイソレータアセンブリ500は、その中に配置された拡散プレート530を含んでいない。
アイソレータアセンブリ500は、複数のガス孔542を有し、中央チャネル516内であって拡散プレート530の下に配置された、上側チューブプレート540を含んでいる。アイソレータアセンブリ500は、また、複数のガス孔552を有し、中央チャネル516内であって上側チューブプレート540の下に配置された、下側チューブプレート550を含んでいる。複数のガスチューブ580は、上側チューブプレート540から下側チューブプレート550へと延び、各チューブは、複数のガス孔542からの個々の孔及び複数のガス孔552からの個々の孔と連結して流体連通している。ガスチューブ580の各々は、ここに記載された多くの実施形態において、中心軸501に対してと同様に、互いに、平行又は実質的に平行に延びている。図示しない代替の実施形態では、ガスチューブ580の各々は、約1°乃至約15°又はそれ以上の範囲内の、中心軸501に対する所定の角度で延びている。
アイソレータアセンブリ500は、入口ポート522を通ってキャビティ538、548及び558に流路を提供することによって、パージガス、前駆体ガス、及び/又は、キャリアガスのようなガスを分散させるために使用される。キャビティ538は、中央チャネル516内において、上部プレート520と拡散プレート530との間に形成されている。キャビティ548は、中央チャネル516内において、拡散プレート530と上側チューブプレート540との間に形成されている。キャビティ558は、中央チャネル516内において、上側チューブプレート540と下側チューブプレート550との間に形成されている。
別の実施形態では、アイソレータアセンブリ500は、上部506及び下部504を含み、上部506が下部504上に延在するフランジを含む本体502と、本体502の上部506及び下部504を介して、本体502の内面509の間に、本体502を介して延在する中心軸501と平行に延在する中央チャネル516と、複数のガス孔532を含み、中央チャネル516内に配置された拡散プレート530と、複数のガス孔542を含み、中央チャネル516内であって拡散プレート530の下に配置された上側チューブプレート540と、複数のガス孔552を含み、中央チャネル516内であって上側チューブプレート540の下に配置された下側チューブプレート550と、上側チューブプレート540から下側チューブプレート550へと延び、各チューブが複数のガス孔542からの個々の孔及びガス孔552からの個々の孔と連結して流体連通する複数のガスチューブ580と、を含んでいる。
別の実施形態では、アイソレータアセンブリ500は、上部506及び下部504を含み、上部506が下部504を超えて本体502の中心軸501から隣接して延在するとともに、下部504が上部506を超えて本体502の中心軸501に対し平行に延在する本体502と、本体502の上部506及び下部504を介して、本体502の内面509の間に、中心軸501に平行に延在する中央チャネル516と、複数のガス孔532を含み、中央チャネル516内に配置された拡散プレート530と、複数のガス孔542を含み、中央チャネル516内であって拡散プレート530の下に配置された上側チューブプレート540と、複数のガス孔552を含み、中央チャネル516内であって上側チューブプレート540の下に配置された下側チューブプレート550と、上側チューブプレート540から下側チューブプレート550へと延び、各チューブが複数のガス孔542からの個々の孔及びガス孔552からの個々の孔と連結して流体連通する複数のガスチューブ580と、を含んでいる。
別の実施形態では、アイソレータアセンブリ500は、上部506及び下部504を含む本体502と、本体502の上部506及び下部504を介して、本体502の内面509の間に、本体502を介して延在する中心軸501に平行に延在する中央チャネル516と、複数のガス孔532を含み、中央チャネル516内に配置された拡散プレート530と、複数のガス孔542を含み、中央チャネル516内であって拡散プレート530の下に配置された上側チューブプレート540と、複数のガス孔552を含み、中央チャネル516内であって上側チューブプレート540の下に配置された下側チューブプレート550と、を含んでいる。
別の実施形態では、アイソレータアセンブリ500は、上部506及び下部504を含む本体502と、本体502の上部506及び下部504を介して、本体502の内面509の間に、本体502を介して延在する中心軸501に平行に延在する中央チャネル516と、複数のガス孔532を含み、中央チャネル516内であって拡散プレート530の下に配置された上側チューブプレート540と、複数のガス孔542を含み、中央チャネル516内であって上側チューブプレート540の下に配置された下側チューブプレート550と、上側チューブプレート540から下側チューブプレート550へと延び、各チューブが複数のガス孔532からの個々の孔及び複数のガス孔542からの個々の孔と連結して流体連通する複数のガスチューブ580と、を含んでいる。
いくつかの実施形態において、アイソレータアセンブリ500はモジュール式のシャワーヘッドアセンブリである。本体502の上部506及び下部504は、それぞれ、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、それらの合金、又はそれらの組み合わせなどの材料を含むことができる。一例では、本体502の上部506及び下部504は、それぞれ、ステンレス鋼又はその合金を含んでいる。
一実施形態において、アイソレータアセンブリ500は、本体502の上部506に配置されたガス導入口560を含んでいる。上部プレート520は本体502の上部506の上面に配置され、ガス導入口560はプレート上に配置される。プレートは、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組み合わせなどの材料を含むことができる。いくつかの例では、プレートは、そこを通って延在する入口ポート522を有している。ガス導入口560は、入口ポート522を介して延在する入口チューブ564を有している。入口ノズル562は入口チューブ564の一端に連結され、プレートの上方に配置される。別の例では、シャワーヘッド本体の上部506の上面は、中央チャネル516を包含する溝508を有している。Oリングは溝508内に配置されることがある。拡散プレート530は、中央チャネル516内において、本体502の側面から突出する突起やフランジに配置される。
一実施形態において、複数のガスチューブ580は、約500のチューブ乃至約1500のチューブ、好ましくは約700のチューブ乃至約1200のチューブ、より好ましくは約800のチューブ乃至約1000のチューブの範囲内、例えば約900の数のチューブを有することができる。いくつかの例において、それぞれのチューブは、約0.5cm乃至約2cm、好ましくは約0.8cm乃至約1.2cmの範囲内、例えば約1cmの長さを有することができる。他の例において、それぞれのチューブは、約0.005インチ乃至約0.05インチ、好ましくは約0.01インチ乃至約0.03インチの範囲内の直径を有することができる。いくつかの例では、チューブは皮下注射針である。チューブは、鋼、ステンレス鋼、300系のテンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組み合わせなどの材料を含むかそれらの材料から作製される。
一実施形態では、拡散プレート530上の複数のガス孔532のそれぞれの孔は、上側チューブプレート540上の複数のガス孔542のそれぞれの孔よりも大きな直径を有している。更に、拡散プレート530上の複数のガス孔532のそれぞれの孔は、下側の拡散プレート上の複数のガス孔552のそれぞれの孔よりも大きな直径を有している。また、上側チューブプレート540上の複数のガス孔542のそれぞれの孔は、下側チューブプレート550上の複数のガス孔552のそれぞれの孔と同じ又は実質的に同じ直径を有している。
一実施形態では、拡散プレート530は、鋼、ステンレス鋼、300系のテンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組合せなどの材料を含むかそれらの材料から作製される。拡散プレート530は、約20の孔乃至約200の孔、好ましくは約25の孔乃至約55の孔、より好ましくは約40の孔乃至約60の孔の範囲内の数の孔を含むことができる。拡散プレート530のそれぞれの孔は、約0.005インチ乃至約0.05インチ、好ましくは約0.01インチ乃至約0.03インチの範囲内の直径を有することができる。別の実施形態において、上側チューブプレート540及び/又は下側チューブプレート550は、それぞれ、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組合せなどの材料を含むかそれらの材料から作製される。上側チューブプレート540及び/又は下側チューブプレート550は、それぞれ、約500の孔乃至約1500の孔、好ましくは約700の孔乃至約1200の孔、より好ましくは約800の孔乃至約1000の孔を有している。上側チューブプレート540及び/又は下側チューブプレート550のそれぞれの孔は、それぞれ、約0.005インチ乃至約0.05インチ、好ましくは約0.01インチ乃至約0.03インチの範囲内の直径を有することができる。別の実施形態においては、アイソレータアセンブリ500は、約10孔/平方インチ(平方インチ毎の孔の数)乃至約60孔/平方インチ、好ましくは約15孔/平方インチ乃至約45孔/平方インチ、更に好ましくは約20孔/平方インチ乃至約36孔/平方インチの範囲内のチューブのガス孔密度及び/又は数を有している。
一例では、アイソレータアセンブリ500の本体502の上部506の上面は金属製のプレートである。他の例では、アイソレータアセンブリ500は、矩形の形状又は正方形の形状を持つことができる。別の実施形態においては、アイソレータアセンブリ500の本体502は、更に、温度調節システムを含んでいる。温度調節システム190などの温度調節システムは、本体502内に延在する流体経路518を含むことができ、また、流体経路518と連結された流体連通される入口514a及び出口514bを有することができる。入口514a及び出口514bは、それぞれ、図1Fに示されるように、液体貯留器又は温度調節システム190内の熱交換器180a、180b又は180cのような、少なくとも1つの熱交換器と連結されて流体連通している。
図6は、本明細書中の実施形態で記載したように、蒸着反応装置システム内のウエハキャリア又は基板サポートと同様に、ウエハ又は基板を加熱するために使用される、加熱ランプアセンブリ600を示している。一実施形態では、サポートベース602の上面606に配置され、第1のランプホルダ620a及び第2のランプホルダ620bを含むランプハウジング610と、第1のランプホルダ620aから第2のランプホルダ620bへ延在する複数のランプ624と、を含み、各ランプ624が分割フィラメント又は非分割フィラメントを有し、サポートベース602の上面606に配置されたリフレクタ650が、第1のランプホルダ620aと第2のランプホルダ620bとの間に配置されている、加熱ランプアセンブリ600が提供されている。
別の実施形態では、加熱ランプアセンブリ600は、サポートベース602の上面606上に配置され、第1のランプホルダ620a及び第2のランプホルダ620bを含むランプハウジング610と、第1のランプホルダ620aから第2のランプホルダ620bへと延在する第1の複数のランプ624であって、第1の複数のランプのそれぞれのランプが非分割フィラメントを有する第1の複数のランプ624と、第1のランプホルダ620aから第2のランプホルダ620bへと延在する第2の複数のランプ624であって、第2の複数のランプのそれぞれのランプが非分割フィラメントを有する第2の複数のランプ624と、第1のランプホルダ620aと第2のランプホルダ620bとの間でサポートベース602の上面606上に配置されたリフレクタ650と、を含んでいる。
別の実施形態では、加熱ランプアセンブリ600は、サポートベース602の上面606上に配置され、第1のランプホルダ620a及び第2のランプホルダ620bを含むランプハウジング610と、第1のランプホルダ620aから第2のランプホルダ620bへと延在する第1の複数のランプ624であって、第1の複数のランプのそれぞれのランプが分割フィラメントを有する第1の複数のランプ624と、第1のランプホルダ620aから第2のランプホルダ620bへと延在する第2の複数のランプ624であって、第2の複数のランプのそれぞれのランプが非分割フィラメントを有する第2の複数のランプ624と、を含むことができ、第1の複数のランプ624が、第1及び第2のランプホルダ間を延在する一方、第2の複数のランプ624間に順次又は交互に配置されている。また、リフレクタ650は、第1のランプホルダ620aと第2のランプホルダ620bとの間でサポートベース602の上面606上に配置することができる。
別の実施形態において、加熱ランプアセンブリ600は、サポートベース602の上面606上に配置され、第1のランプホルダ620a及び第2のランプホルダ620bを含むランプハウジング610と、第1のランプホルダ620aから第2のランプホルダ620bへと延在する複数のランプ624と、を含み、複数のランプ624は互いに順次又は交互に配置された第1グループのランプ及び第2グループのランプを含み、第1グループのランプのそれぞれのランプが分割フィラメントを含み、第2グループのランプのそれぞれのランプが非分割フィラメントを含み、リフレクタ650が第1のランプホルダ620aと第2のランプホルダ620bとの間でサポートベース602の上面606上に配置されている。
別の実施形態において、加熱ランプアセンブリ600は、サポートベース602の上面606上に配置され、第1のランプホルダ620a及び第2のランプホルダ620bを含むランプハウジング610と、第1のランプホルダ620a及び第2のランプホルダ620b上に配置された複数のポスト622と、第1のランプホルダ620aから第2のランプホルダ620bへと延在する複数のランプ624と、を含み、各ランプが分割フィラメント又は非分割フィラメントを有し、リフレクタ650が第1のランプホルダ620aと第2のランプホルダ620bとの間でサポートベース602の上面606上に配置されている。
別の実施形態において、加熱ランプアセンブリ600は、サポートベース602の上面606上に配置され、第1のランプホルダ620a及び第2のランプホルダ620bを含むランプハウジング610と、第1のランプホルダ620a及び第2のランプホルダ620b上に配置された複数のポスト622と、第1のランプホルダ620aから第2のランプホルダ620bへと延在する複数のランプ624と、を含み、各ランプが分割フィラメント又は非分割フィラメントを有し、各ランプが、第1のランプホルダ620a上の2つのポスト622間に配置された第1の端部及び第2のダンプホルダ620b上の2つのポスト622間に配置された第2の端部を有し、リフレクタ650が第1のランプホルダ620aと第2のランプホルダ620bとの間でサポートベース602の上面606上に配置されている。
別の実施形態において、加熱ランプアセンブリ600は、サポートベース602の上面606上に配置され、第1のランプホルダ620a及び第2のランプホルダ620bを含むランプハウジング610と、第1のランプホルダ620a及び第2のランプホルダ620b上に配置された複数のポスト622と、第1のランプホルダ620aから第2のランプホルダ620bへと延在する複数のランプ624と、を含み、各ランプが、第1のランプホルダ620a上の2つのポスト622間に配置された第1の端部及び第2のダンプホルダ620b上の2つのポスト622間に配置された第2の端部を有し、リフレクタ650が第1のランプホルダ620aと第2のランプホルダ620bとの間でサポートベース602の上面606上に配置されている。
別の実施形態において、加熱ランプアセンブリ600は、サポートベース602の上面606上に配置され、第1のランプホルダ620a及び第2のランプホルダ620bを含むランプハウジング610と、第1のランプホルダ620a及び第2のランプホルダ620b上に配置された複数のポスト622と、第1のランプホルダ620aから第2のランプホルダ620bへと延在する複数のランプ624と、第1のランプホルダ620aと第2のランプホルダ620bとの間でサポートベース602の上面606上に配置されているリフレクタ650と、を含んでいる。
別の実施形態において、サポートベース602の上面606上に配置され、第1のランプホルダ620a及び第2のランプホルダ620bを含むランプハウジング610と、第1のランプホルダ620aから第2のランプホルダ620bへと延在する複数のランプ624と、第1のランプホルダ620aと第2のランプホルダ620bとの間でサポートベース602の上面606上に配置されているリフレクタ650と、を含む、蒸着反応装置システムのために加熱ランプアセンブリ600が提供されている。
一実施形態において、加熱ランプアセンブリ600はリフレクタ650を含み、及び/又は、リフレクタ650の上面は、金、銀、銅、アルミニウム、ニッケル、クロム、それらの合金、又はそれらの組み合わせなどの反射性金属を含んでいる。多くの例において、リフレクタ650及び/又はリフレクタ650の上面は、金又は金合金を含んでいる。ウエハキャリアトラック400の下面は、加熱ランプアセンブリ600内のランプ624から放出され、リフレクタ650、リフレクタ650の上面、及び/又は、各ミラー652から反射された放射線に露出される。放出された放射線は、反応装置100内の、ウエハキャリアトラック400、浮上ウエハキャリア460及びウエハ90によって吸収される。ここ記載されるプロセスのいくつかの実施形態では、ウエハキャリアトラック400、浮上ウエハキャリア460、及び/又は、ウエハ90は、それぞれ、約250℃乃至約350℃、好ましくは約275℃乃至約325℃、更に好ましくは約290℃乃至約310℃の範囲内の温度、例えば約300℃の温度に、放射された照射線によって加熱される。
加熱ランプアセンブリ600は、サポートベース602の上面606に沿って延び、サポートベース602の上面606に垂直又は実質的に垂直である、少なくとも1つのミラー652を含むことができる。いくつかの例では、ミラー652は、蒸着されたあるいはその上に配置された反射コーティングを有する各ランプホルダ620a又は620bの内側面である。他の例では、ミラー652は、各ランプホルダ620a又は620bの内側面に装着あるいは接着された、予め製造されたあるいはモジュール形式のミラーまた反射材料であってもよい。少なくとも1つのミラー652は、一般的に、表面606の平面に対して約90°の角度でリフレクタ650に向かって直面するように位置決めされる。好ましくは、ここに記載された別の実施態様において、加熱ランプアセンブリ600は、サポートベース602の上面606に沿って延在する2つのミラー652を含んでいる。両方のミラーはサポートベース602の上面606に垂直又は実質的に垂直であり、両方のミラー652はそれらの間のリフレクタ650に向かって互いに直面するように位置決めされる。2つのミラー652のそれぞれは、表面606の平面に対し約90°の角度でリフレクタ650に向かって直面している。各ミラー及び/又は各ミラー652の上面は、金、銀、銅、アルミニウム、ニッケル、クロム、それらの合金、又はそれらの組み合わせなどの反射性金属を含んでいる。多くの例では、各ミラー652及び/又は各ミラー652の上面は、金又は金合金を含んでいる。
示されていない別の実施形態では、各ミラー652は、90°より大きい角度乃至約135°までの範囲内の角度のように、表面606の平面に対し90°よりも大きい角度でリフレクタ650から見て少し外側に向くよう位置決めされる。90°よりも大きい角度で位置決めされたミラー652は、ウエハキャリアトラック400、浮上ウエハキャリア460、又は、反応装置100内の他の部分又は表面に向かってエネルギィを導くために利用される。代替の実施形態では、加熱ランプアセンブリ600は、サポートベース602の上面606に沿って3つ以上のミラー652を含むことができる。
加熱ランプアセンブリ600内の複数のランプ624は、約10のランプ乃至約100のランプ、好ましくは約20のランプ乃至約50のランプ、より好ましくは約30のランプ乃至約40のランプの数とすることができる。一例では、加熱ランプアセンブリ600は、約34のランプを含んでいる。実施形態は、各ランプが、電源、独立したスイッチ及びコントローラと電気的に接続されるように提供する。コントローラは独立して各ランプへの電力を制御するために使用されることがある。
他の実施形態では、加熱ランプアセンブリ600内のサポートベース602と各ランプホルダの620a又は620bは、それぞれ、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデンな、アルミニウム、それらの合金又はそれらの組合せなどの材料を含むかそれらの材料から作製される。いくつかの例では、第1のランプホルダ620a又は第2のランプホルダ620bは、それぞれ、ステンレス鋼又はそれらの合金を含むかそれらの材料から作製される。第1のランプホルダ620a又は第2のランプホルダ620bは、それぞれ、約2000W/m−K乃至約3000W/m−K、好ましくは約2300W/m−K乃至約2700W/m−Kの範囲内の冷却効率を有することができる。一例では、冷却効率は約2500W/m−Kである。他の実施形態では、第1のランプホルダ620a及び第2のランプホルダ620bは、それぞれ、約0.001インチ乃至約0.1インチの範囲内の厚さを有している。
ここに記載された複数の実施形態に従って、図10Aは非分割フィラメントランプ670を示し、図10Bは分割フィラメントランプ680を示している。分割フィラメントランプ680はバルブ682と非スプリットフィラメント684を含む一方、非分割フィラメントランプ670はバルブ672と非スプリットフィラメント674を含んでいる。ここに実施形態を介して記載されているように、複数のランプ624は、一般的に、非分割フィラメントランプ670、分割フィラメントランプ680、又は、非分割フィラメントランプ670と分割フィラメントランプ680との混合物を含んでいる。
図11A−11Fは、実施形態に記載されているように、反応装置100などの蒸着反応装置内において、ウエハキャリアトラック400などのウエハキャリアトラック、浮上ウエハキャリアトラック480などのウエハキャリア又は基板サポート、及び/又は、ウエハ90などのウエハ又は基板、のヒートプロファイルを調整するために利用される、ランプ624などの異なる複数のランプを示している。一実施形態において、図11Aはすべての非分割フィラメントランプ670を含む複数のランプを示し、図11Bはすべての分割フィラメントランプ680を含む複数のランプを示している。別の実施形態において、図11Cは、非分割フィラメントランプ670及び分割フィラメントランプ680を順次又は交互に含む複数のランプを示している。他の実施形態において、図11Eは1つ置きの分割フィラメント680の間に非分割フィラメントランプ670を含む複数のランプを示す一方、図11Dは1つ置きの非分割フィラメントランプ670の間に分割フィラメントランプ680を含む複数のランプを示している。図11Fは、非分割フィラメントランプ670と分割フィラメントランプ680を順次又は交互に含む複数のランプを示しているが、各ランプは、図11A−11Eのランプよりもお互いが更に離れている。
他の実施形態において、加熱ランプアセンブリ600によって、反応装置100などの蒸着反応装置システム内において、浮上ウエハキャリア480などの基板又は基板サセプタを加熱するための方法が提供され、その方法は、加熱ランプアセンブリ600から放出されるエネルギィに基板サセプタの下面を露出させ、所定の温度に基板サセプタを加熱するステップを含み、加熱ランプアセンブリ600は、サポートベース602の上面606に配置され、少なくとも1つのランプホルダ620a又は620bを含む、ランプハウジング610と、少なくとも1つのランプホルダから延在する複数のランプ624と、ランプの下であって、ランプホルダと隣合わせに、サポートベース602の上面606に配置されたリフレクタ650と、を含んでいる。
方法の実施形態は、更に、加熱ランプアセンブリ600が、分割フィラメントランプ680、非分割フィラメント、又は、分割あるいは非分割フィラメントのいずれかを含むランプの混合体を含むこと、を提供する。一実施形態では、ランプの各々は分割フィラメントランプ680を有している。分割フィラメントランプ680は、第1の端部と第2の端部との間に中心を持つことができる。分割フィラメントランプ680の第1及び第2の端部は、分割フィラメントランプ680の中心よりも暖かく維持される。したがって、基板サセプタの外側エッジは、基板サセプタの中心点よりも暖かく維持される。
別の実施形態では、ランプの各々は非分割フィラメントランプ670を有している。非分割フィラメントランプ670は、第1の端部と第2の端部との間に中心を持つことができる。非分割フィラメントランプ670の中心は、非分割フィラメントランプ670の第1及び第2の端部よりも暖かく維持される。したがって、基板サセプタの中心点は、基板サセプタの外側エッジよりも暖かく維持される。
別の実施形態では、複数のランプ624は分割フィラメントランプと非分割フィラメントランプとを有している。一実施形態では、分割フィラメントランプ680と非分割フィラメントランプ670は、お互いの間に順次配置されている。各ランプは、それぞれ、電源及びコントローラに電気的に接続することができる。この方法は、更に、各ランプに流れる電気の量を調整することを含む。一例では、分割フィラメントランプ680は、第1の端部と第2の端部との間に中心を持つことができる。分割フィラメントランプ680の第1及び第2の端部は、分割フィラメントランプ680の中心よりも暖かく維持される。したがって、基板サセプタの外側のエッジは、基板サセプタの中心点よりも暖かく維持される。別の例では、非分割フィラメントランプ670は、第1の端部と第2の端部との間に中心を持つことができる。非分割フィラメントランプ670の中心は、非分割フィラメントランプ670の第1及び第2の端部よりも暖かく維持される。したがって、基板サセプタの中心点は、基板サセプタの外側エッジよりも暖かく維持される。
様々な実施形態において、方法は、基板のサセプタが基板キャリアやウエハキャリアとなることを提供する。ランプハウジング610は、第1のランプホルダ620a及び第2のランプホルダ620bを持つことができる。第1のランプホルダ620aと第2のランプホルダ620bは、互いに平行又は実質的に平行である。一例では、リフレクタ650は、第1のランプホルダ620a及び第2のランプホルダ620bとの間に配置される。第1のランプホルダ620aと第2のランプホルダ620bとは、それぞれが約0.001インチ乃至約0.1インチの範囲内の厚さを有している。ランプホルダの所定の厚さは、ランプホルダの一定の温度を維持する助けとなる。したがって、第1のランプホルダ620aと第2のランプホルダ620bは、それぞれ、約275℃乃至約375℃、好ましくは約300℃乃至350℃の範囲内の温度に維持される。
図7A−7Dは、ここに記載された実施形態に従って、反応装置100などの蒸着チャンバのためのシャワーヘッドアセンブリ700を示している。一実施形態では、シャワーヘッドアセンブリ700は、上部706と下部704とを有する本体702と、本体702の上部706及び下部704を通って延在する中央チャネル716と、を含んでいる。上部706は上面707を含んでいる。中央チャネル716は、本体702の内面709の間であって本体702を介して延在する中心軸701に対して平行に延びている。拡散プレート730は、複数のガス孔732を含み、中央チャネル716内に配置されている。一例では、拡散プレート730はフランジ又は突起710に配置されている。別の例では、シャワーヘッドアセンブリ700は、その中に配置された選択的な拡散プレート730を含んでいない。
シャワーヘッドアセンブリ700は、更に、複数のガス孔742を有し、拡散プレート730の下であって中央チャネル716内に配置された上側チューブプレート740を含んでいる。シャワーヘッドアセンブリ700は、また、複数のガス孔752を有し、上側チューブプレート740の下であって中央チャネル716内に配置された下側チューブプレート750を含んでいる。複数のガスチューブ780は、上側チューブプレート740から下側チューブプレート750へ延び、各チューブは、複数のガス孔742からの個々の孔及び複数のガス孔752からの個々の孔と連結されて流体連通する。ガスチューブ780のそれぞれは、ここに記載された多くの実施形態における中心軸701に対してと同様に、互いに平行又は実質的に平行に延びている。図示しない代替の実施形態では、ガスチューブ780のそれぞれは、約1°乃至約15°又はそれ以上の範囲内で、中心軸701に対して所定の角度で延在することができる。
シャワーヘッドアセンブリ700は、入口ポート722を通ってキャビティ738、748及び758に流路を提供することによって、パージガス、前駆体ガス、及び/又は、キャリアガスのようなガスを分散させるために使用される。キャビティ738は、中央チャネル716内において、上部プレート720と拡散プレート730との間に形成されている。キャビティ748は、中央チャネル716内において、拡散プレート730と上側チューブプレート740との間に形成されている。キャビティ758は、中央チャネル716内において、上側チューブプレート740と下側チューブプレート750との間に形成されている。
別の実施形態では、シャワーヘッドアセンブリ700は、上部706及び下部704を含み、上部706が下部704上に延在するフランジを含む本体702と、本体702の上部706及び下部704を介して、本体702の内面709の間に、本体702を介して延在する中心軸701と平行に延在する中央チャネル716と、複数のガス孔732を含み、中央チャネル716内に配置された拡散プレート730と、複数のガス孔742を含み、中央チャネル716内であって拡散プレート730の下に配置された上側チューブプレート740と、複数のガス孔752を含み、中央チャネル716内であって上側チューブプレート740の下に配置された下側チューブプレート750と、上側チューブプレート740から下側チューブプレート750へと延び、各チューブが複数のガス孔742からの個々の孔及びガス孔752からの個々の孔と連結して流体連通する複数のガスチューブ780と、を含んでいる。
別の実施形態では、シャワーヘッドアセンブリ700は、上部706及び下部704を含み、上部706が下部704を超えて本体702の中心軸701から隣接して延在するとともに、下部704が上部706を超えて中心軸701に対し平行に延在する本体702と、本体702の上部706及び下部704を介して、本体702の内面709の間に、中心軸701に平行に延在する中央チャネル716と、複数のガス孔732を含み、中央チャネル716内に配置された拡散プレート730と、複数のガス孔742を含み、中央チャネル716内であって拡散プレート730の下に配置された上側チューブプレート740と、複数のガス孔752を含み、中央チャネル716内であって上側チューブプレート740の下に配置された下側チューブプレート750と、上側チューブプレート740から下側チューブプレート750へと延び、各チューブが複数のガス孔742からの個々の孔及び複数のガス孔752からの個々の孔と連結して流体連通する複数のガスチューブ780と、を含んでいる。
別の実施形態では、シャワーヘッドアセンブリ700は、上部706及び下部704を含む本体702と、本体702の上部706及び下部704を介して、本体702の内面709の間に、本体702を介して延在する中心軸701に平行に延在する中央チャネル716と、複数のガス孔732を含み、中央チャネル716内に配置された拡散プレート730と、複数のガス孔742を含み、中央チャネル716内であって拡散プレート730の下に配置された上側チューブプレート740と、複数のガス孔752を含み、中央チャネル716内であって上側チューブプレート740の下に配置された下側チューブプレート750と、を含んでいる。
別の実施形態では、シャワーヘッドアセンブリ700は、上部706及び下部704を含む本体702と、本体702の上部706及び下部704を介して、本体702の内面709の間に、本体702を介して延在する中心軸701に平行に延在する中央チャネル716と、複数のガス孔732を含み、中央チャネル716であって拡散プレート730の下に配置された上側チューブプレート740と、複数のガス孔742を含み、中央チャネル716内であって上側チューブプレート740の下に配置された下側チューブプレート750と、上側チューブプレート740から下側チューブプレート750へと延び、各チューブが複数のガス孔732からの個々の孔及びガス孔742からの個々の孔と連結して流体連通する複数のガスチューブ780と、を含んでいる。
いくつかの実施形態において、シャワーヘッドアセンブリ700はモジュール式のシャワーヘッドアセンブリである。本体702の上部706及び下部704は、それぞれ、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、それらの合金、又はそれらの組み合わせなどの材料を含むことができる。一例では、本体702の上部706及び下部704は、それぞれ、ステンレス鋼又はその合金を含んでいる。
一実施形態において、シャワーヘッドアセンブリ700は、本体702の上部706に配置されたガス導入口760を含んでいる。上部プレート720は本体702の上部706の上面に配置され、ガス導入口760はプレート上に配置される。プレートは、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組み合わせなどの材料を含むことができる。いくつかの例では、プレートは、そこを通って延在する入口ポート722を有している。ガス導入口760は、入口ポート722を介して延在する入口チューブ764を有している。入口ノズル762は入口チューブ764の一端に連結され、プレートの上方に配置される。別の例では、シャワーヘッド本体の上部706の上面は、中央チャネル716を包含する溝708を有している。Oリングは溝708内に配置されることがある。拡散プレート730は、中央チャネル716内において、本体702の側面から突出する突起やフランジに配置される。
一実施形態において、複数のガスチューブ780は、約500のチューブ乃至約1500のチューブ、好ましくは約700のチューブ乃至約1200のチューブ、より好ましくは約800のチューブ乃至約1000のチューブの範囲内、例えば約900の数のチューブを有することができる。いくつかの例において、それぞれのチューブは、約0.5cm乃至約2cm、好ましくは約0.8cm乃至約1.2cmの範囲内、例えば約1cmの長さを有することができる。他の例において、それぞれのチューブは、約0.005インチ乃至約0.05インチ、好ましくは約0.01インチ乃至約0.03インチの範囲内の直径を有することができる。いくつかの例では、チューブは皮下注射針である。チューブは、鋼、ステンレス鋼、300系のテンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組み合わせなどの材料を含むかそれらの材料から作製される。
一実施形態では、拡散プレート730上の複数のガス孔732のそれぞれの孔は、上側チューブプレート740上の複数のガス孔742のそれぞれの孔よりも大きな直径を有している。更に、拡散プレート730上の複数のガス孔732のそれぞれの孔は、下側の拡散プレート上の複数のガス孔752のそれぞれの孔よりも大きな直径を有している。また、上側チューブプレート740上の複数のガス孔742のそれぞれの孔は、下側チューブプレート750上の複数のガス孔752のそれぞれの孔と同じ又は実質的に同じ直径を有している。
一実施形態では、拡散プレート730は、鋼、ステンレス鋼、300系のテンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組合せなどの材料を含むかそれらの材料から作製される。拡散プレート730は、約20の孔乃至約200の孔に、好ましくは約25の孔乃至約75の孔に、より好ましくは約40の孔乃至約60の孔の範囲内の数の孔を含むことができる。拡散プレート730のそれぞれの孔は、約0.005インチ乃至約0.05インチ、好ましくは約0.01インチ乃至約0.03インチの範囲内の直径を有することができる。別の実施形態においては上側チューブプレート740及び/又は下側チューブプレート750は、それぞれ、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組合せなどの材料を含むかそれらの材料から作製される。上側チューブプレート740及び/又は下側チューブプレート750は、それぞれ、約500の孔乃至約1500の孔、好ましくは約700の孔乃至約1200の孔、より好ましくは約800の孔乃至約1000の孔を有している。上側チューブプレート740及び/又は下側チューブプレート750のそれぞれの孔は、それぞれ、約0.005インチ乃至約0.05インチ、好ましくは約0.01インチ乃至約0.03インチの範囲内の直径を有することができる。別の実施形態においては、シャワーヘッドアセンブリ700は、約10孔/平方インチ(平方インチ毎の孔の数)乃至約60孔/平方インチ、好ましくは約15孔/平方インチ乃至約45孔/平方インチ、更に好ましくは約20孔/平方インチ乃至約36孔/平方インチの範囲内のチューブのガス孔密度及び/又は数を有している。
一例では、シャワーヘッドアセンブリ700の本体702の上部706の上面は金属製のプレートである。他の例では、シャワーヘッドアセンブリ700は、矩形の形状又は正方形の形状を持つことができる。別の実施形態においては、シャワーヘッドアセンブリ700の本体702は、更に、温度調節システムを含んでいる。温度調節システム190などの温度調節システムは、本体702内に延在する流体経路718を含むことができ、また、流体経路718と連結された流体連通される入口714a及び出口714bを有することができる。入口714a及び出口714bは、それぞれ、図1Fに示されるように、液体貯留器又は温度調節システム190内の熱交換器180a、180b又は180cのような、少なくとも1つの熱交換器と連結されて流体連通している。
図8A−8Dは、本明細書に記載された実施形態に従って、反応装置100のような、蒸着チャンバのため排気アセンブリ800を示している。一実施形態において、排気アセンブリ800は、上部806及び下部804を有する本体802と、本体802の上部806及び下部804を介して延在する中央チャネル816と、を含んでいる。上部806は上面807を含んでいる。中央チャネル816は、本体802の内面809の間であって本体802を介して延在する中心軸801に平行に、延びている。拡散プレート830は、複数のガス孔832を含み、中央チャネル816内に配置されている。一例では、拡散プレート830は、フランジ又は突起810上に配置されている。別の例では、排気アセンブリ800は、その中に配置された選択的な拡散プレート830を含んでいない。
排気アセンブリ800は、複数のガス孔842を有し、中央チャネル816内であって拡散プレート830の下に配置された、上側チューブプレート840を更に含んでいる。排気アセンブリ800は、また、複数のガス孔854を有し、中央チャネル816内であって上側チューブプレート840の下に配置された、下側チューブプレート850を含んでいる。複数の排気チューブ880は、上側チューブプレート840から下側チューブプレート850へと延び、各チューブは、複数のガス孔842からの個々の孔及び複数のガス孔854からの個々の孔と連結して流体連通している。排気チューブ880の各々は、ここに記載された多くの実施形態において、中心軸801に対してと同様に、互いに、平行又は実質的に平行に延びている。図示しない代替の実施形態では、排気チューブ880の各々は、約1°乃至約15°又はそれ以上の範囲内の、中心軸801に対する所定の角度で延びている。
排気アセンブリ800は、真空を引いて、排気ポート822及びキャビティ838、848及び858を介して内部圧力を減少する。キャビティ838は、中央チャネル816内において、上部プレート820と拡散プレート830との間に形成されている。キャビティ848は、中央チャネル816内において、拡散プレート830と上側チューブプレート840との間に形成されている。キャビティ858は、中央チャネル816内において、上側チューブプレート840と下側チューブプレート850との間に形成されている。
別の実施形態では、排気アセンブリ800は、上部806及び下部804を含み、上部806が下部804上に延在するフランジを含む本体802と、本体802の上部806及び下部804を介して、本体802の内面809の間に、本体802を介して延在する中心軸801と平行に延在する中央チャネル816と、複数のガス孔832を含み、中央チャネル816内に配置された拡散プレート830と、複数のガス孔842を含み、中央チャネル816内であって拡散プレート830の下に配置された上側チューブプレート840と、複数のガス孔854を含み、中央チャネル816内であって上側チューブプレート840の下に配置された下側チューブプレート850と、上側チューブプレート840から下側チューブプレート850へと延び、各チューブが複数のガス孔842からの個々の孔及びガス孔854からの個々の孔と連結して流体連通する複数のガスチューブ880と、を含んでいる。
別の実施形態では、排気アセンブリ800は、上部806及び下部804を含み、上部806が下部804を超えて本体802の中心軸801から隣接して延在するとともに、下部804が上部806を超えて本体802の中心軸801に対し平行に延在する本体802と、本体802の上部806及び下部804を介して、本体802の内面809の間に、中心軸801に平行に延在する中央チャネル816と、複数のガス孔832を含み、中央チャネル816内に配置された拡散プレート830と、複数のガス孔842を含み、中央チャネル816内であって拡散プレート830の下に配置された上側チューブプレート840と、複数のガス孔854を含み、中央チャネル816内であって上側チューブプレート840の下に配置された下側チューブプレート850と、上側チューブプレート840から下側チューブプレート850へと延び、各チューブが複数のガス孔842からの個々の孔及びガス孔854からの個々の孔と連結して流体連通する複数の排気チューブ880と、を含んでいる。
別の実施形態では、排気アセンブリ800は、上部806及び下部804を含む本体802と、本体802の上部806及び下部804を介して、本体802の内面809の間に、本体802を介して延在する中心軸801に平行に延在する中央チャネル816と、複数のガス孔832を含み、中央チャネル816内に配置された拡散プレート830と、複数のガス孔842を含み、中央チャネル816内であって拡散プレート830の下に配置された上側チューブプレート840と、複数のガス孔854を含み、中央チャネル816内であって上側チューブプレート840の下に配置された下側チューブプレート850と、を含んでいる。
別の実施形態では、排気アセンブリ800は、上部806及び下部804を含む本体802と、本体802の上部806及び下部804を介して、本体802の内面809の間に、本体802を介して延在する中心軸801に平行に延在する中央チャネル816と、複数のガス孔832を含み、中央チャネル816内であって拡散プレート830の下に配置された上側チューブプレート840と、複数のガス孔842を含み、中央チャネル816内であって上側チューブプレート840の下に配置された下側チューブプレート850と、上側チューブプレート840から下側チューブプレート850へと延び、各チューブが複数のガス孔832からの個々の孔及び複数のガス孔842からの個々の孔と連結して流体連通する複数のガスチューブ880と、を含んでいる。
いくつかの実施形態において、排気アセンブリ800はモジュール式のシャワーヘッドアセンブリである。本体802の上部806及び下部804は、それぞれ、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、それらの合金、又はそれらの組み合わせなどの材料を含むことができる。一例では、本体802の上部806及び下部804は、それぞれステンレス鋼又はその合金を含んでいる。
一実施形態において、排気アセンブリ800は、本体802の上部806に配置された排気出口860を含んでいる。上部プレート820は本体802の上部806の上面に配置され、排気出口860はプレート上に配置される。プレートは、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組み合わせなどの材料を含むことができる。いくつかの例では、プレートは、そこを通って延在する排気ポート822を有している。排気出口860は、排気ポート822を介して延在する排気出口チューブ864を有している。入口ノズル862は排気出口チューブ864の一端に連結され、プレートの上方に配置される。別の例では、シャワーヘッド本体の上部806の上面は、中央チャネル816を包含する溝808を有している。Oリングは溝808内に配置されることがある。拡散プレート830は、中央チャネル816内において、本体802の側面から突出する突起やフランジに配置される。
一実施形態において、複数のガスチューブ880は、約5のチューブ乃至約50のチューブ、好ましくは約7のチューブ乃至約30のチューブ、より好ましくは約10のチューブ乃至約20のチューブの範囲内、例えば約14の数のチューブを有することができる。いくつかの例において、それぞれのチューブは、約0.5cm乃至約2cm、好ましくは約0.8cm乃至約1.2cmの範囲内、例えば約1cmの長さを有することができる。他の例において、それぞれのチューブは、約0.1インチ乃至約0.4インチ、好ましくは約0.2インチ乃至約0.3インチの範囲内、例えば0.23インチの直径を有することができる。ある例では、排気アセンブリ800がチューブ及び孔の単一の列を含んでいる。
一実施形態において、複数のガスチューブ880は、約500のチューブ乃至約1500のチューブ、好ましくは約700のチューブ乃至約1200のチューブ、より好ましくは約800のチューブ乃至約1000のチューブの範囲内、例えば約900の数のチューブを有することができる。いくつかの例において、それぞれのチューブは、約0.5cm乃至約2cm、好ましくは約0.8cm乃至約1.2cmの範囲内、例えば約1cmの長さを有することができる。他の例において、それぞれのチューブは、約0.005インチ乃至約0.05インチ、好ましくは約0.01インチ乃至約0.03インチの範囲内の直径を有することができる。
いくつかの例では、チューブは皮下注射針である。チューブは、鋼、ステンレス鋼、300系のテンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組み合わせなどの材料を含むかそれらの材料から作製される。
一実施形態では、拡散プレート830上の複数のガス孔832のそれぞれの孔は、上側チューブプレート840上の複数のガス孔842のそれぞれの孔よりも大きな直径を有している。更に、拡散プレート830上の複数のガス孔832のそれぞれの孔は、下側の拡散プレート上の複数のガス孔854のそれぞれの孔よりも大きな直径を有している。また、上側チューブプレート840上の複数のガス孔842のそれぞれの孔は、下側チューブプレート850上の複数のガス孔854のそれぞれの孔と同じ又は実質的に同じ直径を有している。
一実施形態では、拡散プレート830は、鋼、ステンレス鋼、300系のテンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組合せなどの材料を含むかそれらの材料から作製される。別の実施形態において、拡散プレート830は、約5の孔乃至約50の孔、好ましくは約7の孔乃至約30の孔、より好ましくは約10の孔乃至約20の孔の範囲内の数の孔を含むことができる。拡散プレート830のそれぞれの孔は、約0.1インチ乃至約0.4インチ、好ましくは約0.2インチ乃至約0.3インチの範囲内、例えば0.23インチの直径を有することができる。一例において、拡散プレート830は孔の単一の列を含んでいる。別の実施形態において、拡散プレート830は、約20の孔乃至約200の孔、好ましくは約25の孔乃至約55の孔、より好ましくは約40の孔乃至約60の孔の範囲内の数の孔を含むことができる。拡散プレート830のそれぞれの孔は、約0.005インチ乃至約0.05インチ、好ましくは約0.01インチ乃至約0.03インチの範囲内の直径を有することができる。
別の実施形態において、上側チューブプレート840及び/又は下側チューブプレート850は、それぞれ、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組合せなどの材料を含むかそれらの材料から作製される。一実施形態において、上側チューブプレート840及び/又は下側チューブプレート850は、それぞれ、約5の孔乃至約50の孔、好ましくは約7の孔乃至約30の孔、より好ましくは約10の孔乃至約20の孔の範囲内、手問えば14の数の孔を有している。上側チューブプレート840及び/又は下側チューブプレート850のそれぞれの孔は、それぞれ、約0.1インチ乃至約0.4インチ、好ましくは約0.2インチ乃至約0.3インチの範囲内、例えば0.23インチの直径を有することができる。別の実施形態においては、排気アセンブリ800は、約5孔/平方インチ(平方インチ毎の孔の数)乃至約30孔/平方インチ、好ましくは約8孔/平方インチ乃至約25孔/平方インチ、更に好ましくは約10孔/平方インチ乃至約20孔/平方インチの範囲内のチューブのガス孔密度及び/又は数を有している。
別の実施形態において、上側チューブプレート840及び/又は下側チューブプレート850は、それぞれ、約500の孔乃至約1500の孔、好ましくは約700の孔乃至約1200の孔、より好ましくは約800の孔乃至約1000の孔を有している。上側チューブプレート840及び/又は下側チューブプレート850のそれぞれの孔は、それぞれ、約0.005インチ乃至約0.05インチ、好ましくは約0.01インチ乃至約0.03インチの範囲内の直径を有することができる。
一例では、アイソレータアセンブリ800の本体802の上部806の上面は金属製のプレートである。他の例では、排気アセンブリ800は、矩形の形状又は正方形の形状を持つことができる。別の実施形態においては、排気アセンブリ800の本体802は、更に、温度調節システムを含んでいる。温度調節システム190などの温度調節システムは、本体802内に延在する流体経路818を含むことができ、また、流体経路818と連結され流体連通される入口814a及び出口814bを有することができる。入口814a及び出口814bは、それぞれ、図1Fに示されるように、液体貯留器又は温度調節システム190内の熱交換器180a、180b又は180cのような、少なくとも1つの熱交換器と連結されて流体連通している。
別の実施形態では、蒸着チャンバ内で利用される排気アセンブリ800は、上部806及び下部804を含む本体802と、本体802の上部806及び下部804を介して、本体802の内面809の間に、本体802を介して延在する中心軸801に平行に延在する中央チャネル816と、本体802の上部806に配置された排気出口860と、複数のガス孔832を含み、中央チャネル816内に配置された拡散プレート830と、複数のガス孔842を含み、中央チャネル816内であって拡散プレート830の下に配置された上側チューブプレート840と、複数のガス孔852を含み、中央チャネル816内であって上側チューブプレート840の下に配置された下側チューブプレート850と、上側チューブプレート840から下側チューブプレート850へと延び、各チューブが複数のガス孔842からの個々の孔及びガス孔852からの個々の孔と連結して流体連通する複数の排気チューブ880と、を有している。
排気アセンブリ800は、本体802の上部806に配置された上部プレート820を含んでいる。排気出口860は上部プレート820に配置されている。上部プレート820は、鋼、ステンレス鋼、300系のステンレス鋼、鉄、ニッケル、クロム、モリブデン、アルミニウム、その合金、又はそれらの組み合わせなどの材料を含むかそれらの材料から作製することができる。上部プレート820は、通常、そこを通って延在する排気ポートを有している。排気出口860は、排気ポート822を介して延在する排気出口チューブ864を有している。一例において、排気ノズル862は排気出口チューブ864の一端に連結され、上部プレート820の上方に配置される。別の例では、排気アセンブリ本体の上部806の上面は、中央チャネル816を包含する溝808を有している。Oリングは溝808内に配置されることがある。拡散プレート830は、中央チャネル816内において、本体802の側面から突出する突起やフランジに配置される。
図9A−9Fは、本明細書の実施形態で記載したように、複数の反応装置1100a、1100b及び1100cを含む、反応装置システム1000、CVDシステムを示している。反応装置1100a、1100b及び1100cは、反応装置100と同じ反応装置であってもよいし、反応装置100の修正された派生例であってもよい。一実施形態では、反応装置1100aは、図9A−9Cに示すように、反応装置1100cに連結された応装置1100bに連結されている。反応装置1100aの一方の端部は、反応装置1100aの他方の端部がインターフェース1014で反応装置1100bの一方の端部と連結される一方、インターフェース1012で端部キャップ1050に連結されている。反応装置1100bの他方の端部は、反応装置1100cの他方の端部がインターフェース1016で端部プレート1002と連結される一方、インターフェース1016で反応装置1100cの一方の端部に連結されている。
図9D−9Fは、反応装置1100bと1100cとの間のインターフェース1018の部分の拡大図を示している。別の実施形態では、反応装置1100bは下側ラップジョイント1450を有するウエハキャリアトラック1400を含み、反応装置1100cは上側ラップジョイント1440を有するウエハキャリアトラックを含んでいる。
排気パージポート1080は、反応装置1100b内のウエハキャリアトラック1400と反応装置1100c内のウエハキャリアトラック1400との間に配置される。排気パージポート1080は、排気パージポート1080からウエハキャリアトラック1400へと延在する、経路1460と流体連通している。排気アセンブリ800と同様に、排気アセンブリ1058は、反応装置1100bの反応装置蓋アセンブリ上に配置される。排気アセンブリ1058は、排気パージポート1080からガスを除去するために使用される。排気アセンブリ1058は、排気出口1060、排気ノズル1062及び排気チューブ1064を含んでいる。
別の実施形態では、反応装置システム1000は、反応装置1100a、1100b及び1100cに加えて、追加の反応装置(図示せず)を含めることができる。一例では、第4の反応装置が反応装置システム1000に含まれている。別の例では、第5の反応装置が反応装置システム1000に含まれている。異なる構成と実施形態においては、反応装置システム1000は、1、2、3、4、5、6、7、8、9、10あるいはそれ以上の反応装置を有している。他の実施形態では、反応装置1100a、1100b及び1100c又は表示されていない他の反応装置は、各反応装置(図示せず)において、1、2、3、4又はそれ以上のシャワーヘッドアセンブリを含むことができる。
ここに記載された代替の実施形態において、反応装置1100a、1100b及び1100cの他の構成が提供されているが、図面に例示されていない。一実施形態では、反応装置1100a、1100b又は1100cのそれぞれは、2つのシャワーヘッドアセンブリによって分離された3つの排気アセンブリを含むことができ、反応装置の蓋アセンブリのいずれかが、連続して、第1の排気アセンブリ、第1のシャワーヘッドアセンブリ、第2の排気アセンブリ、第2のシャワーヘッドアセンブリ、及び、第3の排気アセンブリを含んでいる。別の実施形態では、反応装置1100a、1100b又は1100cのそれぞれは、2つのシャワーヘッドアセンブリによって分離された3つのアイソレータアセンブリを含むことができ、反応装置の蓋アセンブリが、連続して、第1のアイソレータアセンブリ、第1のシャワーヘッドアセンブリ、第2のアイソレータアセンブリ、第2のシャワーヘッドアセンブリ、及び、第3のアイソレータアセンブリを含んでいる。
別の実施形態において、反応装置1100a、1100b又は1100cのそれぞれは、2つのシャワーヘッドアセンブリによって分離された、2つのアイソレータアセンブリ及び1つの排気アセンブリを含むことができ、反応装置の蓋アセンブリのいずれかが、連続して、第1のアイソレータアセンブリ、第1のシャワーヘッドアセンブリ、第2のアイソレータアセンブリ、第2のシャワーヘッドアセンブリ、及び、第1の排気アセンブリを含むことができる。別の例では、反応装置の蓋アセンブリのいずれかが、連続して、第1のアイソレータアセンブリ、第1のシャワーヘッドアセンブリ、第1の排気アセンブリ、第2のシャワーヘッドアセンブリ、及び、第2のアイソレータアセンブリを含むことができる。別の例では、反応装置の蓋アセンブリのいずれかが、連続して、第1の排気アセンブリ、第1のシャワーヘッドアセンブリ、第1のアイソレータアセンブリ、第2のシャワーヘッドアセンブリ、及び、第2のアイソレータアセンブリを含むことができる。
別の実施形態において、反応装置1100a、1100b又は1100cのそれぞれは、2つのシャワーヘッドアセンブリによって分離された、2つの排気アセンブリ及び1つのアイソレータアセンブリを含めることができ、反応装置の蓋アセンブリのいずれかが、連続して、第1の排気アセンブリ、第1のシャワーヘッドアセンブリ、第2の排気アセンブリ、第2のシャワーヘッドアセンブリ、及び、第1のアイソレータアセンブリを含むことができる。別の例では、反応装置の蓋アセンブリのいずれかが、連続して、第1の排気アセンブリ、第1のシャワーヘッドアセンブリ、第1のアイソレータアセンブリ、第2のシャワーヘッドアセンブリ、及び、第2の排気アセンブリを含むことができる。別の例では、反応装置の蓋アセンブリのいずれかが、連続して、第1のアイソレータアセンブリ、第1のシャワーヘッドアセンブリ、第1の排気アセンブリ、第2のシャワーヘッドアセンブリ、及び、第2の排気アセンブリを含むことができる。
反応装置100、反応装置システム1000、及び、これらの反応装置の派生物は、本明細書の実施形態で記載されているように、ウエハ又は基板上に種々の材料を形成するために、CVD、MOCVD及び/又はエピタキシャル蒸着プロセスのさまざまな目的で使用される。一実施形態では、III族の少なくとも1つの元素(例えば、ホウ素、アルミニウム、ガリウム、又はインジウム)及びV族の少なくとも1つの元素(例えば、窒素、リン、ヒ素、又はアンチモン)を含む、III/V族材料は、ウエハ上に形成又は蒸着させることができる。蒸着材料の例として、窒化ガリウム、リン化インジウム、ガリウムリン化インジウム、ガリウムヒ素、アルミニウムガリウムヒ素、それらの派生物、それらの合金、それらのマルチレイヤ、又はそれらの組み合わせを含めることができる。ここに示されたいくつかの実施形態では、蒸着材料は、エピタキシャル材料かもしれない。蒸着された材料又はエピタキシャル材料は、1層を含むことはできるが、通常は複数の層が含まれている。いくつかの例では、エピタキシャル材料は、ガリウムヒ素を有する層とアルミニウムガリウムヒ素を有する別の層とを含んでいる。別の例では、エピタキシャル材料は、ガリウムヒ素のバッファ層、アルミニウムガリウムヒ素の不動態層、及び、ガリウムヒ素活性層を含んでいる。ガリウムヒ素のバッファ層が約100nm乃至約500nmの範囲内、例えば約300nmを有し、アルミニウムガリウムヒ素の不動態層は、約10nm乃至約50nmの範囲内、例えば30nmの厚さを有し、ガリウムヒ素活性層は、約500nm乃至約2000nmの範囲内、例えば約1000nmの厚さを有する。いくつかの例では、エピタキシャル材料は、更に、第2のアルミニウムガリウムヒ素の不動態層を含んでいる。
一実施形態では、反応装置100又は反応装置システム1000に使用されるプロセスガスは、アルシン、アルゴン、ヘリウム、窒素、水素、又はそれらの混合物を含むことができる。一例では、プロセスガスは、アルシンなどのヒ素の前駆体を含む。他の実施形態では、第1の前駆体は、アルミニウムの前駆体、ガリウム前駆体、インジウムの前駆体、又はそれらの組み合わせを含めることができ、そして第2の前駆体は、窒素の前駆体、リンの前駆体、ヒ素の前駆体、アンチモンの前駆体又はそれらの組み合わせを含めることができる。
一実施形態では、CVD反応装置は、入口と出口における反応装置のトラックに沿って基板を浮かせるために、反応装置に窒素を供給するように構成することができる。水素/アルシン混合物は、また、出口と入口との間にCVD反応装置のトラックに沿って基板を浮かせるために使用することができる。トラックに沿ってのステージは、入口の窒素分離ゾーン、予熱排気、水素/アルシン混合予熱分離ゾーン、ガリウムヒ素の蒸着ゾーン、ガリウムヒ素の排出、アルミニウムガリウムヒ素の蒸着ゾーン、ガリウムヒ素N層蒸着ゾーン、ガリウムヒ素P層蒸着ゾーン、リンの水素アルシン分離ゾーンは、第1のリン、アルミニウムガリウムヒ素の蒸着ゾーン、リンアルミニウムガリウムヒ素の排気、第2のリンアルミニウムガリウムヒ素の蒸着ゾーン、水素/アルシン混合物クールダウン分離ゾーン、クールダウン排気、そして出口の窒素分離ゾーン、を含んでいる。反応装置を通過する基板の温度は、入口の分離ゾーンを通過する間に増加することができ、ゾーンを通過しながら維持することができ、又は、アルシンクールダウン分離ゾーンに近づいている間減少させることができる。
別の実施形態において、CVD反応装置は、入口と出口における反応装置のトラックに沿って基板を浮かせるために、反応装置に窒素を供給するように構成することができる。水素/アルシン混合物は、また、出口と入口との間にCVD反応装置のトラックに沿って基板を浮かせるために使用することができる。トラックに沿ってのステージは、入り口の窒素分離ゾーン、予熱排気、水素/アルシン混合予熱分離ゾーン、排気、蒸着ゾーン、排気、水素/アルシン混合物クールダウン分離ゾーン、クールダウン排気、及び出口窒素分離ゾーンを、含むことができる。反応装置システムを通過する基板の温度は、入り口の分離ゾーンを通過する間に増加したり、蒸着ゾーンを通過しながら維持したり、又は、アルシンクールダウン分離ゾーンに近づいている間に減少させることができる。
別の実施形態において、CVD反応装置は、入口と出口における反応装置のトラックに沿って基板を浮かせるために、反応装置に窒素を供給するように構成することができる。水素/アルシン混合物は、また、出口と入口との間にCVD反応装置のトラックに沿って基板を浮かせるために使用することができる。トラックに沿ってのステージは、入り口の窒素分離ゾーン、流れバランスの制御を備える予熱、活性水素/アルシン混合物の分離ゾーン、ガリウムヒ素の蒸着ゾーン、アルミニウムガリウムヒ素の蒸着ゾーン、ガリウムイソヒ素N層の蒸着ゾーン、ガリウムヒ素P層の蒸着ゾーン、リンアルミニウムガリウムヒ素の蒸着ゾーン、クールダウン排気、そして出口の窒素分離ゾーンを含むことができる。反応装置を通過する基板の温度は、入口分離ゾーンを通過する間に増加したり、蒸着ゾーンを通過しながら維持したり、又は、クールダウンの排気に近づいている間に減少させることができる。
別の実施形態において、CVD反応装置は、入口と出口における反応装置のトラックに沿って基板を浮かせるために、反応装置に窒素を供給するように構成することができる。水素/アルシン混合物は、また、出口と入口との間にCVD反応装置のトラックに沿って基板を浮かせるために使用することができる。トラックに沿ってのステージは、入り口の窒素分離ゾーン、流れバランスの制御を備える予熱、ガリウムヒ素の蒸着ゾーン、アルミニウムガリウムヒ素の蒸着ゾーン、ガリウムヒ素N層の蒸着ゾーン、ガリウムヒ素P層の蒸着ゾーン、リンアルミニウムガリウムヒ素の蒸着ゾーン、流れバランスの制御を備える予熱、流れバランスの制御を備えるクールダウン排気、そして出口の窒素分離ゾーンを含むことができる。反応装置を通過する基板の温度は、入口分離ゾーンを通過する間に増加したり、蒸着ゾーンを通過しながら維持したり、又は、クールダウンの排気に近づいている間に減少させることができる。
図17は第7の構成800を示している。CVD反応装置は、入口と出口における反応装置のトラックに沿って基板を浮かせるために、反応装置に窒素を供給するように構成することができる。水素/アルシン混合物は、また、出口と入口との間にCVD反応装置のトラックに沿って基板を浮かせるために使用することができる。トラックに沿ってのステージは、入り口の窒素分ゾーン、予熱排気、蒸着ゾーン、クールダウン排気、そして出口の窒素分離ゾーンを含むことができる。反応装置を通過する基板の温度は、入口分離ゾーンを通過する間に増加したり、蒸着ゾーンを通過しながら維持したり、又は、クールダウンの排気に近づいている間に減少させることができる。
一実施形態では、CVD反応装置は、アルミニウムヒ素材料を含む横方向成長犠牲層をエピタキシャルに成長させることと同様に、ガリウムヒ素材料とアルミニウムガリウムヒ素材料を含むダブルヘテロ構造をエピタキシャルに成長させるように構成することができる。いくつかの例では、ガリウムヒ素、アルミニウムガリウムヒ素、及び、アルミニウムヒ素は、約1μm/分の速度で蒸着させることができる。いくつかの実施形態では、CVD反応装置は毎分約6枚乃至毎分約10枚のスループットを持つことができる。
実施形態では、CVD反応装置は1分あたり10cm×10cmの基板の蒸着速度を提供するように構成することができる。一実施形態では、CVD反応装置は、300nmのガリウムヒ素のバッファ層を提供するように構成することができる。一実施形態では、CVD反応装置は、30nmのアルミニウムガリウムヒ素の不動態化層を提供するように構成することができる。一実施形態では、CVD反応装置は、1000nmのガリウムヒ素活性層を提供するように構成することができる。一実施形態では、CVD反応装置は、30nmのアルミニウムガリウムヒ素の不動態層を提供するように構成することができる。一実施形態では、CVD反応装置は、1平方センチメートルあたり1×10未満の転位密度、99%の発光効率、及び、250ナノ秒の光ルミネセンスの寿命を提供するように構成することができる。
一実施形態において、CVD反応装置は、5nm±0.5nmの厚さを有するエピタキシャル横方向成長層;1×10より大きいエッチング;ゼロピンホール;及び、1時間あたり0.2mmより大きいアルミニウムヒ素エッチング速度を提供するよう構成することができる。
一実施形態において、CVD反応装置は、300℃以上の温度に対し10℃以下のエッジ温度の不均一性の中心軸;5以下のV−III比;及び、700℃の最大温度;を提供するよう構成することができる。
一実施形態において、CVD反応装置は、300nmのガリウムヒ素バッファ層を有する蒸着層;5nmのアルミニウムヒ素犠牲層;10nmのアルミニウムガリウムヒ素のウィンドー層;700nmのガリウムヒ素1×1017Si活性層;300nmのアルミニウムガリウムヒ素1×1019CP+層;を提供するよう構成することができる。
一実施形態において、CVD反応装置は、300nmのガリウムヒ素バッファ層を有する蒸着層;5nmのアルミニウムヒ素犠牲層;10nmのガリウムインジウムリンウィンドー層;700nmのガリウムヒ素1×1019Si活性層;100nmのガリウムヒ素CP層;300nmのガリウムインジウムリンPウィンドー層;20nmのガリウムインジウムリン1×1029P+トンネルジャンクソン層;20nmのガリウムインジウムリン1×1020N+トンネルジャンクション層;30nmのアルミニウムガリウムヒ素ウィンドー;400nmのガリウムインジウムリンN活性層;100nmのガリウムインジウムリンP活性層;30nmのアルミニウムガリウムヒ素Pウィンドー;300nmのガリウムヒ素P+コンタクト層、を有する蒸着層を提供するよう構成することができる。
上述した記載は本発明の実施形態に向けられているが、本発明の他の更なる実施形態は、基本的な範囲から逸脱することなく考案されることが可能で、その範囲は特許請求の範囲によって決定される。

Claims (61)

  1. 化学蒸着反応装置であって:
    反応装置本体上に配置された反応装置の蓋アセンブリを備え、当該反応装置の蓋アセンブリが:
    蓋サポートに隣同士に配置された第1のシャワーヘッドアセンブリ及びアイソレータアセンブリを備える第1のチャンバであって、前記第1のシャワーヘッドアセンブリが、更に:
    上部及び下部を備える本体と;
    前記本体の上部及び下部を介して、前記本体の内面の間に、前記本体を通して延在する中心軸に対して平行に延在する中央チャネルと;
    第1の複数の孔を備え、前記中央チャネル内に配置された選択的拡散プレートと;
    第2の複数の孔を備え、前記中央チャネル内であって前記選択的拡散プレートの下に配置された上側のチューブプレートと;
    第3の複数の孔を備え、前記中央チャネル内であって前記上側のチューブプレートの下に配置された下側のチューブプレート;及び
    前記上側のチューブプレートから前記下側のチューブプレートへ延び、各チューブが、前記第2の複数の孔からの個々の孔及び前記第3の複数の孔からの個々の孔と連結して流体連通する複数のチューブ;とを備える第1のチャンバ、及び
    前記蓋サポートに隣同士に配置された第2のシャワーヘッドアセンブリ及び排気アセンブリを備え、前記アイソレータアセンブリが前記第1及び第2のシャワーヘッドアセンブリとの間に配置され、前記第2のシャワーヘッドアセンブリが前記アイソレータアセンブリ及び前記排気アセンブリとの間に配置された第2のチャンバと;
    を備えることを特徴とする、化学蒸着反応装置。
  2. 前記反応装置本体が更に、ウエハキャリアトラック上に配置されたウエハキャリアを備え、当該ウエハキャリアトラックが石英を備える、請求項1に記載の化学蒸着反応装置。
  3. 前記ウエハキャリアが、浮上ウエハキャリアトラック上に配置された浮上ウエハキャリアである、請求項2に記載の化学蒸着反応装置。
  4. 前記浮上ウエハキャリアトラックが、前記浮上ウエハキャリアの下面内に配置された少なくとも1つの凹みポケットにガスを流すよう構成されている、請求項3に記載の化学蒸着反応装置。
  5. 前記反応装置本体が、更に、前記ウエハキャリアトラックの下に配置した複数のランプを備えるランプアセンブリを備える、請求項1に記載の化学蒸着反応装置。
  6. 前記ランプアセンブリが、更に、前記複数のランプの下に配置されたリフレクタを備える、請求項5に記載の化学蒸着反応装置。
  7. 前記リフレクタが金又は金の合金を備える、請求項6に記載の化学蒸着反応装置。
  8. 前記反応装置の本体の一方の端部に配置された第1のフェースプレートであって、前記第1のシャワーヘッドアセンブリが前記第1のフェースプレートと前記アイソレータアセンブリとの間に配置された第1のフェースプレート;及び
    前記反応装置の本体の他方の端部に配置された第2のフェースプレートであって、前記排気アセンブリが前記第2のシャワーヘッドアセンブリと前記第2のフェースプレートとの間に配置された第2のフェースプレート;
    を、更に備える、請求項1に記載の化学蒸着反応装置。
  9. 更に温度調節システムを備え、温度調節システムが:
    前記反応装置の蓋全体を通って延び、前記第1の流体経路と連結されて流体連通する第1の入口及び第1の出口を含む第1の流体経路;及び
    前記反応装置の本体全体を通って延び、前記第2の流体経路と連結されて流体連通する第2の入口及び第2の出口を含む第2の流体経路;
    を備える、請求項1に記載の化学蒸着反応装置。
  10. 前記第1のシャワーヘッドアセンブリ又は前記第2のシャワーヘッドアセンブリがモジュール式のシャワーヘッドアセンブリである、請求項1に記載の化学蒸着反応装置。
  11. 前記アイソレータアセンブリ又は前記排気アセンブリがモジュール式のアイソレータアセンブリである、請求項1に記載の化学蒸着反応装置。
  12. 化学蒸着反応装置であって:
    反応装置本体上に配置された反応装置の蓋アセンブリを備え、
    前記反応装置の蓋アセンブリが、蓋サポートに隣同士に連続して直線状に配置された、第1のシャワーヘッドアセンブリ、アイソレータアセンブリ、第2のシャワーヘッドアセンブリ及び排気アセンブリを備え、前記第1のシャワーヘッドアセンブリが、更に:
    上部及び下部を備える本体と;
    前記本体の上部及び下部を介して、前記本体の内面の間に、前記本体を通して延在する中心軸に対して平行に延在する中央チャネルと;
    第1の複数の孔を備え、前記中央チャネル内に配置された選択的拡散プレートと;
    第2の複数の孔を備え、前記中央チャネル内であって前記選択的拡散プレートの下に配置された上側のチューブプレートと;
    第3の複数の孔を備え、前記中央チャネル内であって前記上側のチューブプレートの下に配置された下側のチューブプレート;及び
    前記上側のチューブプレートから前記下側のチューブプレートへ延び、各チューブが、前記第2の複数の孔からの個々の孔及び前記第3の複数の孔からの個々の孔と連結して流体連通する複数のチューブ;とを備え、
    前記反応装置本体が、浮上ウエハキャリアトラック上に配置された浮上ウエハキャリアと、複数のランプを備えるとともに前記ウエハキャリアトラックの下に配置されたランプアセンブリと、を備えることを特徴とする、化学蒸着反応装置。
  13. 前記反応装置の本体の一方の端部に配置された第1のフェースプレートであって、前記第1のシャワーヘッドアセンブリが当該第1のフェースプレートと前記アイソレータアセンブリとの間に配置された第1のフェースプレート;及び
    前記反応装置の本体の他方の端部に配置された第2のフェースプレートであって、前記排気アセンブリが前記第2のシャワーヘッドアセンブリと当該第2のフェースプレートとの間に配置された第2のフェースプレート;
    を、更に備える、請求項12に記載の化学蒸着反応装置。
  14. 化学蒸着反応装置が更に温度調節システムを備え、温度調節システムが:
    前記反応装置の蓋全体を通って延び、前記第1の流体経路と連結されて流体連通する第1の入口及び第1の出口を含む第1の流体経路;及び
    前記反応装置の本体全体を通って延び、前記第2の流体経路と連結されて流体連通する第2の入口及び第2の出口を含む第2の流体経路;
    を備える、請求項12に記載の化学蒸着反応装置。
  15. 前記第1のシャワーヘッドアセンブリ又は前記第2のシャワーヘッドアセンブリがモジュール式のシャワーヘッドアセンブリである、請求項12に記載の化学蒸着反応装置。
  16. 前記アイソレータアセンブリ又は前記排気アセンブリがモジュール式のアイソレータアセンブリである、請求項12に記載の化学蒸着反応装置。
  17. 前記ランプアセンブリが、更に、前記複数のランプの下に配置されたリフレクタを備える、請求項12に記載の化学蒸着反応装置。
  18. 前記リフレクタが金又は金の合金を備える、請求項17に記載の化学蒸着反応装置。
  19. 前記浮上ウエハキャリアトラックが、前記浮上ウエハキャリアの下面内に配置された少なくとも1つの凹みポケットにガスを流すよう構成されている、請求項12に記載の化学蒸着反応装置。
  20. 化学蒸着反応装置であって:
    反応装置本体上に配置された反応装置の蓋アセンブリを備え、当該反応装置の蓋アセンブリが:
    蓋サポートに隣同士に配置された第1のシャワーヘッドアセンブリ及びアイソレータアセンブリを備える第1のチャンバであって、前記第1のシャワーヘッドアセンブリが、更に:
    上部及び下部を備える本体と;
    前記本体の上部及び下部を介して、前記本体の内面の間に、前記本体を通して延在する中心軸に対して平行に延在する中央チャネルと;
    第1の複数の孔を備え、前記中央チャネル内に配置された選択的拡散プレートと;
    第2の複数の孔を備え、前記中央チャネル内であって前記選択的拡散プレートの下に配置された上側のチューブプレートと;
    第3の複数の孔を備え、前記中央チャネル内であって前記上側のチューブプレートの下に配置された下側のチューブプレート;及び
    前記上側のチューブプレートから前記下側のチューブプレートへ延び、各チューブが、前記第2の複数の孔からの個々の孔及び前記第3の複数の孔からの個々の孔と連結して流体連通する複数のチューブ;とを備える第1のチャンバと、
    前記蓋サポートに隣同士に配置された第2のシャワーヘッドアセンブリ及び排気アセンブリを備え、前記アイソレータアセンブリが前記第1及び第2のシャワーヘッドアセンブリとの間に配置された第2のチャンバ;及び
    前記反応装置の蓋サポート全体を通って延在する少なくとも1つの流体経路と、前記流体流路と連結されて流体連通する少なくとも1つの第1の入口及び少なくとも1つの第1の出口と、を備える温度制御システム;
    を備えることを特徴とする、化学蒸着反応装置。
  21. 前記反応装置本体が、更に、ウエハキャリアトラック上に配置されたウエハキャリアを備え、前記ウエハキャリアトラックが石英を備える、請求項20に記載の化学蒸着反応装置。
  22. 前記ウエハキャリアが、浮上ウエハキャリアトラック上に配置された浮上ウエハキャリアである、請求項21に記載の化学蒸着反応装置。
  23. 前記浮上ウエハキャリアトラックが、前記浮上ウエハキャリアの下面内に配置された少なくとも1つの凹みポケットにガスを流すよう構成されている、請求項22に記載の化学蒸着反応装置。
  24. 前記反応装置本体が、更に、前記ウエハキャリアトラックの下に配置した複数のランプを備えるランプアセンブリを備える、請求項20に記載の化学蒸着反応装置。
  25. 前記ランプアセンブリが、更に、前記複数のランプの下に配置されたリフレクタを備える、請求項24に記載の化学蒸着反応装置。
  26. 前記リフレクタが金又は金の合金を備える、請求項25に記載の化学蒸着反応装置。
  27. 前記反応装置の本体の一方の端部に配置された第1のフェースプレートであって、前記第1のシャワーヘッドアセンブリが前記第1のフェースプレートと前記アイソレータアセンブリと前記の間に配置された第1のフェースプレート;及び
    前記反応装置の本体の他方の端部に配置された第2のフェースプレートであって、前記排気アセンブリが前記第2のシャワーヘッドアセンブリと前記第2のフェースプレートとの間に配置された第2のフェースプレート;
    を、更に備える、請求項20に記載の化学蒸着反応装置。
  28. 温度調節システムが:
    前記反応装置の蓋全体を通って延び、前記第1の流体経路と連結されて流体連通する第1の入口及び第1の出口を含む第1の流体経路;及び
    前記反応装置の本体全体を通って延び、前記第2の流体経路と連結されて流体連通する第2の入口及び第2の出口を含む第2の流体経路;
    を更に備える、請求項20に記載の化学蒸着反応装置。
  29. 前記第1のシャワーヘッドアセンブリ又は前記第2のシャワーヘッドアセンブリがモジュール式のシャワーヘッドアセンブリである、請求項20に記載の化学蒸着反応装置。
  30. 前記アイソレータアセンブリ又は前記排気アセンブリがモジュール式のアイソレータアセンブリである、請求項20に記載の化学蒸着反応装置。
  31. 蒸着反応装置内のウエハを処理するための方法であって:
    ランプアセンブリから放射された放射線にウエハキャリアトラックの下面を露出することによって、ウエハキャリアに配置された少なくとも1つのウエハを加熱し、前記ウエハキャリアが蒸着反応装置内の前記ウエハキャリアトラック上に配置されており;
    前記反応装置の蓋アセンブリを第1の温度に維持するとともに前記反応装置本体アセンブリを第2の温度に維持し、前記液体及び前記経路が温度調節システムと流体連通するように、前記蒸着反応装置の反応装置の蓋アセンブリ及び反応装置本体アセンブリを通して延在する経路を介して少なくとも1つの液体を流し;
    第1のシャワーヘッドアセンブリ及びアイソレータアセンブリを有する第1のチャンバを通って、前記ウエハキャリアトラックに沿って前記ウエハキャリアを横断し;
    第1の材料を蒸着する間前記第1のシャワーヘッドから流れるガス状前駆体の第1の混合物に前記ウエハを露出し;
    前記アイソレータアセンブリから流れるプロセスガスに前記ウエハを露出し;
    第2のシャワーヘッドアセンブリと排気アセンブリを有する第2のチャンバを介して前記ウエハキャリアトラックに沿って前記ウエハキャリアを横断し;
    第2の材料を蒸着する間前記第2のシャワーヘッドから流れるガス状前駆体の第2の混合物に前記ウエハを露出し;
    前記排気アセンブリを通して前記蒸着反応装置からガスを除去する;
    ことを特徴とする、方法。
  32. 前記第1の温度及び前記第2の温度のそれぞれが、約275℃乃至約325℃の範囲内の温度である、請求項31に記載の方法。
  33. 前記第1の温度及び前記第2の温度のそれぞれが、約290℃乃至約310℃の範囲内の温度である、請求項32に記載の方法。
  34. 前記第1の温度及び前記第2の温度のそれぞれが、約300℃の温度である、請求項33に記載の方法。
  35. リフレクタが前記ランプアセンブリの下に配置されている、請求項31に記載の方法。
  36. 前記ウエハキャリアトラックの前記下面が、前記ランプアセンブリから放出され、前記リフレクタから反射された放射線に露出されている、請求項35に記載の方法。
  37. 前記リフレクタが金又は金合金を備える、請求項35に記載の方法。
  38. 前記ウエハキャリアを前記ウエハキャリアトラックから浮上させ、前記ウエハキャリアトラックに沿って前記ウエハキャリアを横断させるステップを更に備える、請求項31に記載の方法。
  39. 前記ウエハキャリアトラックの上面に配置された複数の孔から流れる浮上ガスに前記ウエハキャリアの下面を露出することによって、前記ウエハキャリアを浮上させるステップを更に備える、請求項38に記載の方法。
  40. 前記プロセスガスが、アルシン、アルゴン、ヘリウム、窒素、水素、又はそれらの混合物から選択されたガスを備える、請求項31に記載の方法。
  41. 前記プロセスガスがアルシンを備える、請求項40に記載の方法。
  42. 前記第1の前駆体が、アルミニウムの前駆体、ガリウムの前駆体、インジウムの前駆体、又はそれらの混合物を備える、請求項31に記載の方法。
  43. 前記第2の前駆体が、窒素の前駆体、リンの前駆体、ヒ素の前駆体、アンチモンの前駆体、又はこれらの混合物を備える、請求項42に記載の方法。
  44. 蒸着反応装置内のウエハを処理するための方法であって:
    蒸着反応装置内で前記ウエハキャリアトラックの上面に配置された複数の孔からウエハキャリアトラック内のキャビティに浮上ガスを流し;
    孔から流れる浮上ガスに前記ウエハキャリアの下面を露出することにより、前記ウエハキャリアトラックからウエハキャリアを浮上させ、ここで前記ウエハキャリアの上面が少なくとも1つのウエハであり;
    ランプアセンブリから放出される放射線に前記ウエハキャリアトラックの下面を露出することによって、所定の温度に前記ウエハと前記ウエハキャリアを加熱し;
    少なくとも2つのチャンバを介して前記ウエハキャリアトラックに沿って前記ウエハキャリアを横断させ、ここで第1のチャンバが第1のシャワーヘッドアセンブリ及びアイソレータのアセンブリを備え、第2のチャンバが第2のシャワーヘッドアセンブリと排気アセンブリを備えている;
    ことを特徴とする、方法。
  45. 前記所定の温度が、約275℃乃至約325℃の範囲内の温度である、請求項44に記載の方法。
  46. 前記所定の温度が、約290℃乃至約310℃の範囲内の温度である、請求項45に記載の方法。
  47. 前記所定の温度が、約300℃の温度である、請求項46に記載の方法。
  48. リフレクタが前記ランプアセンブリの下に配置されている、請求項44に記載の方法。
  49. 前記ウエハキャリアトラックの前記下面が、前記ランプアセンブリから放出され、前記リフレクタから反射された放射線に露出されている、請求項48に記載の方法。
  50. 前記リフレクタが金又は金合金を備える、請求項48に記載の方法。
  51. 前記プロセスガスが、アルシン、アルゴン、ヘリウム、窒素、水素、又はそれらの混合物からなる群から選択されたガスを備える、請求項44に記載の方法。
  52. 前記プロセスガスがアルシンを備える、請求項51に記載の方法。
  53. 前記第1の前駆体が、アルミニウムの前駆体、ガリウムの前駆体、インジウムの前駆体、又はそれらの混合物を備える、請求項44に記載の方法。
  54. 前記第2の前駆体が、窒素の前駆体、リンの前駆体、ヒ素の前駆体、アンチモンの前駆体、又はこれらの混合物を備える、請求項53に記載の方法。
  55. 蒸着反応装置内のウエハを処理するための方法であって:
    ランプアセンブリから放射された放射線にウエハキャリアトラックの下面を露出することによって、ウエハキャリアに配置された少なくとも1つのウエハを加熱し、ここで前記ウエハキャリアが蒸着反応装置内の前記ウエハキャリアトラックに配置されており;
    前記反応装置の蓋アセンブリ又は前記反応装置本体のアセンブリを所定の温度に維持し、前記液体及び前記経路が温度調節システムと流体連通するように、前記蒸着反応装置の蓋アセンブリ又は反応装置本体アセンブリを通して延在する経路を介して液体を流し;
    少なくとも2つのチャンバを介して前記ウエハキャリアトラックに沿って前記ウエハキャリアを横断し、ここで第1のチャンバが第1のシャワーヘッドアセンブリ及びアイソレータアセンブリを備え、第2のチャンバが第2のシャワーヘッドアセンブリ及び排気アセンブリを備えており;
    前記排気アセンブリを通して前記蒸着反応装置からガスを除去する;
    ことを特徴とする、方法。
  56. 前記反応装置の蓋アセンブリの前記所定の温度が、約275℃乃至約325℃の範囲内の温度である、請求項55に記載の方法。
  57. 前記反応装置の蓋アセンブリの前記所定の温度が、約290℃乃至約310℃の範囲内の温度である、請求項56に記載の方法。
  58. 前記反応装置の蓋アセンブリの前記所定の温度が、約300℃の温度である、請求項57に記載の方法。
  59. 前記反応装置本体のアセンブリの前記所定の温度が、約275℃乃至約325℃の範囲内の温度である、請求項55に記載の方法。
  60. 前記反応装置本体のアセンブリの前記所定の温度が、約290℃乃至約310℃の範囲内の温度である、請求項59に記載の方法。
  61. 前記反応装置本体のアセンブリの前記所定の温度が、約300℃の温度である、請求項60に記載の方法。
JP2012500902A 2009-03-16 2010-03-16 蒸着反応装置システム及びその方法 Pending JP2012521093A (ja)

Applications Claiming Priority (15)

Application Number Priority Date Filing Date Title
US16069909P 2009-03-16 2009-03-16
US16070109P 2009-03-16 2009-03-16
US16069609P 2009-03-16 2009-03-16
US16069409P 2009-03-16 2009-03-16
US16070009P 2009-03-16 2009-03-16
US16069009P 2009-03-16 2009-03-16
US16070309P 2009-03-16 2009-03-16
US61/160,703 2009-03-16
US61/160,694 2009-03-16
US61/160,699 2009-03-16
US61/160,700 2009-03-16
US61/160,690 2009-03-16
US61/160,701 2009-03-16
US61/160,696 2009-03-16
PCT/US2010/027538 WO2010107835A2 (en) 2009-03-16 2010-03-16 Vapor deposition reactor system and methods thereof

Publications (1)

Publication Number Publication Date
JP2012521093A true JP2012521093A (ja) 2012-09-10

Family

ID=42729639

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2012500907A Pending JP2012521097A (ja) 2009-03-16 2010-03-16 蒸着用反応装置蓋アセンブリ
JP2012500904A Pending JP2012521094A (ja) 2009-03-16 2010-03-16 ウエハキャリアトラック
JP2012500905A Pending JP2012521095A (ja) 2009-03-16 2010-03-16 加熱ランプシステム及びその方法
JP2012500906A Pending JP2012521096A (ja) 2009-03-16 2010-03-16 蒸着用シャワーヘッド
JP2012500902A Pending JP2012521093A (ja) 2009-03-16 2010-03-16 蒸着反応装置システム及びその方法

Family Applications Before (4)

Application Number Title Priority Date Filing Date
JP2012500907A Pending JP2012521097A (ja) 2009-03-16 2010-03-16 蒸着用反応装置蓋アセンブリ
JP2012500904A Pending JP2012521094A (ja) 2009-03-16 2010-03-16 ウエハキャリアトラック
JP2012500905A Pending JP2012521095A (ja) 2009-03-16 2010-03-16 加熱ランプシステム及びその方法
JP2012500906A Pending JP2012521096A (ja) 2009-03-16 2010-03-16 蒸着用シャワーヘッド

Country Status (7)

Country Link
US (5) US20120067286A1 (ja)
EP (5) EP2409324A4 (ja)
JP (5) JP2012521097A (ja)
KR (5) KR20120003455A (ja)
CN (6) CN102422390B (ja)
TW (5) TW201043727A (ja)
WO (5) WO2010107835A2 (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9635713B2 (en) 2005-05-18 2017-04-25 Judco Manufacturing, Inc. Cordless handheld heater
US20130209076A1 (en) * 2005-05-18 2013-08-15 Judco Manufacturing, Inc. Handheld heater
US20100209082A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Heating lamp system
US8852696B2 (en) * 2008-05-30 2014-10-07 Alta Devices, Inc. Method for vapor deposition
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US9169554B2 (en) * 2008-05-30 2015-10-27 Alta Devices, Inc. Wafer carrier track
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
CN102246274A (zh) * 2008-10-10 2011-11-16 奥塔装置公司 用于气相沉积的同轴喷头
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
US10066297B2 (en) 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9212422B2 (en) 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US9644268B2 (en) 2011-08-31 2017-05-09 Alta Devices, Inc. Thermal bridge for chemical vapor deposition reactors
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9328419B2 (en) * 2012-04-18 2016-05-03 Hermes-Epitek Corporation Gas treatment apparatus with surrounding spray curtains
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
TWI502096B (zh) * 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
US9105286B2 (en) 2013-07-30 2015-08-11 HGST Netherlands B.V. Method using epitaxial transfer to integrate HAMR photonic integrated circuit (PIC) into recording head wafer
WO2015081072A1 (en) * 2013-11-26 2015-06-04 Applied Materials Israel, Ltd. System and method for forming a sealed chamber
CN104911565B (zh) * 2014-03-11 2017-12-22 中微半导体设备(上海)有限公司 一种化学气相沉积装置
US10332770B2 (en) * 2014-09-24 2019-06-25 Sandisk Technologies Llc Wafer transfer system
US10932323B2 (en) 2015-08-03 2021-02-23 Alta Devices, Inc. Reflector and susceptor assembly for chemical vapor deposition reactor
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
EP3464677A4 (en) * 2016-06-02 2020-02-19 Applied Materials, Inc. MULTI-ZONE TREATMENT KIT FOR CONTINUOUS STEAM CHEMICAL DEPOSIT (CVD)
DE102016110788A1 (de) * 2016-06-13 2017-12-14 Aixtron Se Vorrichtung und Verfahren zur Herstellung von optoelektronischen Bauelementen, insbesondere von Multi-Junction-Solarzellen im Durchlaufverfahren
CN108321105A (zh) 2018-03-23 2018-07-24 北京创昱科技有限公司 一种加热组件
US10612136B2 (en) * 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102192369B1 (ko) * 2019-05-03 2020-12-17 주성엔지니어링(주) 기판 처리 장치
CN111370498B (zh) * 2020-03-23 2022-05-31 中国科学院长春光学精密机械与物理研究所 一种探测器小型永久高真空腔体及制备方法
EP3937219B1 (de) * 2020-07-06 2023-08-30 Siltronic AG Verfahren zum erzeugen eines gasvorhangs aus spülgas in einem schlitzventiltunnel und schlitzventiltunnel
WO2024101775A1 (ko) * 2022-11-07 2024-05-16 주식회사 넥서스비 산화갈륨용 하이브리드 증착 장치 및 이를 이용한 하이브리드 증착 방법

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3650042A (en) 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
US4074474A (en) * 1975-10-08 1978-02-21 Cristy Nicholas G Floor support arrangement
US4316430A (en) 1980-09-30 1982-02-23 Rca Corporation Vapor phase deposition apparatus
US4545327A (en) 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US4834020A (en) * 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4908495A (en) * 1988-12-20 1990-03-13 Texas Instruments Incorporated Heating lamp assembly for ccvd reactors
US4941429A (en) 1988-12-20 1990-07-17 Texas Instruments Incorporated Semiconductor wafer carrier guide tracks
US5105762A (en) * 1988-12-20 1992-04-21 Texas Instruments Incorporated Support and seal structure for CCVD reactor
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US4928626A (en) * 1989-05-19 1990-05-29 Applied Materials, Inc. Reactant gas injection for IC processing
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
WO1992009103A1 (en) * 1990-11-16 1992-05-29 Kabushiki-Kaisha Watanabe Shoko Device and method for carrying thin plate-like substrate
JPH05152208A (ja) * 1991-11-29 1993-06-18 Fujitsu Ltd 半導体製造装置
US5863337A (en) * 1993-02-16 1999-01-26 Ppg Industries, Inc. Apparatus for coating a moving glass substrate
US5413671A (en) * 1993-08-09 1995-05-09 Advanced Micro Devices, Inc. Apparatus and method for removing deposits from an APCVD system
JPH07228346A (ja) * 1993-12-22 1995-08-29 Hitachi Ltd 搬送装置、搬送処理装置及び被処理物搬送処理方法
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5776254A (en) * 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
JPH08176826A (ja) * 1994-12-28 1996-07-09 Mitsubishi Electric Corp Cvd法による薄膜の堆積装置及び堆積方法並びに該堆積装置又は該堆積方法で用いられるcvd原料及び液体原料容器
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JP2845773B2 (ja) * 1995-04-27 1999-01-13 山形日本電気株式会社 常圧cvd装置
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JPH108402A (ja) 1996-06-26 1998-01-13 Ishikawajima Harima Heavy Ind Co Ltd 軌道走行装置
US5863843A (en) * 1996-07-31 1999-01-26 Lucent Technologies Inc. Wafer holder for thermal processing apparatus
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
JP3341619B2 (ja) * 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
US5792273A (en) * 1997-05-27 1998-08-11 Memc Electric Materials, Inc. Secondary edge reflector for horizontal reactor
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
KR19990043658A (ko) 1997-11-29 1999-06-15 윤종용 화학 기상 증착 방법을 이용한 반도체 소자의 박막 형성 방법
JP2000068222A (ja) * 1998-08-21 2000-03-03 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2000072251A (ja) * 1998-08-31 2000-03-07 Watanabe Shoko:Kk 浮上搬送装置および浮上搬送システム
US6244572B1 (en) 1998-10-05 2001-06-12 Robert Delsole Carburetor float bowl drain screw and recovery system
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2000159342A (ja) 1998-11-20 2000-06-13 Daiichi Shisetsu Kogyo Kk 板状部材の搬送装置
US6254687B1 (en) * 1999-03-26 2001-07-03 Japan Process Engineering, Ltd. Chemical vapor deposition system with reduced material deposition on chamber wall surfaces
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
JP2000306905A (ja) * 1999-04-22 2000-11-02 Sony Corp 薄膜形成装置
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
JP5049443B2 (ja) * 2000-04-20 2012-10-17 東京エレクトロン株式会社 熱処理システム
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
JP4021125B2 (ja) 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
US6805466B1 (en) * 2000-06-16 2004-10-19 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
JP4717179B2 (ja) * 2000-06-21 2011-07-06 日本電気株式会社 ガス供給装置及び処理装置
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6465761B2 (en) * 2000-07-24 2002-10-15 Asm America, Inc. Heat lamps for zone heating
TWI303084B (en) * 2000-09-08 2008-11-11 Tokyo Electron Ltd Shower head structure, film forming method, and gas processing apparauts
JP4815724B2 (ja) * 2000-09-08 2011-11-16 東京エレクトロン株式会社 シャワーヘッド構造及び成膜装置
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
JP4948701B2 (ja) * 2000-12-28 2012-06-06 東京エレクトロン株式会社 加熱装置、当該加熱装置を有する熱処理装置、及び、熱処理制御方法
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
JP2002261089A (ja) * 2001-03-06 2002-09-13 Sony Corp 半導体製造装置
US6656838B2 (en) * 2001-03-16 2003-12-02 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
KR100423954B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착방법
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP2003007622A (ja) * 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc 基板処理装置
US20030066603A1 (en) * 2001-08-20 2003-04-10 Lord Corporation Adhesive composition for bonding an elastomer to a substrate and a method for the bonding thereof
JP2003121023A (ja) * 2001-10-10 2003-04-23 Tokyo Electron Ltd 熱媒体循環装置及びこれを用いた熱処理装置
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
KR20030078454A (ko) 2002-03-29 2003-10-08 주식회사 엘지이아이 표면처리장치와 그 방법 및 표면처리된 제품
US6705457B2 (en) 2002-04-01 2004-03-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Transport device and method of transporting to-be-processed elements through a high-temperature zone
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置
JP2004055595A (ja) 2002-07-16 2004-02-19 Sharp Corp 気相成長装置
KR100509231B1 (ko) * 2003-01-03 2005-08-22 주식회사 아이피에스 박막증착용 반응용기
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
CN100495655C (zh) * 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
EP1710833A4 (en) 2004-01-30 2011-05-25 Sharp Kk SEMICONDUCTOR MANUFACTURING APPARATUS AND SEMICONDUCTOR MANUFACTURING METHOD USING THE SAME
JP4404303B2 (ja) * 2004-02-03 2010-01-27 株式会社アルバック プラズマcvd装置及び成膜方法
US6888104B1 (en) * 2004-02-05 2005-05-03 Applied Materials, Inc. Thermally matched support ring for substrate processing chamber
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
JP5291281B2 (ja) * 2004-06-28 2013-09-18 株式会社渡辺商行 浮上搬送装置及び浮上搬送方法
WO2006020424A2 (en) * 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US20060128160A1 (en) * 2004-12-10 2006-06-15 Yoo Woo S Photoresist strip using solvent vapor
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
JP2006279008A (ja) * 2005-03-02 2006-10-12 Ushio Inc ヒータ及びヒータを備えた加熱装置
JP4869612B2 (ja) * 2005-03-25 2012-02-08 東京エレクトロン株式会社 基板搬送システムおよび基板搬送方法
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP2008540840A (ja) 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
EP1902497A1 (en) 2005-06-08 2008-03-26 Firecomms Limited Surface emitting optical devices
EP1734202A1 (fr) * 2005-06-14 2006-12-20 Tarkett SAS Panneau pourvu d'un adhésif repositionnable, en particulier pour le revêtement de sols, murs ou plafonds
US7691204B2 (en) * 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
JP2007182304A (ja) 2006-01-06 2007-07-19 Tokyo Electron Ltd 基板搬送装置、基板搬送方法及びコンピュータプログラム
JP4594241B2 (ja) 2006-01-06 2010-12-08 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びコンピュータプログラム
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP4709662B2 (ja) 2006-02-28 2011-06-22 三菱重工業株式会社 透明電極膜の製膜方法及び太陽電池の製造方法
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP4916802B2 (ja) * 2006-07-20 2012-04-18 大日本スクリーン製造株式会社 熱処理装置
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
JP2008255386A (ja) * 2007-04-02 2008-10-23 Hitachi Kokusai Electric Inc 基板処理装置
JP2008270670A (ja) * 2007-04-24 2008-11-06 Oki Electric Ind Co Ltd 薄膜形成装置及び薄膜形成方法
WO2008147225A1 (en) * 2007-05-25 2008-12-04 New Zealand Heavy Engineering Research Association Incorporated Panels
US20080302052A1 (en) * 2007-06-08 2008-12-11 Kelly Gibson Panelling system formed from panels defined by tongue and groove strips
JP5076697B2 (ja) * 2007-07-17 2012-11-21 株式会社Ihi 薄板移送装置、薄板処理移送システム、及び薄板移送方法
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US20090133631A1 (en) * 2007-11-23 2009-05-28 Applied Materials Inc. Coating device and method of producing an electrode assembly
CN201151852Y (zh) * 2007-12-13 2008-11-19 张秀福 铁路钢轨轨缝纵向联接结构
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
CN201190181Y (zh) * 2008-04-23 2009-02-04 吴明鸿 化学气相沉积系统的多片式活动电镀车台
EP2281300A4 (en) * 2008-05-30 2013-07-17 Alta Devices Inc METHOD AND DEVICE FOR A CHEMICAL STEAM SEPARATION REACTOR
US8859042B2 (en) * 2008-05-30 2014-10-14 Alta Devices, Inc. Methods for heating with lamps
US9169554B2 (en) * 2008-05-30 2015-10-27 Alta Devices, Inc. Wafer carrier track
KR20110022036A (ko) * 2008-06-02 2011-03-04 맷슨 테크놀로지, 인크. 기판 처리방법
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
US8652259B2 (en) * 2008-10-09 2014-02-18 Silevo, Inc. Scalable, high-throughput, multi-chamber epitaxial reactor for silicon deposition
KR20110069852A (ko) 2008-10-10 2011-06-23 알타 디바이씨즈, 인크. 연속적인 공급 화학 기상 증착
CN102246274A (zh) 2008-10-10 2011-11-16 奥塔装置公司 用于气相沉积的同轴喷头

Also Published As

Publication number Publication date
EP2409320A2 (en) 2012-01-25
CN102422407B (zh) 2014-10-15
CN102422394B (zh) 2015-10-14
EP2409319A4 (en) 2013-07-24
TW201043724A (en) 2010-12-16
WO2010107835A2 (en) 2010-09-23
US20120090548A1 (en) 2012-04-19
EP2409318A2 (en) 2012-01-25
WO2010107843A3 (en) 2011-01-13
EP2409324A2 (en) 2012-01-25
CN102422390A (zh) 2012-04-18
KR20110131292A (ko) 2011-12-06
CN102422392A (zh) 2012-04-18
TW201038764A (en) 2010-11-01
TW201043727A (en) 2010-12-16
TW201042075A (en) 2010-12-01
KR20110131291A (ko) 2011-12-06
US20120067286A1 (en) 2012-03-22
CN102422390B (zh) 2015-05-13
US20120106935A1 (en) 2012-05-03
CN102422394A (zh) 2012-04-18
JP2012521096A (ja) 2012-09-10
US20120067282A1 (en) 2012-03-22
US8985911B2 (en) 2015-03-24
EP2409324A4 (en) 2013-05-15
WO2010107839A3 (en) 2011-01-13
WO2010107835A3 (en) 2011-01-13
WO2010107842A3 (en) 2011-01-13
WO2010107842A2 (en) 2010-09-23
EP2409321A2 (en) 2012-01-25
CN102422393A (zh) 2012-04-18
WO2010107837A3 (en) 2011-01-13
CN102422392B (zh) 2016-08-31
CN102422407A (zh) 2012-04-18
EP2409320A4 (en) 2013-07-24
US20100229793A1 (en) 2010-09-16
CN104962879A (zh) 2015-10-07
KR20120003455A (ko) 2012-01-10
KR20110128932A (ko) 2011-11-30
WO2010107839A2 (en) 2010-09-23
EP2409319A2 (en) 2012-01-25
WO2010107843A2 (en) 2010-09-23
TW201037100A (en) 2010-10-16
JP2012521094A (ja) 2012-09-10
JP2012521097A (ja) 2012-09-10
EP2409321A4 (en) 2013-07-24
KR20110131290A (ko) 2011-12-06
JP2012521095A (ja) 2012-09-10
WO2010107837A2 (en) 2010-09-23

Similar Documents

Publication Publication Date Title
JP2012521093A (ja) 蒸着反応装置システム及びその方法
US8859042B2 (en) Methods for heating with lamps
US9169554B2 (en) Wafer carrier track
US20160130724A1 (en) Heating lamp system
US8852696B2 (en) Method for vapor deposition
US20100206229A1 (en) Vapor deposition reactor system
US20100212591A1 (en) Reactor lid assembly for vapor deposition
US8602707B2 (en) Methods and apparatus for a chemical vapor deposition reactor

Legal Events

Date Code Title Description
A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A073

Effective date: 20130326