KR20030060078A - 질량유량비율 시스템과 방법 - Google Patents

질량유량비율 시스템과 방법 Download PDF

Info

Publication number
KR20030060078A
KR20030060078A KR10-2003-0000411A KR20030000411A KR20030060078A KR 20030060078 A KR20030060078 A KR 20030060078A KR 20030000411 A KR20030000411 A KR 20030000411A KR 20030060078 A KR20030060078 A KR 20030060078A
Authority
KR
South Korea
Prior art keywords
flow rate
flow
line
rate
lines
Prior art date
Application number
KR10-2003-0000411A
Other languages
English (en)
Other versions
KR100944962B1 (ko
Inventor
암브로시나제시
코텐스테티니콜라스
샤지이알리
Original Assignee
엠케이에스 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엠케이에스 인스트루먼츠 인코포레이티드 filed Critical 엠케이에스 인스트루먼츠 인코포레이티드
Publication of KR20030060078A publication Critical patent/KR20030060078A/ko
Application granted granted Critical
Publication of KR100944962B1 publication Critical patent/KR100944962B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F1/00Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow
    • G01F1/68Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using thermal effects
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/13Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
    • G05D11/131Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components
    • G05D11/132Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components by controlling the flow of the individual components
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0363For producing proportionate flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2514Self-proportioning flow systems
    • Y10T137/2521Flow comparison or differential response
    • Y10T137/2529With electrical controller
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2559Self-controlled branched flow systems
    • Y10T137/265Plural outflows
    • Y10T137/2657Flow rate responsive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7761Electrically actuated valve
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • Y10T137/87877Single inlet with multiple distinctly valved outlets

Abstract

본 발명은 단일 질량유량이 공급될 수 있게 된 유입구와 이 유입구에 연결된 적어도 두개의 유동라인을 포함하는 단일 질량유량을 분할하기 위한 시스템이다. 각 유동라인은 유량계와 밸브를 포함한다. 또한 이 시스템은 사용자 인터페이스를 통하여 요구된 유량비율을 수신하고, 유량계로부터의 측정된 유량을 나타내는 신호를 수신하며, 측정된 유량에 기초하여 유동라인을 통한 실제의 유량비율을 계산하며, 실제의 비율을 요구된 비율에 비교하도록 프로그램된 제어기를 포함한다. 이 제어기는 또한 실제의 비율이 요구된 비율과 동일하지 않는 경우 적어도 하나의 유동라인을 통한 요구된 유량을 계산하여 요구된 유량을 나타내는 신호를 적어도 하나의 밸브에 제공할 수 있도록 프로그램된다.

Description

질량유량비율 시스템과 방법 {MASS FLOW RATIO SYSTEM AND METHOD}
본 발명은 반도체처리시설에 관한 것으로, 특히 정밀하게 계량된 분량의 오염물없는 공정가스를 반도체공정챔버로 공급하기 위한 시스템, 장치 및 방법에 관한 것이다. 특히, 본 발명은 단일의 질량유량을 요구된 비율의 둘 이상의 유량으로 분활하기 위한 시스템과 방법에 관한 것이다.
반도체장치의 제조에 있어서는 종종 공정챔버에 대한 수 많은 가스의 조심스러운 동기화와 정밀계량공급이 요구된다. 여러가지 방법이 제조공정에 이용되고, 반도체장치가 세척, 광택처리, 산화처리, 마스킹, 에칭, 도핑, 금속화처리되는 경우 다수의 불연속적인 공정단계가 요구된다. 이용되는 이들 단계, 이들의 특정한 시컨스 및 이들에 사용되는 재료들이 특정의 장치를 제조하는데 관여한다.
따라서, 웨이퍼제조시설은 공통적으로 화학증착, 플라즈마증착, 플라즈마 에칭, 스퍼터링 및 기타 유사한 가스제조방법이 수행되는 영역을 포함하도록 되어 있다. 이들이 화학증착반응기, 진공스퍼터링기계, 플라즈마 에칭기 또는 플라즈마강화 화학증착반응기인 경우 공정에 필요한 시설에 여러 공정가스가 공급되어야 한다. 순수가스가 오염물없는 정밀히 계량된 분량으로 이러한 시설에 공급되어야 한다.
전형적인 웨이퍼제조시설에 있어서, 가스는 파이프나 도관을 통하여 가스 박스에 연결된 탱크에 저장된다. 가스 박스는 제조시설의 탱크로부터 공정시설에 오염물이 없고 정확히 계량된 분량의 순수 불활성 반응가스를 공급한다. 가스 박스또는 가스계량시스템은 밸브, 압력조절기 및 변환기, 질량유량제어기 및 필터/정화기와 같은 가스계량유니트를 갖는 다수의 가스유로를 포함한다. 각 가스유로는 별도의 가수공급원에 연결되는 자체의 유입구를 가지나 모든 가스유로는 공정시설에 연결되는 단일의 유출구에 모아진다.
때로는 다수의 공정챔버 또는 단일의 공정챔버의 독립된 부분에 대하여 조합된 공정가스를 동일하게 분할하는 것이 요구되기도 한다. 이와 같은 경우, 가스 박스의 단일유출구가 2차 유로에 연결된다. 가스 박스의 유출구의 1차 유량이 2차 유로사이에 동일하게 분할될 수 있도록 하기 위하여 각 2차 유로에 유동제한기가 배치된다.
그러나, 단일유량을 둘 이상의 요구된 유량비율로 분활하기 위한 질량유량비율시스템과 방법이 요구된다. 이러한 시스템과 방법은 제어된 가스와는 독립적으로 작동 또는 수행되는 것이 좋다. 아울러, 이러한 시스템과 방법은 상류측의 어떠한 질량유량제어기의 성능에 방해가 되어서는 아니된다.
따라서, 본 발명은 단일의 질량유량을 요구된 비율의 둘 이상의 2차 질량유량으로 분할하기 위한 시스템을 제공한다. 이 시스템은 단일 질량유량이 유입되는 하나의 유입구와 이 유입구에 연결되는 적어도 두개의 2차 유동라인을 포함한다. 각 유동라인은 유동라인을 통한 유량을 측정하고 측정된 유량을 나타내는 신호를 제공하는 유량계와, 요구된 유량을 나타내는 신호의 수신에 기초하여 유동라인을 통한 유량을 제어하는 밸브를 포함한다.
또한 이 시스템은 적어도 하나의 요구된 유량비율을 수신할 수 있게 된 사용자 인터페이스와, 유량계, 밸브 및 사용자 인터페이스에 연결되는 제어기를 포함한다. 제어기는 사용자 인터페이스를 통하여 요구된 유량비율을 수신하고, 유량계로부터의 측정된 유량을 나타내는 신호를 수신하며, 측정된 유량에 기초하여 유동라인을 통한 실제의 유량비율을 계산하며, 실제의 비율을 요구된 비율에 비교할 수 있도록 프로그램된다. 제어기는 또한 실제의 비율이 요구된 비율과 동일하지 않는 경우 적어도 하나의 유동라인을 통한 요구된 유량을 계산하여 요구된 유량을 나타내는 신호를 적어도 하나의 밸브에 제공할 수 있도록 프로그램된다.
본 발명은 또한 단일의 질량유량을 둘 이상의 요구된 비율의 2차 질량유량으로 분할하기 위한 방법을 제공한다. 이 방법은 단일 질량유량을 적어도 두개의 2차 유동라인으로 분할하는 단계, 각 유동라인을 통한 질량유량을 측정하는 단계, 적어도 하나의 요구된 질량유량비율을 수신하는 단계와, 측정된 유량을 기초로 하여 유동라인을 통한 실제의 유량비율을 계산하는 단계를 포함한다. 또한 이 방법은, 만약 실제의 비율이 요구된 비율과 동일하지 않는 경우, 적어도 하나의 유동라인을 통한 요구된 비율을 계산하는 단계와, 이러한 유동라인의 실제유량을 요구된 유량으로 조절하는 단계를 포함한다.
본 발명의 시스템과 방법은 가스의 제어와는 독립적으로 작동되거나 수행될 수 있는 잇점을 준다. 아울러, 본 발명의 시스템과 방법은 상류측의 어떠한 질량유량제어기의 성능에 장애가 되지 않는다.
본 발명을 첨부된 도면에 의거하여 보다 상세히 설명하면 다음과 같다.
도 1은 본 발명에 따라서 구성되고 가스계량박스와 두 공정챔버에 연결되어 있는 것을 보인 질량유량비율시스템의 개략구성도.
도 2는 도 1에서 보인 시스템에서 수행되는 유량분할을 위한 방법을 설명하는 흐름도.
도 3과 도 4는 상이한 최소유량에 대한 도 1 및 도 2의 시스템과 방법의 질량유량비율 제어범위를 설명하는 그래프.
도 5는 도 1에서 보인 시스템에 연결되는 사용자 인터페이스의 예시적인 실시형태를 보인 도표.
도 6은 본 발명에 따라서 구성되고 가스계량박스와 다수의 공정챔버에 연결되어 있는 것을 보인 다른 질량유량비율시스템의 개략구성도.
도 7은 종래의 기술에 따라서 구성되고 가스계량박스와 두 공정챔버에 연결된 두 유로를 보인 구성도.
도 8은 가스계량박스와 두 공정챔버사이에 연결된 종래의 질량유량분할기를 보인 구성도.
도 1과 도 2는 단일의 질량유량을 요구된 비율의 둘 이상의 질량유량으로 분할하기 위한 질량유량비율 시스템(10)과 방법(12)을 설명한다. 특히 이 시스템(10)과 방법(12)은 오염물이 없고 정확히 계랭된 분량의 공정 및 퍼지 가스를 반도체공정챔버로 공급하기 위한 가스계량시스템과 함께 사용될 수 있게 되어 있다. 본 발명의 시스템(10)과 방법(12)은 가스의 제어와는 독립적으로 작동되거나 수행될 수 있고, 상류측의 어떠한 질량유량제어기의 성능에 장애가 되지 않는 잇점을 준다.
도 7에서는 종래기술에 따라서 유량을 분할하기 위한 시스템(100)이 비교를 위하여 도시되어 있다. 이 시스템(100)은 가스공급원(예를 들어 가스 탱크)(104a)(104b)(104c)(104d)로부터의 공정가스 및 퍼지 가스를 포함하는 다수의 가스가 공급되고 두 공정챔버(106)(108)에 공급되는 가스(이들 가스는 단일공정챔버의 여러 인젝터 또는 여러 영역에 공급될 수 있다)를 정확히 계량하는 가스계량시스템(102)에 결합되어 사용된다. 가스계량시스템(102)은 다수의 가스 스틱(112a)112b)(112c)(112d)을 갖는 가스 박스(110)(도면에는 4개만을 도시하였으나 가스 박스는 그 이상을 포함할 수 있다)를 포함한다.
각 스틱은 예를 들어 질량유량제어기(MFC)(114), MFC의 앞에 배치된 밸브(116)와 MFC의 뒤에 배치된 밸브(118)를 포함한다. 가스 스틱(112a)112b)(112c)(112d)은 각각 가스공급원(104a)(104b)(104c)(104d)에 연결되고 제어가능한 가스유로를 제공함으로서 오염물이 없는 정확히 계량된 분량의 가스 또는 가스의 조합이 가스계량시스템(102)으로부터 공정챔버(106)(108)로 공급될 수 있도록 한다. 비록 도시하지는 않았으나, 스틱(112a)112b)(112c)(112d)에는 필터, 정화기, 압역변환기 및 제어기와 같이 가스를 모니터하거나 제어하기 위한 다른 구성요소들이 구비될 수 있다. 스틱(112a)112b)(112c)(112d)은 예를 들어 유출구 매니폴드(128)에서 함께 연결되어 가스 박스를 떠나기 전에 만약 요구되는 경우 각 스틱으로부터의 가스가 혼합될 수 있도록 한다.
진공펌프(120)가 게이트 밸브(122)(124)를 통하여 공정챔버(106)(108)에 연결된다. 작동중에, 진공펌프(120)는 가스계량시스템(102)을 통하여 가스공급원(104a)(104b)(104c)(104d)으로부터 가스를 흡인하여 공정챔버(106)(108)로 보낸다.
적어도 두 공정챔버(106)(108)사이로 유량을 분할하기 위한 종래 기술의 시스템(100)은 가스 박스(110)의 유출구 매니폴드(128)에 연결된 유입구 매니폴드 또는 라인(126), 유입구(126)로부터 공정챔버(106)(108)로 연장된 제1 및 제2 유동라인(130)(132)과, 각 유동라인에 배치된 제한기(134)를 포함한다. 제1 및 제2 라인(130)(132)을 통한 유량을 정확히 제어하기 위하여, 제한기(134)의 최소유동단면적(예를 들어, 직경)은 제1 및 제2 유동라인(130)(132)의 다른 제한부분에 비하여 커야 한다. 제한기(134)는 유량을 제어하는데 이용되므로 상류측 압력(즉, 유량분할 시스템 100의 상류측 가스공급시스템 102의 압력)은 비교적 높게 유지되어야 한다(예를 들어, 30~40 PSIA). 따라서, 안전이나 다른 이유에서 상류측 압력을 비교적 낮게 유지하는 것이 바람직한 경우(예를 들어 15 PSIA 또는 그 이하), 종래 기술의 시스템(100)은 유량을 분할하거나 조절하는 작동을 하지 않는다. 더욱이, 시스템을 정지시켜야 하는 제한기(134)의 교체없이는 유동라인(130)(132)사이의 유량비율을 변경시킬 수 없다.
도 8은 기존의 유량분할시스템(210)을 보이고 있다. 이 시스템(210)은 본원 출원인에게 양도된 2001년 4월 17일자 미국특허출원 제09/836,748호(대리인사건번호 MKS-86)에 상세히 기술되어 있다. 이 시스템(210)은 가스 박스(110)의 유출구 매니폴드(128)로부터 단일의 가스유량이 공급되는 유입라인 또는 매니폴드(212)와, 유입구(212)에 연결된 제1 및 제2 유동라인(214)(216)을 포함한다. 질량유량계(218)는 제1라인(214)을 통한 가스유량을 측정하고 측정된 유량을 나타내는 신호를 제공한다. 제한기(220)가 제1라인(214)을 통한 가스유량을 요구된 유량으로 제한하고 질량유량계(218)가 정확하고 사전에 결정된 압력상한값 보다 낮은 압력에서 작동할 수 있도록 충분히 높은 상류측 압력을 제공하기 위하여 선택된 최소유동단면적을 갖는다. 또한 이 시스템은 제2라인(216)을 통한 가스유량을 제어하기 위한 질량유량제어기(222)를 갖는다. 질량유량제어기(222)는 질량유량계(218)로부터 측정된 유량을 나타내는 신호를 수신하여 제2라인(216)을 통한 유량이 이 신호에 기초하여 유지될 수 있도록 한다.
제한기(220)의 최소유동단면적은 사전에 결정된 압력상한값이 약 15 PSIA와 같도록 선택되는 것이 좋다. 아울러, 질량유량계(218)와 질량유량제어기(222)는 동일한 유량범위를 갖는 것이 좋다. 도 8의 유량분할시스템(210)에 있어서, 질량유량제어기(222)는 제2라인(216)을 통한 유량이 제1라인(214)의 측정된 유량과 동일하게 유지되도록 한다. 비록 도시하지는 않았으나, 유량분할시스템(210)에는 질량유량제어기(22)에 의하여 신호가 수신되기 전에 질량유량계(218)로부터의 측정된 유량을 나타내는 신호를 비례조절하기 위한 제어기가 구비되어 질량유량제어기(222)가 제2라인(216)을 통한 유량이 제1라인(214)의 측정된 유량의 사전에 결정된 비율과 동일하게 유지될 수 있도록 한다. 이 시스템(210)은 가스 박스와 공정챔버사이에 신속하고 용이하게 조립될 수 있도록 모듈화된 유니트로서 제공되며, 시스템(210)의 유입구 매니폴드(212)와 가스 박스(110)의 유출구 매니폴드(128)사이에 차단밸브 또는 적당한 코넥터(250)를 포함한다.
다시 도 1에서, 본 발명의 질량유량비율시스템(10)은 가스 박스(110)의 유출구 매니폴드(128)로부터의 단일가스유량이 공급되는 유입구라인 또는 매니폴드(12)와, 유입구(12)에 연결된 제1 및 제2유동라인(14a)(14b)을 포함한다. 각 라인(14a)(14b)에는 이들 라인을 통한 질량유량을 측정하여 측정된 유량을 나타내는 신호를 제공하는 질량유량계(18a)(18b)와, 요구된 유량을 나타내는 신호에 기초하여 라인을 통한 유량을 제어하기 위한 밸브(20a)(20b)가 구비된다. 또한 비율시스템(10)은 요구된 유량비율을 수신하기 위한 사용자 인터페이스(22)와, 유량계(18a)(18b), 밸브(20a)(20b) 및 사용자 인터페이스(22)에 연결된 제어기(24)를 갖는다. 유량비율 "α"는 제2라인(14b)를 통한 유량 "Q2"을 제1라인(14a)을 통한 유량 "Q1"으로 나눈 것으로 정의된다.
또한 도 2에서, 제어기(24)는 단계(30)에서 보인 바와 같이 사용자 인터페이스(22)를 통하여 요구된 유량비율을 수신하고, 단계(32)에서 보인 바와 같이 유량계(18a)(18b)로부터의 측정된 유량을 나타내는 신호를 수신하며, 단계(34)에서 보인 바와 같이 측정된 유량에 기초하여 유동라인(14a)(14b)를 통한 실제의 유량비율을 계산하고, 단계(36)에서 보인 바와 같이 실제의 비율을 요구된 비율에 비교하도록 프로그램된다. 또한 제어기(24)는 단계(38)에서 보인 바와 같이 실제의 비율이 요구된 비율과 동일한 경우 적어도 하나의 라인(14a)(14b)을 통한 요구된 유량을 계산하고, 단계(40)에서 보인 바와 같이 적어도 하나의 밸브(20a)(20b)에 대하여 요구된 유량을 나타내는 "조절"신호를 제공토록 프로그램된다. 따라서, 제어기(24)는 라인을 통한 실제의 유량비율이 요구된 비율과 동일하게 될 때까지 적어도 하나의 유동라인(14a)(14b)을 통한 유량을 조절한다.
우선실시형태에 있어서, 제어기(24)는 제1의 요구된 유량을 나타내는 "초기"신호를 제1라인(14a)의 밸브(20a)에 제공하고, 실제의 유량비율이 요구된 유량비율과 동일하지 않은 경우 제2의 요구된 유량을 계산하며, 제1유동라인(14b)의 밸브(20b)에 제2의 요구된 유량을 나타내는 "조절"신호를 제공토록 프로그램된다. "조절"신호는 다음과 같이 계산된다.
Vc2= Kpa(α- αsp) + Kia∫(α- αsp)dt
여기에서 V2c는 제어기(24)로부터 제2밸브(20b)에 대한 명령이고, Kpa는 비율제어에 대한 비례이득이며, Kia는 비율제어를 위한 적분이득이고, α는 측정된 유량비율이며, αsp는 비율설정점 또는 요구된 유량비율이다. 이와 같이 함으로서, 제1라인(14a)의 밸브(20a)는 고정형의 오리피스와 같이 작용하는 반면에, 제2라인(14b)의 밸브(20b)는 가변제어밸브와 같이 작용한다. 이러한 구성은 가스가다양함에 따라서 나타나는 유량측정상의 오류가 두 유량계(18a)(18b)에서 모두 동일하게 나타나므로 시스템(10)이 이 시스템을 통하여 제어되는 가스의 형태에 관계없이 작동될 수 있도록 한다. 제어기(24)는 제1라인(14a)의 밸브(20a)가 완전개방될 수 있도록 프로그램됨으로서 시스템(10)을 통한 전체압력강하가 최소화된다.
본 발명의 비율시스템(10)에 사용되는 적당한 질량유량계(18a)(18b)로서는 미국 매사추세츠의 앤도버에 소재하는 본원 출원인인 MKS Instruments(http://www.mksinst.com)로부터 입수할 수 있는 서멀 베이스형인 Mass-Flo®브랜드의 제어기가 있다. 또한 적당한 밸브(20a)(20b)도 본원 출원인 회사로부터 입수할 수 있다. 밸브(20a)(20b)는 비선형이며 협소한 제어범위를 갖는다. 그러나, 열유량계(8a)(18b)는 이들 유량계가 최대감지범위 5% 이하에서는 통상적으로 신뢰할 수 없으므로(예를 들어 2,000sccm 열유량계는 100sccm 이하는 신뢰할 수 없다) 시스템(10)에 의하여 제공되는 제어범위를 결정하는데 제한적인 요인이 있다. 도 3과 도 4는 열유량계의 제한범위에 기초하여 본 발명에 따라서 구성된 시스템(10)의 비율제어범위를 설명하는 그래프이다. 도 3의 그래프는 시스템(10)을 통한 100sccm의 최소유량("Qmin")에 대한 것인 반면에, 도 4의 그래프는 200sccm의 최소유량에 대한 것이다. 이들 그래프는 질소(N2)의 흐름에 기초하고 있으며 3개의 가스보정계수("GCF"); 0.5, 1.0 및 1.4에 대한 좌표곡선을 포함한다.
도 5는 도 1의 유량비율시스템(10)을 위한 사용자 인터페이스(22)의 코넥터의 실시형태를 설명하는 도표이다. 도표에서 보인 바와 같이, 코넥터는 15 핀 D 코넥터로 구성되며 각 핀의 지정과 설명이 수록되어 있다. 비록 도시하지는 않았으나 제어기(24)는 예를 들어 마이크로프로세서, 메모리, 전자클록 오실레이터, 아날로그-디지털 변환기 및 멀티플렉서를 포함할 수 있다.
도 6에서는 본 발명에 따라서 구성된 다른 질량유량비율시스템(50)을 보이고 있다. 도 1과 도 6의 시스템(10)(50)은 서로 유사하며 동일한 부분에 대하여서는 동일한 부호로 표시하였다. 더욱이, 도 6의 시스템(50)은 유입구(12)에 연결된 제3의 유동라인(14c), 이 라인(14c)을 통한 질량유량을 측정하여 측정된 유량을 나타내는 신호를 제공하는 징량유량계(18c)와, 요구된 유량을 나타내는 신호에 기초하여 라인(14c)을 통한 유량을 제어하기 위한 밸브(20c)를 포함한다. 도시된 바와 같이, 시스템(50)의 3개 라인(14a)(14b)(14c)은 단일의 공정챔버(106)의 3 부분에 연결될 수 있다.
도 5의 실시형태에서, 사용자 인터페이스(24)는 제2 및 제1 유동라인(14b)(14a)의 요구된 유량비율과 제3 및 제1 유동라인(14c)(14a)의 요구된 유량비율(즉, "α1"="Q2"/"Q1" 및 "α2"="Q3"/"Q1")을 수신할 수 있게 되어 있다. 제어기(24)는 제1라인(14a)의 밸브(20a)에 제1의 요구된 유량을 나타내는 신호를 제공하여 밸브(20a)가 고정형의 오리피스와 같이 작용토록 프로그램된다. 그리고 제어기(24)는 사용자 인터페이스(22)를 통한 요구된 유량비율을 수신하고, 유량계(18a)(18b)로부터의 측정된 유량을 나타내는 신호를 수신하며, 제2 및 제1 유동라인을 통하여 측정된 유량에 기초하여 제2 및 제1 유동라인(14b)(14a)의 실제유량비율을 계산하고, 제2 및 제1 유동라인의 실제비율이 제2 및 제1 유동라인의요구된 비율과 동일하지 않은 경우 제2의 요구된 유량을 계산하며, 제2 유동라인(14b)의 밸브(20b)에 제2의 요구된 유량을 나타내는 신호를 제공한다.
또한 제어기(24)는 제3 및 제1 유동라인(14c)(14a)을 통한 측정된 유량에 기초하여 제3 및 제1 유동라인의 실제유량비율을 계산하고, 제3 및 제1 유동라인의 실제유량비율이 제3 및 제1 유동라인의 요구된 유량비율과 동일하지 않은 경우 제3의 요구된 유량을 계산하며, 제3 유동라인의 밸브(20c)에 제3의 요구된 유량을 나타내는 신호를 제공하도록 프로그램된다. 따라서, 제2 및 제3 라인(14b)(14c)의 밸브(20b)(20c)는 제1 라인(14a)의 밸브(20a)에 대하여 제어밸브로서 작용한다.
비록 도시하지는 않았으나, 질량유량비율시스템(10)(30)에는 3개 이상의 유동라인(14)이 구비될 수 있으며, 각 부가적인 유동라인은 제어기(24)에 연결되는 밸브(20)와 유량계(18)를 갖는다. 아울러, 질량유량제어기는 각 라인의 질량유량계와 밸브로서 이용될 수 있음을 예상할 수 있을 것이다. 비록 도시하지는 않았으나, 본 발명의 비율시스템(10)(30)은 가스 박스와 공정챔버사이에 신속하고 용이하게 조립할 수 있도록 모듈화된 유니트로서 제공될 수 있을 것이다. 이러한 경우에 있어서, 도 1 및 도 5에서 보인 바와 같이 비율시스템(10)(30)의 유입구 매니폴드(12)와 가스 박스(110)의 유출구 매니폴드(128)사이에 차단밸브 또는 적당한 코넥터(50)가 제공될 수 있다.
본 발명에 따른 유량분할 시스템과 방법의 실시형태는 또한 시스템(10)의 유입구(12) 또는 유출구를 위한 압력센서를 포함할 수 있다. 압력센서에 의하여 제공되는 유입구 압력값 또는 유출구 압력값은 유량의 비율 "α"을 제어하고 유입구 압력 또는 유출구 압력을 제어하는 제어기(24)에 의하여 이용된다.
압력제어구조를 부가함으로서 시스템(10)이 성능을 개선하고 시스템(10)의 상류측 또는 하류측 장치에 대한 방해를 줄이는 등 다수의 부수적인 잇점을 갖는다. 시스템(10)을 최소허용압력으로 작동시킴으로서 비율제어시스템의 안전에 대한 요인의 필요성이 배제되거나 줄어들 수 있다. 아울러, 밸브(20a)(20b)를 통한 압력강하를 제어함으로서 밸브의 성능을 개선할 수 있고 밸브의 구성, 매칭 및 튜닝이 보다 간단하게 된다. 따라서, 본 발명은 부가적인 압력제어특성을 갖는 유량분할시스템과 방법을 포함한다고 할 수 있다. 예를 들어, 본 발명은 시스템의 유입구 또는 유출구에 압력센서가 부가구성된 유량분할시스템(10)을 포함할 수 있다. 또한 본 발명은 유입구 또는 유출구에 압력센서가 부가구성된 유량분할방법(12)을 포함할 수 있다. 실제로 본 발명은 청구된 유량분할시스템과 방법을 위한 압력측정값을 이용하는 다른 제어방법도 포함함을 의미한다.
다음의 예는 도 1을 참조하여 만들어진 것이다. 질량유량비율시스템(10)의 유입구(12)측에 압력센서(도시하지 않았음)가 부가된 것으로 가정되며, 제어기(24)는 제2 라인(14b)를 통한 유량 "Q2", 제1 라인(14a)를 통한 유량 "Q1" 및 압력센서에 의하여 제공되는 유입구(12)측의 측정된 압력 "Pin"의 3개 입력을 갖도록 프로그램된다. 제어기(24)는 한번에 하나씩 밸브를 제어하지 않고 제1 및 제2 밸브(20a)(20b) 모두에 대하여 명령을 발할 수 있게 프로그램된다. 그러나, 비율제어의 면에서 "고정형 밸브"가 거의 개방되는 반면에, 비율이 밸브의 제어범위의10%~50%사이에서 다른 밸브를 제어함으로서 결정된다. 압력신호를 부가함으로서 고정형 밸브는 유입압력을 제어토록 설정되고, 다른 밸브는 유량을 제어토록 이용된다. 유입압력제어의 예는 다음과 같이 표현될 수 있다.
Vc1= Kpa(α- αsp) + Kia∫(α- αsp)dt
Vc2= Kpp(Pin- Pt) + Kip(Pin- Pt)dt
여기에서, Vc1은 제1밸브(20a)에 대한 제어기(24)로부터의 명령이고, Vc2는 제1밸브(20b)에 대한 명령이며, Kpp는 압력제어를 위한 비례이득이고, Kip는 압력제어를 위한 적분이득이며, Kpa는 비율제어를 위한 비례이득이고, Kia는 비율제어를 위한 적분이득이며, α는 측정된 유량비율이고, αsp는 비율설정점 또는 요구된 유량비율이며, Pin은 측정된 유입구 압력이고, Pt는 작동압력한계값(또는 요구된 압력)이다.
제어시스템과 방법이 비례-적분(PI)형 제어시스템과 방법으로서 설명되었으나, 비례, 적분, 비례-유도(PD) 및 비례-적분-유도(PID)형의 제어시스템 및 방법가 같은 다른 형태의 제어시스템과 방법이 이용될 수 있다.
이상으로 본 발명이 특정한 실시형태에 대하여 도시되고 설명되었으나 본 발명은 전문가라면 다수의 수정과 변경이 있을 수 있음을 이해할 것이다. 아울러, 첨부된 청구범위는 본 발명의 기술사상과 범위에 이들 모든 수정 및 변경실시형태를포함한다.

Claims (30)

  1. 단일의 질량유량을 요구된 비율의 둘 이상의 2차 질량유량으로 분할하기 위한 시스템에 있어서, 이 시스템이 단일 질량유량이 유입되는 하나의 유입구와, 이 유입구에 연결되는 적어도 두개의 2차 유동라인으로 구성되고, 각 유동라인은 유동라인을 통한 유량을 측정하고 측정된 유량을 나타내는 신호를 제공하는 유량계와, 요구된 유량을 나타내는 신호의 수신에 기초하여 유동라인을 통한 유량을 제어하는 밸브를 포함하며, 이 시스템이 또한 적어도 하나의 요구된 유량비율을 수신할 수 있게 된 사용자 인터페이스와, 유량계, 밸브 및 사용자 인터페이스에 연결되는 제어기로 구성되고, 이 제어기가 사용자 인터페이스를 통하여 요구된 유량비율을 수신하고, 유량계로부터의 측정된 유량을 나타내는 신호를 수신하며, 측정된 유량에 기초하여 유동라인을 통한 실제의 유량비율을 계산하며, 실제의 비율을 요구된 비율에 비교하고, 실제의 비율이 요구된 비율과 동일하지 않는 경우 적어도 하나의 유동라인을 통한 요구된 유량을 계산하여 요구된 유량을 나타내는 신호를 적어도 하나의 밸브에 제공할 수 있도록 프로그램됨을 특징으로 하는 질량유량비율 시스템.
  2. 제1항에 있어서, 유량계가 서멀 베이스형임을 특징으로 하는 시스템.
  3. 제1항에 있어서, 유동라인이 제1 및 제2 라인으로 구성되고, 제어기가 제1유동라인의 밸브에 제1의 요구된 유량을 나타내는 신호를 제공하며 실제의 비율이 요구된 비율과 동일하지 않은 경우 제2의 요구된 유량을 계산하고, 제2 유동라인의 밸브에 제2의 요구된 유량을 나타내는 신호를 제공토록 프로그램됨을 특징으로 하는 시스템.
  4. 제3항에 있어서, 제1의 요구된 유량이 제1 라인의 밸브가 완전개방되게 함을 특징으로 하는 시스템.
  5. 제3항에 있어서, 유량비율이 제2 유동라인을 통한 유량을 제1 유동라인을 통한 유량으로 나눈 값과 동일함을 특징으로 하는 시스템.
  6. 제5항에 있어서, 요구된 유량비율의 허용가능한 범위가 약 1~10 사이임을 특징으로 하는 시스템.
  7. 제1항에 있어서, 유동라인이 제1, 제2 및 제3 유동라인으로 구성되고, 사용자 인터페이스가 제2 및 제1 유동라인을 위한 요구된 유량비율과 제3 및 제1 유동라인을 위한 요구된 유량비율을 수신할 수 있게 되어 있으며, 제어기가 제1 밸브에 제1의 요구된 유량을 나타내는 신호를 제공하고, 사용자 인터페이스를 통하여 요구된 유량비율을 수신하며, 유량계로부터의 측정된 유량을 나타내는 신호를 수신하고, 제2 및 제1 유동라인을 통하여 측정된 유량에 기초하여 제2 및 제1 유동라인의실제유량비율을 계산하며, 제2 및 제1 유동라인의 실제비율이 제2 및 제1 유동라인의 요구된 비율과 동일하지 않은 경우 제2의 요구된 유량을 계산하고, 제2 유동라인의 밸브에 제2의 요구된 유량을 나타내는 신호를 제공하며, 제3 및 제1 유동라인을 통한 측정된 유량에 기초하여 제3 및 제1 유동라인의 실제유량비율을 계산하고, 제3 및 제1 유동라인의 실제유량비율이 제3 및 제1 유동라인의 요구된 유량비율과 동일하지 않은 경우 제3의 요구된 유량을 계산하며, 제3 유동라인의 밸브에 제3의 요구된 유량을 나타내는 신호를 제공하도록 프로그램됨을 특징으로 하는 시스템.
  8. 제7항에 있어서, 제1의 요구된 유량이 제1 라인의 밸브가 완전개방되게 함을 특징으로 하는 시스템.
  9. 제7항에 있어서, 제1 유량비율이 제2 유동라인을 통한 유량을 제1 유동라인을 통한 유량으로 나눈 값과 동일하고, 제2 유량비율이 제3 유동라인을 통한 유량을 제1 유동라인을 통한 유량으로 나눈 값과 동일함을 특징으로 하는 시스템.
  10. 제9항에 있어서, 각 요구된 유량비율의 허용가능한 범위가 약 1~10 사이임을 특징으로 하는 시스템.
  11. 제1항에 있어서, 요구된 유량이 Kp(α- αsp) + Ki∫(α- αsp)dt이며, 여기에서 Kp는 비례이득이고, Kia는 적분이득이며, α는 실제의 유량비율이고, αsp는 요구된 유량비율임을 특징으로 하는 시스템.
  12. 제1항에 있어서, 유입구와 2차 유동라인 중의 하나에서 압력을 측정하고 제어기에 압력측정값을 제공토록 제어기에 연결된 압력센서를 포함함을 특징으로 하는 시스템.
  13. 제12항에 있어서, 압력센서가 유입구의 압력을 측정함을 특징으로 하는 시스템.
  14. 제13항에 있어서, 제어기가 제1 유동라인의 밸브에 K(α- αsp) + K∫(α- αsp)dt와 동일한 요구된 유량을 나타내는 신호를 제공토록 프로그램되며, 여기에서, Kp는 비례제어를 비례이득이고, Ki은 비례제어를 위한 적분이득이며, α는 실제의 유량비율이고, αsp는 요구된 유량비율임을 특징으로 하는 시스템.
  15. 제13항에 있어서, 제어기가 제2 유동라인의 밸브에 Kp(Pin- Pt) + Ki(Pin- Pt)dt와 동일한 요구된 유량은 나타내는 신호를 제공토록 프로그램되며, 여기에서, Kp는 압력제어를 위한 비례이득이고, Ki는 압력제어를 위한 적분이득이며, Pin은 측정된 유입구 압력이고, Pt는 작동압력한계값임을 특징으로 하는 시스템.
  16. 단일의 질량유량을 둘 이상의 요구된 비율의 2차 질량유량으로 분할하기 위한 방법에 있어서, 이 방법이 단일 질량유량을 적어도 두개의 2차 유동라인으로 분할하는 단계, 각 유동라인을 통한 질량유량을 측정하는 단계, 적어도 하나의 요구된 질량유량비율을 수신하는 단계, 측정된 유량을 기초로 하여 유동라인을 통한 실제의 유량비율을 계산하는 단계, 만약 실제의 비율이 요구된 비율과 동일하지 않는 경우 적어도 하나의 유동라인을 통한 요구된 비율을 계산하는 단계와, 유동라인을 요구된 유량으로 조절하는 단계로 구성됨을 특징으로 하는 질량유량비율 시스템과 방법.
  17. 제16항에 있어서, 단일 질량유량이 제1 및 제2 유동라인으로 분할되고, 제1 유동라인이 제1의 요구된 유량으로 조절되며, 제2의 요구된 유량이 실제 비율이 요구된 비율과 동일하지 않은 경우 요구된 비율과 제1의 요구된 유량을 이용하여 계산되고, 제2 유동라인이 제2의 요구된 유량으로 조절됨을 특징으로 하는 방법.
  18. 제17항에 있어서, 제1의 요구된 유량이 제1 라인이 완전개방되게 함을 특징으로 하는 방법.
  19. 제17항에 있어서, 유량비율이 제2 유동라인을 통한 유량을 제1 유동라인을통한 유량으로 나눈 값과 동일함을 특징으로 하는 방법.
  20. 제19항에 있어서, 요구된 유량비율의 허용가능한 범위가 약 1~10 사이임을 특징으로 하는 방법.
  21. 제16항에 있어서, 단일 질량유량이 제1, 제2 및 제3 유동라인으로 분할되고, 제1 및 제2의 요구된 질량유량의 비율이 수신되며, 제1 유동라인이 제1의 요구된 유량으로 조절되고, 제2의 요구된 유량이 제1 및 제2 유동라인의 실제 비율이 제1의 요구된 비율과 동일하지 않은 경우 제1의 요구된 비율과 제1의 요구된 유량을 이용하여 계산되며, 제2 유동라인이 제2의 요구된 유량으로 조절되고, 제3의 요구된 유량이 제1 및 제3 유동라인의 실제 비율이 제2의 요구된 비율과 동일하지 않은 경우 제2의 요구된 비율과 제1의 요구된 유량을 이용하여 계산되며, 제3 유동라인이 제3의 요구된 유량으로 조절됨을 특징으로 하는 방법.
  22. 제21항에 있어서, 제1의 요구된 유량이 제1 라인이 완전개방되게 함을 특징으로 하는 방법.
  23. 제21항에 있어서, 제1 및 제2 유량비율이 제2 유동라인을 통한 유량을 제1 유동라인을 통한 유량으로 나눈 값과 동일하고, 제1 및 제3 유동라인의 유량비율이 제3 유동라인을 통한 유량을 제1 유동라인을 통한 유량으로 나눈 값과 동일함을 특징으로 하는 방법.
  24. 제23항에 있어서, 각 요구된 유량비율의 허용가능한 범위가 약 1~10 사이임을 특징으로 하는 방법.
  25. 제16항에 있어서, 질량유량이 서멀 베이스형의 유량계를 이용하여 측정됨을 특징으로 하는 방법.
  26. 제16항에 있어서, 요구된 유량이 Kp(α- αsp) + Ki∫(α- αsp)dt이며, 여기에서 Kp는 비례이득이고, Kia는 적분이득이며, α는 실제의 유량비율이고, αsp는 요구된 유량비율임을 특징으로 하는 방법.
  27. 제16항에 있어서, 유입구와 2차 유동라인 중의 하나에서 압력을 측정하는 단계를 포함함을 특징으로 하는 방법.
  28. 제27항에 있어서, 유입구의 압력이 측정됨을 특징으로 하는 방법.
  29. 제28항에 있어서, 유동라인 중 하나의 요구된 유량이 K(α-αsp)+K∫(α-αsp)dt와 동일하며, 여기에서, Kp는 비례제어를 비례이득이고, Ki은 비례제어를 위한적분이득이며, α는 실제의 유량비율이고, αsp는 요구된 유량비율임을 특징으로 하는 방법.
  30. 제28항에 있어서, 유동라인 중 하나의 요구된 유량이 Kp(Pin- Pt) + Ki(Pin- Pt)dt와 동일하며, 여기에서, Kp는 압력제어를 위한 비례이득이고, Ki는 압력제어를 위한 적분이득이며, Pin은 측정된 유입구 압력이고, Pt는 작동압력한계값임을 특징으로 하는 방법.
KR1020030000411A 2002-01-04 2003-01-04 질량유량분할 시스템과 방법 KR100944962B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/037882 2002-01-04
US10/037,882 US6766260B2 (en) 2002-01-04 2002-01-04 Mass flow ratio system and method

Publications (2)

Publication Number Publication Date
KR20030060078A true KR20030060078A (ko) 2003-07-12
KR100944962B1 KR100944962B1 (ko) 2010-03-02

Family

ID=21896866

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030000411A KR100944962B1 (ko) 2002-01-04 2003-01-04 질량유량분할 시스템과 방법

Country Status (6)

Country Link
US (2) US6766260B2 (ko)
JP (1) JP2003263230A (ko)
KR (1) KR100944962B1 (ko)
DE (1) DE10300029B4 (ko)
GB (1) GB2385680B (ko)
TW (1) TWI259342B (ko)

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040019293A (ko) * 2001-05-24 2004-03-05 셀레리티 그룹 아이엔씨 소정 비율의 프로세스 유체를 제공하는 방법 및 장치
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7195026B2 (en) * 2002-12-27 2007-03-27 American Air Liquide, Inc. Micro electromechanical systems for delivering high purity fluids in a chemical delivery system
JP4552399B2 (ja) * 2003-08-07 2010-09-29 トヨタ自動車株式会社 複数タンクからなるタンクシステムおよびその制御方法
US20050075685A1 (en) * 2003-10-02 2005-04-07 Forsberg John W. Medical device programmer with infrared communication
US20050150552A1 (en) * 2004-01-06 2005-07-14 Randy Forshey Device, method, and system for controlling fluid flow
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US8037896B2 (en) 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7216019B2 (en) * 2004-07-08 2007-05-08 Celerity, Inc. Method and system for a mass flow controller with reduced pressure sensitivity
US7673645B2 (en) * 2005-04-21 2010-03-09 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using a multiple antisymmetric optimal control arrangement
US7621290B2 (en) * 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7394639B2 (en) * 2005-07-08 2008-07-01 Advanced Energy Industries, Inc. System and method for driving an industrial control device
WO2007010851A1 (ja) * 2005-07-21 2007-01-25 Nabtesco Corporation 真空システム及びその運転方法
JP4017648B2 (ja) * 2006-01-23 2007-12-05 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
US20070204912A1 (en) * 2006-03-01 2007-09-06 Asahi Organic Chemicals Industry Co., Ltd. Fluid mixing system
US20070204914A1 (en) * 2006-03-01 2007-09-06 Asahi Organic Chemicals Industry Co., Ltd. Fluid mixing system
US8997791B2 (en) * 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
JP4765746B2 (ja) * 2006-04-17 2011-09-07 日立金属株式会社 遮断弁装置及びこれを組み込んだ質量流量制御装置
US20070288125A1 (en) * 2006-06-09 2007-12-13 Mks Instruments, Inc. Power Over Ethernet (Poe) - Based Measurement System
US9405298B2 (en) * 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
JP4642115B2 (ja) * 2006-12-12 2011-03-02 株式会社堀場エステック 流量比率制御装置
US7706925B2 (en) * 2007-01-10 2010-04-27 Mks Instruments, Inc. Integrated pressure and flow ratio control system
WO2008095009A2 (en) * 2007-01-30 2008-08-07 Bradley University A heat transfer apparatus and method
WO2009084422A1 (ja) * 2007-12-27 2009-07-09 Horiba Stec, Co., Ltd. 流量比率制御装置
US20090178714A1 (en) 2008-01-14 2009-07-16 Tokyo Electron Limited Flow control system and method for multizone gas distribution
PL2307938T3 (pl) * 2008-06-26 2014-02-28 Belparts Układ regulacji przepływu
AU2009281170B2 (en) * 2008-08-13 2013-01-31 Shell Internationale Research Maatschappij B.V. Method for controlling a gas flow between a plurality of gas streams
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8195312B2 (en) * 2009-08-27 2012-06-05 Hitachi Metals, Ltd Multi-mode control loop with improved performance for mass flow controller
US8783027B2 (en) * 2009-09-18 2014-07-22 Siemens Energy, Inc. Pressure regulation circuit for turbine generators
US9127361B2 (en) * 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
JP5562712B2 (ja) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 半導体製造装置用のガス供給装置
JP5696931B2 (ja) * 2010-08-06 2015-04-08 日立金属株式会社 分流制御装置
US9348339B2 (en) 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8997686B2 (en) * 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
US10126760B2 (en) * 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5754853B2 (ja) * 2012-01-30 2015-07-29 株式会社フジキン 半導体製造装置のガス分流供給装置
DE102012003278A1 (de) * 2012-02-20 2013-08-22 Bürkert Werke GmbH Gasmischer
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102064552B1 (ko) * 2013-03-26 2020-01-10 삼성전자주식회사 기판 처리 장치
CN104241164A (zh) * 2013-06-14 2014-12-24 家登精密工业股份有限公司 一种晶圆/光罩密封式载具的充气净化系统
US10114389B2 (en) * 2013-06-28 2018-10-30 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feedback
US10108205B2 (en) 2013-06-28 2018-10-23 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feed-forward adjustment
JP6193679B2 (ja) * 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US9335768B2 (en) * 2013-09-12 2016-05-10 Lam Research Corporation Cluster mass flow devices and multi-line mass flow devices incorporating the same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
GB2520750A (en) * 2013-11-29 2015-06-03 System Sentinels Ltd Detector
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN106103796B (zh) * 2014-03-13 2019-10-22 Mks 仪器公司 用于快速脉冲气体输送的系统和方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
DE102015100762A1 (de) * 2015-01-20 2016-07-21 Infineon Technologies Ag Behälterschalteinrichtung und Verfahren zum Überwachen einer Fluidrate
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9933792B2 (en) * 2015-03-23 2018-04-03 Lancer Corporation Method and apparatus for flow regulation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
JP6738095B2 (ja) 2015-08-26 2020-08-12 株式会社フジキン 分流システム
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10684159B2 (en) * 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
FR3056314B1 (fr) * 2016-09-21 2018-09-07 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Procede et appareil de regulation de plusieurs fluides
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10656662B2 (en) * 2017-09-15 2020-05-19 Kabushiki Kaisha Toshiba Variable pressure device and actuator
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7044629B2 (ja) * 2018-05-18 2022-03-30 株式会社堀場エステック 流体制御装置、及び、流量比率制御装置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7068062B2 (ja) * 2018-06-18 2022-05-16 株式会社堀場製作所 流体制御装置、及び、流量比率制御装置
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11320843B2 (en) * 2019-10-17 2022-05-03 Dongguan Hesheng Machinery & Electric Co., Ltd. Air compression system with pressure detection
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1767588A (en) 1927-04-15 1930-06-24 Cutler Hammer Inc Apparatus for proportioning and controlling the rates of flow of fluids
US1886575A (en) 1931-08-10 1932-11-08 Smoot Engineering Corp Multiple flow regulation
US2314152A (en) 1940-03-30 1943-03-16 Brown Instr Co Control instrument
US2288297A (en) 1940-04-02 1942-06-30 Julius M Naiman Method for controlling the flow of gases
US2638912A (en) 1947-11-21 1953-05-19 Niles Bement Pond Co Fluid distributing apparatus
US2661756A (en) 1951-04-05 1953-12-08 Thompson Prod Inc Flow control apparatus
US2780414A (en) 1952-11-27 1957-02-05 Stamicarbon Heat input stabilization
US3092127A (en) 1958-12-01 1963-06-04 Phillips Petroleum Co Proportioning stream flows
CH380397A (de) 1960-02-19 1964-07-31 Sulzer Ag Regelanordnung zum Aufteilen eines Mediumstromes in mindestens zwei Teilströme
DE1222695B (de) 1960-02-19 1966-08-11 Sulzer Ag Regeleinrichtung zum Aufteilen eines Mediumstromes in mindestens zwei Teilstroeme
US3438385A (en) 1965-01-21 1969-04-15 Honeywell Inc Flow blending control system
US3556126A (en) 1968-11-19 1971-01-19 Ashland Oil Inc Pipeline valve control system
US3762428A (en) 1971-11-15 1973-10-02 Ocean Systems Volumetric gas mixing system
US3802264A (en) 1972-07-10 1974-04-09 Geoscience Ltd Fluid temperature differential flow meter
US4369031A (en) 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
JPS58151614A (ja) * 1982-03-04 1983-09-08 Mitsubishi Electric Corp 流量制御装置
DE3838756C1 (ko) 1988-11-01 1991-08-29 Dr. Huelle Energie - Engineering Gmbh, 3000 Hannover, De
US5031674A (en) * 1989-03-03 1991-07-16 Eaton Corporation Fluid flow control method and apparatus for minimizing particle contamination
US5240046A (en) * 1989-03-03 1993-08-31 Eaton Corporation Fluid flow control method and apparatus for minimizing particle contamination
US5165450A (en) 1991-12-23 1992-11-24 Texaco Inc. Means for separating a fluid stream into two separate streams
US5307833A (en) 1992-10-26 1994-05-03 Texaco Inc. Method and apparatus for automatically transferring and measuring wet steam between priority and secondary users
JPH06205935A (ja) * 1992-12-25 1994-07-26 Toshiba Corp 脱硝制御装置
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
IT1275825B1 (it) 1995-10-30 1997-10-17 Nuovo Pignone Spa Sistema perfezionato per la misura e la regolazione della portata massica di gas
US5684245A (en) * 1995-11-17 1997-11-04 Mks Instruments, Inc. Apparatus for mass flow measurement of a gas
KR970063471A (ko) * 1996-02-14 1997-09-12 김광호 가스 유량제어 장치
US6210482B1 (en) * 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
JP3795699B2 (ja) * 1999-05-18 2006-07-12 株式会社東芝 流量分配装置
US6389364B1 (en) * 1999-07-10 2002-05-14 Mykrolis Corporation System and method for a digital mass flow controller
JP2002110570A (ja) * 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
KR20040019293A (ko) * 2001-05-24 2004-03-05 셀레리티 그룹 아이엔씨 소정 비율의 프로세스 유체를 제공하는 방법 및 장치
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method

Also Published As

Publication number Publication date
TW200401180A (en) 2004-01-16
GB0300036D0 (en) 2003-02-05
TWI259342B (en) 2006-08-01
US20040187928A1 (en) 2004-09-30
US6766260B2 (en) 2004-07-20
KR100944962B1 (ko) 2010-03-02
JP2003263230A (ja) 2003-09-19
DE10300029A1 (de) 2003-07-24
US20030130807A1 (en) 2003-07-10
US7007707B2 (en) 2006-03-07
DE10300029B4 (de) 2021-10-14
GB2385680A (en) 2003-08-27
GB2385680B (en) 2005-12-07

Similar Documents

Publication Publication Date Title
KR100944962B1 (ko) 질량유량분할 시스템과 방법
KR100855935B1 (ko) 유동분할시스템과 방법
KR101113776B1 (ko) 반도체 제조 가스 유량 분할기 시스템 및 방법
JP5613752B2 (ja) マルチ反対称最適制御性能構成を使用する流量比制御装置を含むガス送出方法及びシステム
US5904170A (en) Pressure flow and concentration control of oxygen/ozone gas mixtures
US7621290B2 (en) Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US5520969A (en) Method for in-situ liquid flow rate estimation and verification
US7743670B2 (en) Method and apparatus for gas flow measurement
US9405298B2 (en) System and method to divide fluid flow in a predetermined ratio
US7775236B2 (en) Method and apparatus for controlling gas flow to a processing chamber
US6074691A (en) Method for monitoring the flow of a gas into a vacuum reactor
KR101512961B1 (ko) 오리피스 비율 전도성 제어를 이용하여 유동 분할 에러들을 감소시키기 위한 장치 및 방법들
US20020082783A1 (en) Pressure-based mass flow controller system
US20020083984A1 (en) System for and method of monitoring the flow of semiconductor process gases from a gas delivery system
TW201308483A (zh) 半導體製造裝置之原料氣體供給裝置
US5968588A (en) In-situ liquid flow rate estimation and verification by sonic flow method
KR20090027687A (ko) 차압 측정에 의한 가스 유동 제어
US11150120B2 (en) Low temperature thermal flow ratio controller
WO2002033361A2 (en) Apparatus and method for maintaining a constant pressure drop across a gas metering unit

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130207

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140210

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150206

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160205

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170217

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180208

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20200214

Year of fee payment: 11