KR20010095071A - 반도체 장치의 제조방법 및 반도체 제조장치 - Google Patents

반도체 장치의 제조방법 및 반도체 제조장치 Download PDF

Info

Publication number
KR20010095071A
KR20010095071A KR1020010016389A KR20010016389A KR20010095071A KR 20010095071 A KR20010095071 A KR 20010095071A KR 1020010016389 A KR1020010016389 A KR 1020010016389A KR 20010016389 A KR20010016389 A KR 20010016389A KR 20010095071 A KR20010095071 A KR 20010095071A
Authority
KR
South Korea
Prior art keywords
gas
ruthenium
oxygen
reaction chamber
mixing chamber
Prior art date
Application number
KR1020010016389A
Other languages
English (en)
Other versions
KR100720880B1 (ko
Inventor
츠네다마사유키
이타타니히데하루
Original Assignee
엔도 마코토
가부시키가이샤 히다치 고쿠사이 덴키
가나이 쓰도무
가부시끼가이샤 히다치 세이사꾸쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔도 마코토, 가부시키가이샤 히다치 고쿠사이 덴키, 가나이 쓰도무, 가부시끼가이샤 히다치 세이사꾸쇼 filed Critical 엔도 마코토
Publication of KR20010095071A publication Critical patent/KR20010095071A/ko
Application granted granted Critical
Publication of KR100720880B1 publication Critical patent/KR100720880B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

가스혼합실 (6) 의 최적형상의 신중한 결정을 특별히 필요로 하지 않는 반도체 장치의 제조방법 및 반도체 제조장치를 제공한다.
루테늄 액체원료를 기화한 루테늄 원료가스를 공급하는 배관 (4) 과 산소함유가스 공급배관 (5) 을 가스혼합실 (6) 의 상류측에서 접속하여, 루테늄 원료가스 및 산소원자를 함유하는 가스 (예를 들면 산소 (O2), 오존 (O3) 등) 를 가스혼합실 (6) 진입전에 혼합시키도록 한다.

Description

반도체 장치의 제조방법 및 반도체 제조장치{METHOD AND APPARTUS FOR MANUFACTURING SEMICONDUCTOR DEVICES}
본 발명은 기판 상에 루테늄막 또는 산화 루테늄막을 형성하기 위한 반도체 장치의 제조방법 및 반도체 제조장치에 관한 것이다.
기판 상에 루테늄막 또는 산화 루테늄막을 형성하는 방법의 하나로, 기판을 설치한 반응실 내에 루테늄 원료가스 및 산소원자를 함유하는 가스 (예컨대, 산소 (O2), 오존 (O3) 등) 를 기상(氣相)반응시키는 방법이 알려져 있다.
도 5 는, 이러한 방법을 사용하는 종래의 반도체 제조장치의 일례를 설명하기 위한 도면이다. 도 5 의 반도체 제조장치는, 반응실 (1), 루테늄 액체원료를 수용하는 용기 (2), 루테늄 액체원료를 기화하는 기화기 (3), 기화한 루테늄 원료가스를 반응실에 공급하는 루테늄 원료가스 공급배관 (4), 산소원자를 함유하는 가스, 예를 들면 산소 (O2), 오존 (O3) 등을 상기 반응실에 공급하는 산소함유가스 공급배관 (5), 가스혼합실 (6), 캐리어가스배관 (11), 배기배관 (22) 을 갖추고 있다.
반응실 (1) 에는, 기판 (7), 기판 (7) 을 지지함과 동시에 가열원 (도시 생략) 을 갖춘 기판홀더 (8), 또 루테늄 원료가스와 산소원자를 함유하는 가스 (예컨대 산소 (O2), 오존 (O3) 등) 와의 혼합가스를 방출하는 노즐 (9) 이 설치되어 있다.
가스혼합실 (6) 은 루테늄 원료가스 공급배관 (4) 및 산소함유가스 공급배관 (5) 과 반응실 (1) 과의 사이에 설치되어, 루테늄 원료가스 및 산소원자를 함유하는 가스 (예를 들면 산소 (O2), 오존 (O3) 등) 를 반응실 (1) 에 공급하기 전에 혼합하는 역할을 하고 있다.
그러나, 상기와 같은 종래의 반도체 제조장치는, 가스혼합실 (6) 의 형상을 설계하는 것이 극히 곤란하다는 결점이 있다. 즉, 반도체 장치에 요구되는 하나의 특성은 시트 저항의 기판면내 균일성이지만, 이것을 얻기 위해 가스혼합실 (6) 내의 가스혼합 스페이스를 가스가 잘 섞이도록 하기 위해 최적형상으로 할 필요가 있다. 도 6 은, 가스혼합실 (6) 내에서의 가스혼합 스페이스의 형상을 설명하기 위한 도이다. 도 6a 는, 가스혼합실 (6) 내의 투시도이다. 도 6a에서, 가스혼합실 (6) 은 확산판 (12) 이 내부에 설치되고, 그 상류 및 하류측에 가스혼합 스페이스 (13) 가 설치되어 있다. 도 6b 는, 확산판 (12) 의 평면도이다. 확산판 (12) 에는 복수개의 구멍 (14) 이 형성되어 있어, 루테늄 원료가스 공급배관 (4) 및 산소함유가스 공급배관 (5) 으로부터 도입된 가스가 이 구멍(14) 의 통과 전후에서 혼합된다.
가스혼합 스페이스 (13) 의 최적형상은 막형성 압력, 가스유량 등의 막형성 조건이 변경될 때마다 재설정할 필요가 있어, 종래에는 그때마다 가스혼합의 시뮬레이션 또는, 다수 형상의 시제품 제작에 의한 실기평가 등을 실시하여 최적형상을 결정하고 있어 비용이 많이 들었다.
따라서, 본 발명의 목적은, 가스혼합실 (6) 의 최적형상의 신중한 결정을 특별히 필요로 하지 않고, 또는 가스혼합실 (6) 을 사용하지 않고도, 요구되는 특성을 갖는 반도체 장치, 예를 들면 양호한 시트 저항의 기판면내 균일성을 갖는 반도체 장치를 얻을 수 있는 방법 및 반도체 제조장치를 제공하는 것이다.
도 1 은 본 발명의 반도체 제조장치의 제 1 실시예를 설명하기 위한 도면.
도 2 는 본 발명의 반도체 제조장치의 제 2 실시예를 설명하기 위한 도면.
도 3 은 제 3 실시예에 따른 BST 막 제조용 장치를 설명하기 위한 도면.
도 4 는 본 발명의 제조방법을 사용하여 형성된 루테늄막 또는 산화 루테늄막을 포함하는 DRAM 의 일부를 나타낸 단면도.
도 5 는 종래의 반도체 제조장치의 일례를 설명하기 위한 도면.
도 6 은 가스혼합실내에서의 가스혼합 스페이스의 형상을 설명하기 위한 도면.
도 7 은 도 3 에 나타낸 반도체 제조장치의 확산판을 나타낸 도면.
도 8 은 도 7 의 A-A 단면도.
* 도면의 주요 부분에 대한 부호의 설명 *
1 : 반응실
2 : 루테늄 액체원료를 수용하는 용기
3 : 루테늄 액체원료를 기화하는 기화기
4 : 루테늄 원료가스 공급배관
5 : 산소함유가스 공급배관
6 : 가스혼합실
7 : 기판
8 : 기판홀더
9 : 노즐
11 : 캐리어가스배관
12 : 확산판
13 : 가스혼합 스페이스
14 : 구멍
즉, 본 발명은, 루테늄 액체원료를 기화한 루테늄 원료가스와, 산소원자를 함유하는 가스를 사용하여, 반응실 내에서 기판상에 루테늄막 또는 산화 루테늄막을 형성할 때에, 상기 루테늄 원료가스와 산소원자를 함유하는 가스를 반응실의 상류측 배관내에서 혼합시키도록 한 것을 특징으로 하는 반도체 장치의 제조방법을 제공하는 것이다.
이러한 구성에 의하면, 가스혼합실의 최적형상의 신중한 결정을 특별히 필요로 하지 않고, 또는 가스혼합실을 사용하지 않아도, 기화한 루테늄 원료가스와 산소원자를 함유하는 가스를 충분히 혼합시킬 수 있다.
또한, 본 발명은, 상기 제조방법에 있어서, 상기 루테늄 원료가스와 산소원자를 함유하는 가스를 배관내에서 혼합시킨 다음, 또 상기 혼합지점과 반응실의 사이에 설치된 가스혼합실에서 두 가스를 추가로 혼합하도록 한 것을 특징으로 하는 반도체 장치의 제조방법을 제공하는 것이다.
이러한 구성에 의하면, 가스혼합실에서 두 가스를 추가로 혼합하고 있기 때문에, 기화한 루테늄 원료가스와 산소원자를 함유하는 가스를 추가로 충분히 혼합시킬 수 있다.
또한, 본 발명은, 기판 상에 루테늄막 또는 산화 루테늄막을 형성하는 반응실과, 루테늄 액체원료를 수용하는 용기, 루테늄 액체원료를 기화하는 기화실, 기화한 루테늄원료 가스를 상기 반응실에 공급하는 루테늄 원료가스 공급배관, 및 산소원자를 함유하는 가스를 상기 반응실에 공급하는 산소함유가스 공급배관을 갖는 반도체 제조장치에 있어서, 상기 루테늄 원료가스 공급배관과 상기 산소함유가스 공급배관을 상기 반응실의 상류측에서 접속하고, 상기 루테늄 원료가스 및 상기 산소원자를 함유하는 가스를 상기 반응실로의 공급전에 혼합시키도록 한 것을 특징으로 하는 반도체 제조장치를 제공하는 것이다.
이러한 구성에 의하면, 루테늄 원료가스 및 산소원자를 함유하는 가스가, 반응실로의 공급전에 충분히 혼합되기 때문에, 가스혼합실을 사용하지 않고도 요구되는 특성을 갖는 반도체 장치, 예를 들면 양호한 시트 저항의 기판면내 균일성을 갖는 반도체장치를 제공할 수 있다. 또한, 가스혼합실을 사용하지 않기 때문에 한층 저비용화를 달성할 수 있다. 또한, 액화하기 용이한 루테늄 원료가스의 분압을 낮출 수 있어, 기화기에서 반응실까지의 사이에서의 루테늄 원료가스의 재액화가 방지되어, 루테늄 원료가스의 안정적 공급이 가능해진다.
또한, 본 발명은, 상기 반도체 제조장치에 있어서, 상기 루테늄 원료가스 공급배관과 상기 산소함유가스 공급배관의 접속부와, 상기 반응실과의 사이에 가스혼합실을 갖추고, 상기 가스혼합실에 의해, 상기 배관내에서 혼합시킨 루테늄 원료가스와 산소원자를 함유하는 가스를 상기 반응실로의 공급전에 추가로 혼합시키도록 한 것을 특징으로 하는 반도체 제조장치를 제공하는 것이다.
이러한 구성에 의하면, 루테늄 원료가스 및 산소원자를 함유하는 가스가, 가스혼합실 진입전에 충분히 혼합되기 때문에, 가스혼합실의 최적형상의 신중한 결정을 특별히 필요로 하지 않으면서 요구되는 특성을 갖는 반도체 장치, 예를 들면 양호한 시트 저항의 기판면내 균일성을 갖는 반도체 장치를 제공할 수 있다. 또한, 가스혼합실의 최적형상의 신중한 결정을 특별히 필요로 하지 않기 때문에, 저비용화를 달성할 수 있다. 또한, 가스혼합실의 형상을 간소화할 수 있으면 액화하기 용이한 루테늄 원료가스의 분압을 낮출 수 있어, 기화기로부터 반응실까지의 사이에서의 루테늄 원료가스의 재액화가 방지되어, 루테늄 원료가스의 안정적 공급이 가능해진다.
제 1 실시예
도 1 은 본 발명의 반도체 제조장치의 제 1 실시예를 설명하기 위한 도면이다. 도 1 의 반도체 제조장치는, 종래의 장치와 마찬가지로 반응실 (1), 루테늄 액체원료를 수용하는 용기 (2), 루테늄 액체원료를 기화하는 기화기 (3), 기화한 루테늄 원료가스를 반응실로 공급하는 루테늄 원료가스 공급배관 (4), 산소원자를 함유하는 가스, 예를 들면 산소 (O2), 오존 (O3) 등을 상기 반응실로 공급하는 산소함유가스 공급배관 (5), 가스혼합실 (6), 캐리어가스배관 (11), 배기배관 (22) 을 갖추고 있다. 또한, 반응실 (1) 에는, 기판 (7), 기판 (7) 을 지지함과 동시에 가열원 (도시 생략) 을 갖춘 기판홀더 (8), 또 루테늄 원료가스와 산소와의 혼합가스를 샤워상태로 방출하는 노즐 (샤워 헤드: 9) 이 설치되어 있다.
다음으로, 이 반도체 제조장치를 사용하여 기판상에 루테늄막 또는 산화 루테늄막을 퇴적하는 방법에 대하여 설명한다. 우선, 반응실 (1) 내에 반송되어, 기판홀더 (8) 상에 탑재된 기판 (7) 을 기판홀더 (8) 에 구비된 히터에 의해 처리온도까지 가열한다. 이어서, 루테늄 원료가스 공급배관 (4) 으로부터 루테늄 원료가스를 공급하고, 산소함유가스 공급배관 (5) 으로부터 산소원자를 함유하는 산소함유가스를 공급한다. 이 경우, 루테늄 원료가스와 산소함유가스가 배관내에서 혼합되고, 혼합된 두 가스가 가스혼합실 (6), 샤워헤드 (9) 를 통해 기판 (7) 상에 공급되며, 산소함유가스 중의 산소와 루테늄 원료가스가 화학반응하여 기판 (7) 상에 루테늄막 또는 산화 루테늄막이 퇴적된다. 그리고, 루테늄 원료가스, 산소함유가스의 공급을 정지하고, N2가스 등의 불활성기체에 의해 반응실 (1) 안을 퍼지하여, 잔류가스를 제거한 다음, 처리가 완료된 기판 (7) 을 반응실 (1) 로부터꺼낸다.
본 발명의 제 1 실시예에 따르면, 루테늄 원료가스 공급배관 (4) 과 산소함유가스 공급배관 (5) 이 가스혼합실 (6) 의 상류측에서 접속되어 있다. 이에 의해 루테늄 원료가스 및 산소원자를 함유하는 가스 (예를 들면 산소 (O2), 오존 (O3) 등) 가 가스혼합실 진입전에 충분히 혼합된다. 루테늄 원료가스 공급배관 (4) 과 산소함유가스 공급배관 (5) 의 접속 장소는, 가스혼합실 (6) 의 상류측이라면 특별히 제한되지 않는다. 루테늄 원료가스 공급배관 (4) 과 산소함유가스 공급배관 (5) 을 가스혼합실 (6) 의 상류측에서 단순히 접속시킨 것만으로, 이들이 가스혼합실 진입전에 충분히 혼합되는 것은 배관내에서 양 가스가 합류했을 때에 생기는 난류(亂流)에 의한 것으로 추측된다. 또, 이 효과는 루테늄 원료가스 및 산소원자를 함유하는 가스를 사용했을 때에만 확인되는 현상으로, 원료가스로서 루테늄 원료가스 외의 것을 사용한 경우, 또는 반응가스로서 산소원자를 함유하는 가스 외의 것을 사용한 경우에는 상기 효과가 나타나지 않는다. 또, 본 발명에서는 루테늄 액체원료를 사용함으로써, 예를 들면 루테늄 파우더 형태의 원료 (예를 들면, 파우더 형태의 디피발로일메타네이트루테늄 (dipivaloyl methanate ruthenium) 원료) 를 사용한 경우에 비하여, 파티클의 발생을 억제할 수 있어, 시트 저항의 기판면내 균일성을 한층 높일 수 있다.
또한, 종래에는 당업자의 상식적 견해로서 (예를 들면 일본 공개특허공보 2000-58529 호), 루테늄 원료가스 공급배관 (4) 과 산소함유가스 공급배관 (5) 을가스혼합실 (6) 의 상류측에서 접속하면, 루테늄 원료와 산소원자를 함유하는 가스가 배관내에서 반응하여 막힘이 발생하는 것으로 생각되어졌었다 (상기 공보의 0022 란 참조). 따라서, 종래기술에서는, 루테늄 액체원료 Ru(C5H4C2H5)2를 기화한 루테늄 원료가스와 산소원자를 함유하는 가스를 별도의 배관에 의해 반응실로 공급하고 있다. 그러나, 본 발명자들이 예의 검토한 결과, 루테늄 원료가스와 산소함유가스를 배관내에 동시에 도입하여도 상기 문제점이 발생하지 않음이 발견되어, 본 발명을 완성할 수 있었다.
본 발명에서 사용되는 루테늄 액체원료는 특별히 제한되지 않지만, 예를 들면 Ru(C5H4C2H5)2가 대표적이다.
또한, 루테늄 원료가스와 산소원자를 함유하는 가스 (예컨대 산소 (O2), 오존 (O3) 등) 의 유량비도 특별히 제한되지 않고, 기판 상에 형성되는 막의 종류 (즉, 루테늄막 또는 산화 루테늄막) 에 의해 적절히 결정할 수 있다. 캐리어가스배관 (11) 으로 흘러들어가는, 루테늄 원료가스 반송을 위한 캐리어가스도 공지의 것으로부터 적절히 선택할 수 있으며, 예를 들면 N2및 Ar 가스를 들 수 있다.
제 2 실시예
도 2 는, 본 발명의 반도체 제조장치의 다른 실시형태를 설명하기 위한 도면이다. 도 2 의 반도체 제조장치는 도 1 과 동일한 구성이지만, 가스혼합실 (6) 을 가지고 있지 않은 점이 상이하다.
이 실시형태에 의하면, 루테늄 원료가스 공급배관 (4) 과 산소함유가스 공급배관 (5) 이 반응실 (1) 의 상류측에서 접속되어 있다. 이것에 의해 루테늄 원료가스 및 산소원자를 함유하는 가스 (예컨대 산소 (O2), 오존 (O3) 등) 가 반응실 (1) 에 공급되기 전에 충분히 혼합된다. 루테늄 원료가스 공급배관 (4) 과 산소함유가스 공급배관 (5) 의 접속 장소는, 반응실 (1) 의 상류측이면 특별히 제한되지 않는다. 루테늄 원료가스 공급배관 (4) 과 산소함유가스 공급배관 (5) 을 반응실 (1) 의 상류측에서 단순히 접속시킨 것만으로, 이들이 가스혼합실 진입전에 충분히 혼합되는 것은 제 1 실시예에서 설명한 바와 같이, 배관내에서 양 가스가 합류했을 때에 생기는 난류에 의한 것으로 추측된다. 또, 이 효과는 루테늄 원료가스 및 산소원자를 함유하는 가스를 사용했을 때에만 확인되는 현상으로, 원료가스로서 루테늄 원료가스 외의 것을 사용한 경우, 또는 반응가스로서 산소원자를 함유하는 가스 외의 것을 사용한 경우에는 상기 효과가 나타나지 않는다.
이 실시형태에 있어서도, 상기와 마찬가지로 루테늄 액체원료, 루테늄 원료가스와 산소원자를 함유하는 가스 (예컨대 산소 (O2), 오존 (O3) 등) 와의 유량비, 캐리어가스 등은 특별히 제한되지 않으며, 당업자가 적절히 선택할 수 있다.
제 3 실시예
상기에서 설명한 바와 같이, 본 발명에 의하면, 루테늄 원료가스와 산소원자를 함유하는 가스를, 반응실의 상류측 배관내에서 혼합시켜, 양 가스를 충분히 혼합시킬 수 있기 때문에, 루테늄막 또는 산화 루테늄막의 막형성에는 사용하지 않는 별도의 장치, 예를 들면 BST ((Ba,Sr)TiO3) 막 제조용 장치의 가스혼합실을 루테늄막 또는 산화 루테늄막의 막형성에 그대로 이용할 수 있다.
도 3 은 본 제 3 실시예에 따른 BST 막제조용 장치의 가스혼합실을 그대로 이용한 루테늄막 또는 산화 루테늄막을 형성하는 장치를 설명하기 위한 도면이다. 도 3 에 나타낸 바와 같이, 반응실 (21) 에 배기배관 (22) 이 접속되고, 배기배관 (22) 은 진공배기장치에 접속되어 있다 (도시생략). 또한, 반응실 (21) 에 기판도입구 (27) 가 설치되고, 기판도입구 (27) 에 게이트밸브 (28) 가 설치되며, 이들을 통하여 기판 (26) 이 반응실 (21) 내로 도입된다. 또한, 반응실 (21) 내에는 히터 (23) (제 1 및 제 2 실시예의 기판홀더 (8) 에 상당) 가 설치되고, 히터 (23) 를 승강하는 승강수단 (24) 이 설치되며, 히터 (23) 에 승강이 가능하도록 밀어올림핀 (25) 이 설치되어, 기판 (26) 을 반응실로 도입 또는 배출할 때, 밀어올림핀 (25) 상에 기판 (26) 이 탑재된다. 또한, 기판에 대하여 막형성을 실시할 때는, 히터 (23) 를 막형성 위치까지 상승시킨다. 이 때, 밀어올림핀 (25) 은 히터 (23) 내에 수용되고, 기판 (26) 은 히터 (23) 상에 탑재되게 된다.
또한, 반응실 (21) 의 상부에 샤워헤드 (31) 가 설치되고, 샤워헤드 (31) 상에 중간확산판 (30) 이 설치되며, 중간확산판 (30) 상에 확산판 (29) 이 설치되어 있다. 그리고, 확산판 (29) 및 중간확산판 (30) 으로 이루어지는 가스혼합실 (6) 과 샤워헤드 (31) 로 가스공급수단이 구성되어 있다. 또한, 가스공급수단을 덮도록 히터 (32) 가 설치되어 있다. 또한, 장치의 기타 구성, 특히 루테늄 원료가스 공급배관 (4) 과 산소함유가스 공급배관 (5) 이 가스혼합실 (6) 의 상류측에서 접속되어 있는 것은 상기 실시예와 동일하다. 혼합된 두 가스는, 히터를 관통한 배관 (33 및 34) 을 통하여 가스혼합실 (6) 에 보내진다. 또한, 배관 (33) 은, BST 막을 형성하는 경우의 Ba 원료, Sr 원료, Ti 원료를 기화한 혼합원료가스를 공급하는 배관에 해당하며, 배관 (34) 은 BST 막을 형성하는 경우의 산소함유가스를 공급하는 배관에 해당한다.
가스혼합실 (6) 의 내부구조는 특별히 제한되지 않지만, 그 일례에 대해서 설명한다. 도 7 은 도 3 에 도시된 반도체 제조장치의 확산판을 나타낸 도면, 도 8 은 도 7 의 A-A 단면도이다. 확산판 (29) 에는, 혼합된 루테늄 원료가스와 산소원자를 함유하는 가스를 수평면내에서 유통시키는 소용돌이 형상의 혼합가스유로 (35 및 36) 가 설치되어, 배관 (33) 이 혼합가스유로 (35, 종래의 혼합원료가스유로) 에 통합되어 있고, 배관 (34) 이 혼합가스유로 (36, 종래의 산소함유가스유로) 에 통합되어 있으며, 혼합가스유로 (35 와 36) 는 각각 인접하여 설치되고, 또한 혼합가스유로 (35 와 36) 에 통합되는 복수의 분출구멍 (37) 이 형성되어 있다. 또한, 중간확산판 (30) 에는 분출구멍 (37) 과 대응하지 않는 위치에 복수의 관통구멍이 형성되어 있다. 또한, 샤워헤드 (11) 에는 길이방향 치수와 직경방향 치수와의 비가 큰 다수의 관통구멍이 형성되어 있다. 그리고, 확산판 (29) 은 루테늄 원료가스와 산소원자를 함유하는 가스의 혼합가스를 수평방향으로 확산시켜 밑으로 흐르게 하며, 또한 중간확산판 (30) 은 확산판 (29) 에 의해 확산된 혼합가스를 더욱 확산하고, 샤워헤드 (31) 는 기판 (26) 에 대하여 샤워 형태로 루테늄 원료가스와 산소가스를 함유하는 가스의 혼합가스를 공급하며, 반응실 (1) 은 반도체 웨이퍼 (6) 상에 루테늄막 또는 산화 루테늄막을 형성한다. 또한,상기 확산판의 형상은 극히 일례이며, 이 형상으로 한정되는 것은 아니다.
제 4 실시예
도 4 는 본 발명의 제조방법을 사용하여 형성된 루테늄막 또는 산화 루테늄막을 포함하는 DRAM 의 일부를 나타낸 단면도이다.
도 4 에 나타낸 바와 같이, 실리콘기판 (61) 의 표면에 다수의 트랜지스터 형성영역을 분리형성하는 필드산화막 (62) 이 형성되고, 실리콘기판 (61) 의 표면부에 소스전극 (63), 드레인전극 (64) 이 형성되며, 소스전극 (63) 과 드레인전극 (64) 과의 사이에 게이트절연막 (65) 을 통하여 워드선을 겸한 게이트전극 (66) 이 형성되고, 게이트절연막 (65) 상에 층간절연막 (67) 이 형성되며, 층간절연막 (67) 에 콘택트구멍 (68) 이 형성되고, 콘택트구멍 (68) 내에 소스전극 (63) 에 접속된 플러그전극 (75) 및 배리어메탈 (69) 이 형성되며, 층간절연막 (67) 상에 층간절연막 (70) 이 형성되고, 층간절연막 (70) 에 콘택트구멍 (71) 이 형성되며, 층간절연막 (70) 및 콘택트구멍 (71) 내에 루테늄으로 이루어지며 배리어메탈 (69) 과 접속된 용량하부전극 (72) 이 형성되고, 용량하부전극 (72) 상에 Ta2O5로 이루어지는 용량절연막 (73) 이 형성되고, 용량절연막 (73) 상에 루테늄, 또는 티탄나이트라이드 (titane nitride) 등으로 이루어지는 용량상부전극 (74) 이 형성되어 있다. 즉, 이 DRAM 에서는 MOS 트랜지스터의 소스전극 (63) 에 커패시터셀이 접속되어 있다.
다음으로, 도 4 에 나타낸 DRAM 의 제조방법에 대하여 설명한다. 우선, 실리콘기판 (61) 의 표면의 트랜지스터 형성영역 주위에 LOCOS 법에 의해 필드산화막 (62) 을 형성한다. 다음으로, 트랜지스터 형성영역에 게이트절연막 (65) 을 통하여 게이트전극 (66) 을 형성한다. 그리고, 필드산화막 (62), 게이트전극 (66) 을 마스크로 한 이온주입법에 의해 실리콘기판 (61) 의 표면에 불순물을 도입하여, 자기정합(自己整合)적으로 소스전극 (63), 드레인전극 (64) 을 형성한다. 또, 게이트전극 (66) 을 절연막으로 덮은 후, 층간절연막 (67) 을 형성한다. 그리고, 층간절연막 (67) 에 소스전극 (63) 을 노출하는 콘택트구멍 (68) 을 형성하고, 콘택트구멍 (68) 내에 플러그전극 (75) 및 배리어메탈 (79) 을 형성한다. 다음, 층간절연막 (67) 상에 층간절연막 (70) 을 형성하고, 층간절연막 (70) 에 배리어메탈 (69) 을 노출하는 콘택트구멍 (71) 을 형성한다. 다음, 층간절연막 (70) 상 및 콘택트구멍 (71) 내에, 본 발명의 제조방법에 의해 루테늄막 또는 산화 루테늄막을 퇴적하고, 루테늄막의 패터닝을 실시함으로써, 용량하부전극 (72) 을 형성한다. 다음, 용량하부전극 (72) 상에 Ta2O5로 이루어지는 용량절연막 (73) 을 형성하고, 용량절연막 (73) 상에 루테늄, 또는 티탄나이트라이드 등으로 이루어지는 용량상부전극 (74) 을 형성한다.
본 발명에 의하면, 가스혼합실의 최적형상의 신중한 결정을 특별히 필요로 하지 않고, 또는 가스혼합실을 사용하지 않아도, 요구되는 특성을 갖는 반도체 장치, 예를 들면 양호한 시트 저항의 기판면내 균일성을 갖는 반도체 장치를 얻을 수 있는 방법 및 반도체 제조장치를 제공할 수 있다.

Claims (4)

  1. 루테늄 액체원료를 기화한 루테늄 원료가스와 산소원자를 함유하는 가스를 사용하여, 반응실 내에서 기판상에 루테늄막 또는 산화 루테늄막을 형성하는 경우에 있어서,
    상기 루테늄 원료가스와 상기 산소원자를 함유하는 가스를, 상기 반응실의 상류측 배관내에서 혼합시키도록 한 것을 특징으로 하는 반도체 장치의 제조방법.
  2. 제 1 항에 있어서,
    상기 루테늄 원료가스와 상기 산소원자를 함유하는 가스를 배관내에서 혼합시킨 후, 상기 혼합지점과 상기 반응실의 사이에 설치된 가스혼합실에서 두 가스를 추가로 혼합하도록 한 것을 특징으로 하는 반도체 장치의 제조방법.
  3. 기판 상에 루테늄막 또는 산화 루테늄막을 형성하는 반응실,
    루테늄 액체원료를 수용하는 용기,
    루테늄 액체원료를 기화하는 기화실,
    기화한 루테늄원료 가스를 상기 반응실로 공급하는 루테늄 원료가스 공급배관, 및
    산소원자를 함유하는 가스를 상기 반응실로 공급하는 산소함유가스 공급배관을 갖는 반도체 제조장치에 있어서,
    상기 루테늄 원료가스 공급배관과 상기 산소함유가스 공급배관을 상기 반응실의 상류측에서 접속하여, 상기 루테늄 원료가스 및 상기 산소원자를 함유하는 가스를 상기 반응실로의 공급전에 혼합시키도록 한 것을 특징으로 하는 반도체 제조장치.
  4. 제 3 항에 있어서,
    상기 루테늄 원료가스 공급배관과 상기 산소함유가스 공급배관의 접속부와 상기 반응실과의 사이에 가스혼합실을 구비하고, 상기 가스혼합실에 의해 상기 배관내에서 혼합시킨 루테늄 원료가스와 산소원자를 함유하는 가스를 상기 반응실로의 공급전에 추가로 혼합시키도록 한 것을 특징으로 하는 반도체 제조장치.
KR1020010016389A 2000-03-30 2001-03-29 반도체 장치의 제조방법 및 반도체 제조장치 KR100720880B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000094119 2000-03-30
JP2000-94119 2000-03-30
JP2001061124A JP2001342570A (ja) 2000-03-30 2001-03-06 半導体装置の製造方法および半導体製造装置
JP2001-61124 2001-03-06

Publications (2)

Publication Number Publication Date
KR20010095071A true KR20010095071A (ko) 2001-11-03
KR100720880B1 KR100720880B1 (ko) 2007-05-22

Family

ID=26588889

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010016389A KR100720880B1 (ko) 2000-03-30 2001-03-29 반도체 장치의 제조방법 및 반도체 제조장치

Country Status (4)

Country Link
US (1) US6682971B2 (ko)
JP (1) JP2001342570A (ko)
KR (1) KR100720880B1 (ko)
TW (1) TW492073B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI607110B (zh) * 2013-08-14 2017-12-01 Asm智慧財產控股公司 形成包括鍺錫之膜的方法及包括膜的結構及裝置

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3761457B2 (ja) * 2001-12-04 2006-03-29 Necエレクトロニクス株式会社 半導体基板の薬液処理装置
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
CN111816586A (zh) * 2020-05-18 2020-10-23 中国科学院微电子研究所 一种用于半导体制造的气体混合设备及混合方法
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3224450B2 (ja) * 1993-03-26 2001-10-29 日本酸素株式会社 酸化ルテニウムの成膜方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI607110B (zh) * 2013-08-14 2017-12-01 Asm智慧財產控股公司 形成包括鍺錫之膜的方法及包括膜的結構及裝置

Also Published As

Publication number Publication date
JP2001342570A (ja) 2001-12-14
US6682971B2 (en) 2004-01-27
US20010039115A1 (en) 2001-11-08
KR100720880B1 (ko) 2007-05-22
TW492073B (en) 2002-06-21

Similar Documents

Publication Publication Date Title
KR100720880B1 (ko) 반도체 장치의 제조방법 및 반도체 제조장치
JP4560166B2 (ja) 半導体ウェハの処理装置
TWI423326B (zh) 半導體製程用氧化裝置與方法
US6015591A (en) Deposition method
KR101022684B1 (ko) 혼합기, 박막 제조 장치 및 박막 제조 방법
KR100300834B1 (ko) 고유전률박막형성용cvd장치및고유전률박막형성방법
CN100459148C (zh) 半导体装置
US20070234955A1 (en) Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
US7892358B2 (en) System for introducing a precursor gas to a vapor deposition system
KR20010081936A (ko) 반도체 장치의 제조방법 및 반도체 제조장치
JP2001059176A (ja) 成膜方法および成膜装置
CN101165856A (zh) 半导体处理用氧化装置和方法
JP2000144432A (ja) ガス噴射ヘッド
US5693579A (en) Semiconductor manufacturing method and semiconductor device manufacturing apparatus
US20030077388A1 (en) Method and apparatus for chemical vapor deposition capable of preventing contamination and enhancing film growth rate
KR20030092093A (ko) 기상성장장치
JP2007335755A (ja) 基板処理装置および基板処理方法
TW202108812A (zh) 用於處理系統的動態多區流動控制
KR100542777B1 (ko) 반도체장치의 제조방법 및 반도체 제조장치
US7858522B2 (en) Method for reducing carbon monoxide poisoning in a thin film deposition system
JP4008644B2 (ja) 半導体製造装置
KR100769513B1 (ko) 반도체장치의 제조방법 및 반도체 제조장치
JP2003303819A (ja) 基板処理装置および半導体装置の製造方法
US6461961B1 (en) Methods of manufacturing semiconductor devices with ruthenium films formed by CVD using an oxygen-containing reactant gas
JP4459541B2 (ja) 薄膜形成装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120220

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130423

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee