KR20010075264A - 저 이물질 고밀도 플라즈마 에칭 챔버 및 그 제조 방법 - Google Patents

저 이물질 고밀도 플라즈마 에칭 챔버 및 그 제조 방법 Download PDF

Info

Publication number
KR20010075264A
KR20010075264A KR1020017003624A KR20017003624A KR20010075264A KR 20010075264 A KR20010075264 A KR 20010075264A KR 1020017003624 A KR1020017003624 A KR 1020017003624A KR 20017003624 A KR20017003624 A KR 20017003624A KR 20010075264 A KR20010075264 A KR 20010075264A
Authority
KR
South Korea
Prior art keywords
chamber
liner
support
plasma
liner support
Prior art date
Application number
KR1020017003624A
Other languages
English (en)
Other versions
KR100566908B1 (ko
Inventor
위커토마스이.
마라쉰로버트에이.
케네디윌리암에스.
Original Assignee
리차드 에이치. 로브그렌
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 리차드 에이치. 로브그렌, 램 리서치 코포레이션 filed Critical 리차드 에이치. 로브그렌
Publication of KR20010075264A publication Critical patent/KR20010075264A/ko
Application granted granted Critical
Publication of KR100566908B1 publication Critical patent/KR100566908B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12347Plural layers discontinuously bonded [e.g., spot-weld, mechanical fastener, etc.]

Abstract

웨이퍼를 잡는 정전기 척과, 높은 에칭 저항성과 이물질 발생에 영향을 적게 받고 그리고 온도를 제어가능하게 하는 소모 부품들을 구비한 고밀도 플라즈마 공정 챔버가 개시된다. 소모 부품들은 하부 지지부와 정전기 척을 감싸도록 형성된 벽부를 갖는 챔버 라이너를 구비한다. 또한, 소모 부품들은 하부 연장부, 플렉시블 벽부 및 상부 연장부를 갖는 라이너 지지 구조를 구비한다. 플렉시블 벽부는 챔버 라이너 벽부의 외부 표면을 감싸도록 형성되고, 라이너 지지부 플렉시블 벽부는 챔버 라이너 벽부와 공간적으로 이격된다. 그러나, 라이너 지지부의 하부 연장부는 챔버 라이너의 하부 지지부와 직접적인 열접촉 상태에 있도록 형성된다. 더불어서, 배플 링이 소모 부품들의 일부분이 되어, 챔버 라이너 및 라이너 지지부와 함께 열 접촉상태로 조립되게 형성된다. 라이너 지지부에서 챔버 라이너와 배플 링에 온도를 전도하는 열을 위해 히터가 라이너 지지부에 열적으로 접속될 수 있다. 가장 바람직한 실시예에 있어서, 챔버 라이너와 배플 링은 에칭되는 웨이퍼 상의 재료에 무해한 재료들로 만들어진다. 이 같은 방법에 있어서, 이들 재료들이 고밀도 플라즈마 스퍼터링 에너지에 노출되면, 웨이퍼의 표면 층들을 에칭하는 동안 생성되는 휘발성 에칭 생성물들과 실질적으로 유사한 휘발성 생성물들이 생성될 것이다. 이때, 이들 휘발성 생성물들은 챔버에서 제거될 수 있다.

Description

저 이물질 고밀도 플라즈마 에칭 챔버 및 그 제조 방법{Low contamination high density plasma etch chambers and methods for making the same}
집적 회로 디바이스에 있어서 그 외관 사이즈와 동작 전압 모두가 지속적으로 줄어듬에 따라, 그 제조 생산성은 미립자와 금속성 불순 이물질에 더욱 영향을 받게 되었다. 결국, 더 작은 외관 사이즈를 갖는 집적 회로 디바이스를 조립하는 것은 미립자와 금속 이물질의 수준이 수용가능한 것으로 이전에 고려되었던 것 보다 더 적어야 한다.
일반적으로, 상기 집적 회로 디바이스(웨이퍼 형태)의 제조는 플라즈마 에칭 챔버를 이용하게 되는데, 그것은 포토레지스트 마스크에 의해 정해진 선택 층을 에칭할 수 있다. 상기 공정 챔버는 무선 주파수(RF) 파워가 상기 공정 챔버의 하나 이상의 전극에 인가되는 동안 공정용 가스(즉, 에칭 화학물)를 받아들이게 구성되어 있다. 또한, 상기 공정 챔버의 내부 압력은 개별 공정을 위해 제어된다. 상기 전극에 소정의 RF 파워가 인가되면, 상기 챔버내의 공정용 가스는 플라즈마가 생성되도록 활성화된다. 상기 플라즈마는 상기 반도체 웨이퍼의 선택된 층의 원하는 에칭을 수행하도록 만들어진다.
전형적으로, 산화실리콘 같은 에칭 물질을 사용하는 공정 챔버는 원하는 에칭 결과를 얻기 위해 제조시 에칭된 다른 필름들에 비해 상대적으로 높은 에너지를 필요로 한다. 이와 같은 산화 실리콘은 예를 들어 열적 성장 이산화 실리콘(SiO2), TEOS, PSG, BPSG, USG(비도핑 스핀 온 글라스; undoped spin-on-glass), LTO, 등을 포함한다. 고에너지의 필요성은 산화 실리콘 필름의 강한 결합력을 충격으로 깨뜨리고 휘발성 에칭 생성물을 형성하는 화학 작용을 일으키기 위한 필요성에 근간을 둔다. 따라서, 이러한 챔버들은 "고밀도 산화 에칭 챔버"로 일컬어지는데, 이것은 웨이퍼에 높은 이온 플럭스를 제공하고 낮은 가스 압력에서 높은 에칭율을 얻기 위해 높은 플라즈마 밀도를 생성할 수 있다.
고밀도 산화 에칭 챔버가, 소정의 웨이퍼 표면을 에칭하는데 있어 바람직하게 작용하는 반면에, 상기 에칭 챔버의 내부 표면은 높은 이온 파워에 또한 종속되게 된다. 따라서, 상기 에칭 챔버의 내부 표면으로부터의 물질은 그 물질 성분과 에칭 가스 성분에 따라 물리적인 스퍼터링(sputtering) 또는 화학적인 스퍼터링에 의해 이온 충격의 결과로서 제거된다.
상기 에칭 챔버의 내부 표면이 고밀도 산화 챔버의 플라즈마에 노출된다는 것이 알려짐으로써, 현재 챔버들은 디스크, 링 및 실린더와 같은 단순한 라이닝 부품의 사용을 허용하도록 설계되고 있다. 상기 부품들은 웨이퍼가 공정 처리되는 동안 플라즈마를 제한하도록 배치(형성)되기 때문에, 이들 부품들은 공정 플라즈마에너지에 지속적으로 노출되어 침범을 당한다. 이와 같은 노출에 따라, 상기 부품들은 결국 침식되거나 폴리머를 축적시켜 교체 또는 완전한 청소를 필요로 한다. 결국, 모든 부품들은 더 이상 사용할 수 없는 지경에 까지 마멸된다. 따라서, 이들 부품들은 "소모품"으로 언급된다. 그러므로, 만일 부품의 수명이 짧다면, 그 소모품의 가격은 비싸게(즉, 부품가격/부품수명) 된다.
이들 부품은 소모품이기 때문에, 플라즈마 에너지에 대해 내구성이 있는 표면을 갖는 것이 바람직하고, 그럼으로써 그것은 소모품의 가격을 저감시킬 것이다. 상기 소모품의 가격을 낮추기 위한 종래기술의 시도는 산화 알루미늄(Al2O3) 및 석영 재료로 상기 부품들을 제조하는 것을 포함한다. 이러한 재료들은 플라즈마 에너지에 대해 다소 내구성이 있지만, 고밀도 산화 에칭 챔버에서 플라즈마에 의한 큰 이온 충격은 수용가능한 것보다 더 적은 이물질(예; 미립자 이물질 및 금속성 불순물 이물질)의 발생 수준의 다운 사이드(down side)를 갖는다. 예를 들어, 소모 부품의 표면이 산화 알루미늄(즉, 알루미나)이면, 플라즈마가 상기 표면에 충격을 가할 때 알루미늄은 자유롭게 되어 상기 웨이퍼상에 놓이게 되는 플라즈마와 섞일 것이다. 이 알루미늄의 어떤 것은 에칭시 웨이퍼에 그리고 소모 부품(즉, 챔버 라이너, 커버, 기타 등등)의 표면에 부착되는 유기 폴리머에 포함되게 된다. 이런 일이 생길 때, 상기 소모 부품 표면의 폴리머는 종래 인-사이튜(in-situ) 플라즈마 크린(clean) 또는 "애쉬(ash)" 단계시 완전하게 제거되지 않을 수 있다. 따라서 C, AL, O 및 F를 포함하는 깨지기 쉬운, 박리 필름 또는 분말 코팅은 상기 인-사이튜 크린후에도 잔류하게 되어 결국 많은 미립자 갯수로 되게 된다. 상기 부착된 알루미늄은 구조적으로 에칭되기 때문에 실리콘 웨이퍼상의 필름은, 예를 들어 DRAM 셀의 누설 전류를 증가시킴으로써, 이어서 형성되는 디바이스의 저하를 야기할 수 있다.
상기한 바와 같이, 석영은 상기 소모 부품 내부 표면의 재료로서 또한 사용된다. 그러나, 석영 표면은 석영의 저온 전도성 및 산화 에칭에 사용되는 고밀도 플라즈마에서의 높은 에칭율 때문에 미립자의 부적당한 소스라는 것이 알려지고 있다. 더불어서, 저온 전도성 석영은 이들 부품의 표면 온도 제어를 매우 어렵게 만든다. 이것은 결과적으로 많은 열 사이클링과 상기 소모 부품 표면에 부착된 에칭 폴리머의 박리로 귀착되기 때문에 이물질 미립자의 부적당한 생성을 야기한다. 석영 소모 부품의 또 다른 단점은 고밀도 산화 에칭장치에서의 높은 에칭율이 석영의 피팅(pitting)을 야기하는 경향이 있다는 것인데, 그것은 결국 석영 미립자의 스폴링(spalling)이 된다.
상술한 내용의 견지에서, 침식에 대한 더 큰 내성이 있고 웨이퍼 표면의 이물질(예; 미립자 및 금속성 불순물)을 최소화하면서 공정이 이루어지게 협조하는 소모 부품을 갖는 고밀도 플라즈마 공정 챔버에 대한 필요성이 있게 된다. 또한, 고밀도 플라즈마 적용에 이용되고 그 소모 부품에의 손상을 방지하면서 온도변화에 견딜 수 있는 소모 부품에 대한 필요성이 있게 된다.
본 발명은 일반적으로 반도체 웨이퍼 제조에 관한 것으로서, 더 상세하게는 공정시 미립자와 금속성 이물질을 저감시키는 라이닝 재료를 갖는 고밀도 플라즈마 에칭 챔버, 및 그 챔버 라이닝 구조에 관한 것이다.
본 발명은 첨부도면과 관련한 다음의 상세한 설명에 의해 쉽게 이해될 것이다. 설명의 편의를 위해, 유사한 참조번호들은 유사한 구조적인 요소들을 나타낸다.
도 1은 본 발명의 일실시예에 따른 고밀도 플라즈마 에칭 챔버를 나타내고;
도 2a 내지 도 2c는 본 발명의 일실시예에 따른 배플 링을 보다 더 상세하게 도시하고;
도 3a는 본 발명의 일실시예에 따른 라이너 지지부의 보다 더 상세한 단면도를 나타내고;
도 3b는 본 발명의 일실시예에 따른 도 3a의 A-A선에 의한 라이너 지지부의 측면도를 나타내고;
도 3c는 본 발명의 일실시예에 따른 온도 스트레스에 종속될 때의 라이너 지지부의 유연성을 도시하고;
도 4는 본 발명의 일실시예에 따라 챔버 라이너가 라이너 지지부와 함께 조립되는 방법을 도시하고;
도 5a는 본 발명의 일실시예에 따라 조립되는 챔버 라이너, 라이너 지지부 및 배플 링의 부분 단면도를 나타내고;
도 5b는 본 발명의 일실시예에 따른 외부 지지부의 측면도를 나타내고;
도 6은 본 발명의 일실시예에 따른 챔버 라이너, 배플 링 및 라이너 지지부의 3차원 조립도를 도시하고;
도 7은 본 발명의 일실시예에 따른 조립된 상태의 챔버 라이너, 라이너 지지부 및 배플 링의 또 다른 3차원도를 나타내고; 그리고
도 8은 본 발명의 일실시예에 따른 도 1의 고밀도 플라즈마 에칭 챔버의 분해 사시도를 나타낸다.
본 발명은 플라즈마 공정 챔버에서 사용되는 온도 조절, 낮은(저) 이물질, 높은 에칭 내구성, 플라즈마 형성 부품(즉, 소모품)을 제공함으로써 상기한 필요성들을 충족시킨다. 본 발명은 공정, 장치, 시스템, 디바이스 또는 방법과 같은 여러 형태로 수행될 수 있다는 것은 명백하다. 본 발명의 몇가지 발명적인 실시예들이 이하에 개시된다.
일실시예에 있어서, 웨이퍼를 잡기 위한 정전기 척(chuck)을 구비하고 상당한 에칭 내구성이 있고 이물질을 발생하는데 덜 영향받는 소모 부품을 가지며 온도가 조절될 수 있는 플라즈마 공정 챔버가 개시된다. 상기 소모 부품은 하부 지지부를 갖는 챔버 라이너 및 상기 정전기 척을 감싸도록 배치(형성)된 벽부를 구비한다. 또한, 상기 소모 부품은 하부 연장부, 플렉시블 벽부 및 상부 연장부를 갖는 라이너 지지 구조를 구비한다. 상기 플렉시블 벽부는 상기 챔버 라이너 벽부의 외부 표면을 감싸도록 형성되고, 상기 라이너 지지 플렉시블 벽부는 상기 챔버 라이너 벽부에서 공간적으로 이격된다. 그러나, 상기 라이너 지지부의 하부 연장부는 상기 챔버 라이너의 하부 지지부와 직접 열접촉하도록 형성된다. 더불어서, 배플(baffle) 링은 상기 소모 부품의 일부분이 되는데, 조립되어 상기 챔버 라이너와 상기 라이너 지지부와 열접촉하도록 형성된다. 상기 배플 링은 상기 정전기 척 주변의 플라즈마 스크린을 형성한다. 그 다음, 히터가 온도를 상기 라이너 지지부에서 상기 챔버 라이너와 배플 링에 열적으로 전도시키기 위해 상기 라이너 지지부의 상부 연장부에 열적으로 접속될 수 있다. 또한, 상기 챔버의 상부 플레이트에 결합되는 냉각 링에 열적으로 접속되는 외부 지지부가 구비된다. 그 결과, 상기 외부 지지부와 냉각 링은 캐스트 히터와 함께 상기 챔버 라이너에 정밀 온도 제어를 제공할 수 있다. 따라서, 상기 정밀 온도 제어는 온도 편차를 방지하고, 그 결과처음 웨이퍼에서 마지막 웨이퍼까지 동일한 온도 조건하에서 유리하게 에칭할 수 있다.
가장 바람직한 실시예에 있어서, 챔버 라이너와 배플 링을 포함하는 소모 부품은 탄화 실리콘(SiC), 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및/또는 질화 붕소(BN) 재료에서 선택된 재료에 의해 전적으로 만들어지거나 코팅된다. 이 방법에 있어서, 이들 재료들은 플라즈마 스퍼터링 에너지에 일단 노출되면, 웨이퍼 표면층의 에칭시 생성되는 휘발성 에칭 생성물과 실질적으로 유사한 휘발성 생성물을 생성할 것이다.
또 다른 실시예에 있어서, 소모 부품을 갖는 플라즈마 에칭 챔버가 개시된다. 상기 소모 부품은 하부 지지부를 갖는 챔버 라이너 및 상기 플라즈마 에칭 챔버의 중심부를 감싸는 원통형 벽부를 포함한다. 라이너 지지부는 상기 챔버 라이너를 감까도록 형성된다. 상기 라이너 지지부는 상기 챔버 라이너의 하부 지지부에 열적으로 접속된다. 더욱이, 상기 라이너 지지부는 상기 라이너 지지부를 복수의 핑거로 분할하는 복수의 슬롯을 포함한다. 바람직한 실시예에 있어서, 상기 챔버 라이너는 탄화 실리콘(SiC) 재료, 질화 실리콘(Si3N4) 재료, 탄화 붕소(B4C) 재료 및 질화 붕소(BN) 재료중에서 선택된 어느 한 재료로 만들어지고, 상기 라이너 지지부는 알루미늄 재료로 만들어진다.
또 다른 실시예에 있어서, 고밀도 플라즈마 에칭 챔버에서의 사용을 위한 소모 부품 사용 방법이 개시된다. 상기 방법은 탄화 실리콘(SiC) 재료, 질화실리콘(Si3N4) 재료, 탄화 붕소(B4C) 재료 및 질화 붕소(BN) 재료 중에서 선택된 어느 한 재료에서의 챔버 라이너의 사용을 포함한다. 상기 챔버 라이너는 상기 챔버의 플라즈마 영역 및 하부 지지부를 감싸는 벽부를 가질 수 있다. 상기 방법은 하부 연장부, 플렉시블 벽부 및 상부 연장부를 선택적으로 갖는 알루미늄 라이너 지지부의 사용을 포함할 수 있는데, 여기서 복수의 슬롯이 상기 라이너 지지부가 상승 온도에서 팽창할 수 있도록 상기 플렉시블 벽부 및 상기 라이너 지지부의 하부 연장부에 마련된다. 상기 방법은 탄화 실리콘(SiC), 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및/또는 질화 붕소(BN)의 배플 링의 사용을 선택적으로 포함한다. 복수의 슬롯이 플라즈마 스크린을 형성하기 위해 상기 배플 링에 마련될 수 있다. 상기 방법은 상기 라이너 지지부와 상기 배플 링을 통하여 열 경로를 경유하는 상기 챔버 라이너의 열 제어를 포함할 수 있다.
본 발명의 실시예에 따르면, 플라즈마 공정 챔버는 챔버 라이너와 라이너 지지부를 포함하는데, 상기 라이너 지지부는 상기 챔버 라이너의 외부 표면을 감싸도록 형성된 플렉시블 벽부를 구비하고, 상기 플렉시블 벽부는 상기 챔버 라이너의 벽부에서 공간적으로 이격된다. 상기 라이너의 선택적인 온도 제어를 위해, 상기 라이너 지지부에서 상기 챔버 라이너로 열을 열적으로 전도하도록 히터가 상기 라이너 지지부에 열적으로 접속될 수 있다. 어떤 적절한 재료가 상기 라이너와 라이너 지지부에 사용될 수 있지만, 상기 라이너 지지부는 플렉시블 알루미늄 재료로 만들어지는 것이 바람직하고, 상기 챔버 라이너는 세라믹 재료를 포함하는 것이 바람직하다.
상기 라이너 지지부는 다양한 형태를 가질 수 있다. 예를 들면, 상기 플렉시블 벽부는 슬롯들을 포함할 수 있는데, 이 슬롯들은 상기 라이너 지지부를 상기 플렉시블 벽부가 열적 스트레스를 흡수할 수 있고 및/또는 상기 라이너 지지부의 하부 연장부가 상기 챔버 라이너의 하부 지지부에 고정될 수 있게 하는 복수의 핑거로 분할한다. 원한다면, 상기 챔버 라이너 및 상기 라이너 지지부와 열적 접촉상태에 있는 배플 링이 상기 챔버의 중앙부에 위치한 정전기 척 주변에서 플라즈마 스크린을 형성하는데 사용될 수 있다. 상기 챔버 라이너 및/또는 배플 링은 탄화 실리콘(SiC), 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및 질화 붕소(BN)의 하나 이상으로 바람직하게 만들어진다.
상기 플라즈마 공정 챔버는 다양한 형태를 포함할 수 있다. 예를 들면, 챔버 라이너는 낮은 전기 저항을 가질 수 있고 그라운드(대지)에 RF 경로를 제공하도록 형성된다. 원한다면, 높은 전기 저항을 갖는 가스 분배 플레이트가 정전기 척 및/또는 포커스 링과 상기 정전기 척을 지지하는 페디스털상에 제공될 수 있다. 상기 가스 분배 플레이트, 포커스 링 및/또는 페디스털은 탄화 실리콘(SiC), 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및 질화 붕소(BN)의 하나 이상으로 바람직하게 만들어진다. 플라즈마는 상기 가스 분배 플레이트를 통해 RF 에너지와 유도적으로 결합하고 챔버내에서 고밀도 플라즈마를 발생하는 RF 에너지 소스에 의해 상기 챔버에서 발생될 수 있다. 상기 RF 에너지 소스는 플래너 안테나를 바람직하게 포함한다. 상기챔버는 플라즈마 공정 반도체 웨이퍼를 위해 사용될 수 있다. 예를 들면, 상기 챔버는 플라즈마 에칭 챔버일 수 있다.
상기 라이너는 다양한 구조를 가질 수 있다. 예를 들면, 상기 라이너 지지부는 상기 라이너 지지부의 하부 연장부에 열적으로 접속되는 외부 지지부를 포함할 수 있고, 상기 외부 지지부는 상기 챔버에 장착된 수냉식 상부 플레이트와 열접촉 상태에 있을 수 있다. 또한, 상기 라이너 지지부는 상부 연장부, 플렉시블 벽부 및 하부 연장부를 포함할 수 있는데, 상기 플렉시블 벽부와 하부 연장부는 상기 라이너 지지부에서 복수의 핑거를 정하는 복수의 슬롯을 갖는다. 온도 제어를 위해, 캐스트 히터 링은 상기 라이너 지지부와 열접촉하는 상태로 위치될 수 있는데, 이 히터 링은 상기 챔버 라이너의 온도를 열적으로 제어하기 위해 상기 라이너 지지부를 가열하는 저항 발열 소자를 포함한다.
본 발명의 다른 실시예에 따르면, 반도체 기판은 챔버 라이너 및 라이너 지지부를 갖는 플라즈마 공정 챔버에서 공정 처리되는데, 상기 라이너 지지부는 상기 챔버 라이너의 외부 표면을 감싸도록 형성되는 플렉시블 벽부를 구비하고, 이 플렉시블 벽부는 상기 챔버 라이너의 벽에서 공간적으로 이격되며, 여기서 반도체 웨이퍼는 상기 챔버내로 전송되고 상기 기판의 노출 표면은 고밀도 플라즈마와 같이 공정처리된다. 상기 챔버 라이너는 바람직하게 세라믹 재료이고, 상기 라이너 지지부는 상기 라이너 지지부와 상기 챔버의 온도 제어부 사이에서 연장하는 외부 지지부를 바람직하게 구비하는데, 이 외부 지지부는 반도체 웨이퍼의 배치(batch)에 대한 순차적인 공정 동안 챔버 라이너의 온도 편차를 최소화하도록 치수화된다. 웨이퍼를 공정처리하는 동안 반도체 웨이퍼의 소정 갯수가 공정처리된 후에 상기 세라믹 라이너는 상기 챔버에서 바람직하게 제거되고 다른 세라믹 라이너로 교체된다. 더욱이, 상기 챔버 라이너는 상기 챔버 내부로 웨이퍼를 통과시킬 수 있는 웨이퍼 입구 포트를 포함할 수 있다.
본 발명의 다른 특징과 장점들은 본 발명의 본질을 예시하는 방법으로 도시된 첨부도면을 참조하는 이어지는 상세한 설명으로부터 명백해 질 것이다.
본 발명은 플라즈마 공정 챔버에서의 사용을 위한 하나 이상의 제어되는 온도, 낮은(저) 이물질, 높은 에칭 내성, 플라즈마 형성 부품(즉, 소모품)을 제공한다. 이어지는 설명에서, 많은 특정한 상세내용들이 본 발명의 완전한 이해를 제공하기 위해 설명된다. 그러나, 본 발명은 이러한 상세내용들의 일부 혹은 모두를 취함이 없이 실시될 수 있다는 것은 당업자에게 자명할 것이다. 다른 예에서, 주지된 공정처리 동작은 본 발명을 불필요하게 불명료하게 하지 않도록 하기 위해 상세하게 설명되지 않는다.
본 발명의 플라즈마 형성 부품들은, 예를 들어 챔버 라이너, 배플 링, 가스 분배 플레이트, 포커스 링, 라이너 지지부 및 다른 비-전기적인 동작부의 형태로바람직하게 존재한다. 이들 부품들은 바람직하게 실질적으로 비-이물질화되고 에칭 내성이 있도록 형성되며, 그리고 이들은 부품들을 손상시킴 없이 바람직하게 온도 제어된다. 상기 플라즈마 형성 부품들은 실리콘(Si), 탄소(C), 질소(N) 또는 산소(O)와 같이 웨이퍼상에서 제조되는 디바이스에 무해한 원소로 구성되는 재료들로 바람직하게 만들어진다. 이 같은 방법에 있어서, 상기 플라즈마 형성 부품들이 이온들(즉, 플라즈마에 의해 스퍼터된)에 의해 충격을 받을 때, 공정 가스들과 결합하는 휘발성 생성물들이 생성된다. 그 다음, 이들 휘발성 생성물은 진공 펌프를 사용하는 챔버에서 제거될 수 있어, 결국 웨이퍼에 대한 이물질의 원인이 되지 않을 것이다. 상기 플라즈마 형성 부품들이 플라즈마 에칭 챔버내에 존재하는 바람직한 실시예에 있어서, 상기 부품들은 에칭 가스에 대한 더 큰 내성이 있게 되어 그 부품들의 수명은 연장될 수 있다.
본 발명의 플라즈마 형성 부품들은, 예를 들어 탄화 실리콘(SiC), 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및 질화 붕소(BN)와 같은 하나 이상의 재료로 바람직하게 만들어진다. 이 재료들 모두는 높은 에칭 내구성, 비-이물질화 원소 및 휘발성 에칭 생성물을 갖는 바람직한 특성을 갖는다. 가장 바람직한 실시예의 하나에 있어서, 상기 플라즈마 형성 부품들은(또는 소모 부품으로 언급되는) 고체 탄화 실리콘(SiC)으로 만들어지는데, 그것은 공정처리되는 웨이퍼의 금속 및/또는 미립자 이물질을 감소시킨다. 배플 링(132)과 라이너(130)에 사용되는 SiC는 플라즈마와의 접촉상태에 있을 때 RF 전류에 대해 좋은 그라운드 경로를 제공하기 위해서 바람직하게 전기적으로 전도성이다. 더 큰 저항성의 SiC는 그것을 통하는 RF 파워의 유도 결합을 허용하기 위해 가스 분배 플레이트("GDP")(즉, 도 1의 120)에 사용될 수 있다. 상술한 바와 같이, 상기 SiC는 또한 그것을 효과적인 가격의 소모 부품으로 만드는 플라즈마에 의해 느리게 에칭된다.
더욱이, SiC는 고순도이기 때문에 플라즈마에 의한 SiC의 화학적인 스퍼터링에 기인한 웨이퍼 이물질은 최소화될 수 있다. 또한, 그라운드된(grounded) SiC는 플라즈마 포텐셜(potential)과 어떤 비-탄화 실리콘 표면에 대한 이온 충격 에너지의 감소를 야기함으로써 챔버내 다른 표면의 스퍼터링을 감소시킬 수 있다. 상기 SiC 성분은, 에칭 결과가 개별적인 챔버내에서 그리고 챔버간에 더 반복적일 수 있도록 매우 안정한 플라즈마 포텐셜을 또한 제공한다. 고밀도 플라즈마 공정 이물질을 감소시킬 수 있는 플라즈마 형성 부품의 사용에 대한 더 많은 정보를 위한 참조(reference)가 "플라즈마 공정 챔버에서의 이물질 제어 방법 및 장치"란 명칭으로 1998년 3월 31일자로 제출된 출원번호 제 09/050,902 호를 갖는 통상적으로 양도된 미국 특허 출원에 있을 수 있다. 이 출원은 본 명세서에서 참조로서 합해진다. 본 발명의 다양한 실시예들이 도 1 내지 도 8을 참조하면서 설명될 것이다.
도 1은 본 발명의 일실시예에 따른 고밀도 플라즈마 에칭 챔버(100)를 나타낸다. 챔버 하우징(102)은 실리콘 웨이퍼(104)와 같은 반도체 기판을 수용하는 것으로 도시되어 있는데, 그것은 플라즈마 에칭 작용에 종속된다. 이 실시예에 있어서, 상기 에칭 작용은 바람직하게 웨이퍼(104) 표면에 형성되는 산화 실리콘과 같은 재료를 에칭하기 위해 형성되는 고밀도 플라즈마 작용이다. 상기 고밀도(예를 들면, 약 1011-1012ions/cm3의 밀도를 갖는 플라즈마) 플라즈마는 챔버가 약 80mTorr 이하의 비교적 낮은 압력상태, 더 바람직하게는 약 1 mTorr와 약 40 mTorr 사이의 압력상태를 유지하는 것을 보증함으로써 챔버내에서 형성된다. 일반적으로, 상기 챔버 압력은 챔버의 저부에 적절한 진공 펌프를 작동시킴으로써 유지된다.
웨이퍼(104)는 정전기 척(106)에 의해 지지되는 것으로 나타나 있다. 정전기 척(106)의 밑에는 정전기 척(106)의 온도를 제어하기 위한 후측 냉각 링(100)을 수용하는 하부 전극(108)이 있다. 정전기 척(106)은 페디스털(112)과 웨이퍼(104)를 감싸는 포커스 링(114)에 의해 한정된다. 본 발명의 바람직한 실시예에 있어서, 페디스털(112)과 포커스 링(114)은, (a)탄화 실리콘(SiC), (b)질화 실리콘(Si3N4), (c)탄화 붕소(B4C) 또는 (d)질화 붕소(BN)를 포함하는 그룹에서 선택된 재료로 바람직하게 만들어진다. 가장 바람직한 실시예에 있어서, Si3N4가 페디스털(112)과 포커스 링(114)의 재료로 선택된다.
일실시예에 따르면, 절연 알루미나 링(116)은 알루미늄 페디스털(118)과 하부 전극(108) 및 탄화 실리콘 페디스털(112) 사이에 위치한다. 챔버 라이너(130)는 바람직하게 배플 링(132)에 부착될 수 있는 원통형 라이너이다. 배플 링(132)은 일반적으로 챔버 라이너(130)와의 만족할 만한 전기 접촉과 열 접촉을 이루는 내부 링(132a)을 포함한다. 또한, 배플 링(132)은 도 2a 내지 도 2c에 참조로서 더 상세하게 도시되는 전체 어레이 티스(teeth)(132b)를 구비한다.
웨이퍼(104) 위에는 상기 공정 챔버 내부로 에칭 가스 화학물을 뿜어내기 위한 샤워헤드로서 작용하는 가스 분배 플레이트(GDP)(120)가 있다. 가스 분배 플레이트(120) 위에는 세라믹 윈도우(122)가 위치한다. 세라믹 윈도우(122) 위에는 RF 코일 시스템(120)(즉, RF 안테나)이 있는데, 그것은 반응 챔버내에 높은 RF 파워를 제공하는데 이용된다. RF 코일(120)은 RF 코일의 중심에 집적되어 있는 냉각 채널을 통해 바람직하게 냉각된다. 이와 같은 단순화된 도시에 있어서, 가스 공급 포트(126)는 세라믹 윈도우(122)와 가스 분배 플레이트(120) 사이에 형성된 채널로 공정 가스를 공급하는데 사용된다. 공정 챔버에 대한 더 많은 정보를 위해, 참조로서 TCP 9100TM플라즈마 에칭 반응기가 참고될 수 있는데, 이것은 캘리포니아 프레몬트의 LAM 리서치 코퍼레이션에서 구입가능하다.
RF 임피던스 매칭 시스템(127)은 상기 공정 챔버상에 장착되도록 형성되어 다른 반응기 제어 파라미터 뿐만 아니라 전력(power) 분배를 제어하기 위해 RF 코일(122)과 적절한 접촉을 이룬다. 상기한 바와 같이, 세라믹 윈도우(122)는 상부 플레이트(124) 내부에 장착되는 상기 가스 분배 플레이트와 접촉상태에 있도록 설계된다. 상부 플레이트(124)는 대기압과 고밀도 플라즈마 에칭 챔버(100)내의 소정 진공 조건 사이의 인터페이스를 형성한다. 당업자에게 자명한 것으로서, 상기 소정의 압력 인터페이스는 챔버 하우징(102), 상부 플레이트(124), GDP(120), 세라믹 윈도우(122) 및 RF 매치 시스템(127) 사이에 적절한 개수의 O링을 배치함으로써 형성된다.
또한, 정밀 제어를 가능하게 하고 챔버 라이너(130)와 배플 링(132)에 소정의 온도를 전달하기 위해 고밀도 플라즈마 에칭 챔버(100) 내부에 라이너 지지부(134)가 마련된다. 이 실시예에 있어서, 라이너 지지부(134)는 그 자체의 유연성을 이용하기 위해 알루미늄으로 만들어져 그 열 전도성을 향상시킨다. 라이너 지지부(134)는 상부 연장부(134a), 플렉시블 벽부(134b), 하부 연장부(134c) 및 라이너 지지 연장부(134d)를 포함한다. 하부 연장부(134c)는 챔버 라이너(130) 및 배플 링(132)과 직접 열 접촉하도록 조립된 것으로 나타나 있다. 이 실시예에 있어서, 플렉시블 벽부(134b)는 챔버 라이너(130)에서 약간 떨어진다. 히터(140)는 라이너 지지부(134)의 상부 연장부(134a)와 안전하게 직접 열 접촉하도록 할 수 있다. 히터(140)의 전력을 높이고 제어하기 위해, 전력 접속부(142)가 히터 파워 시스템(129)의 연결에 사용된다. 그러므로, 상기 라이너 지지부는 상기 (더 부서지기 쉬운) 챔버 라이너(130) 또는 배플 링(132)에 손상을 가하지 않으면서 챔버 라이너(130)와 배플 링(132)에 열로서 전달될 수 있는 소정의 온도를 제어하는데 매우 적합하다.
또한, 외부 지지부(131)가 나타나 있는데, 이것은 라이너 지지부(134)의 하부 연장부(134c)와 열적으로 접속된다. 상기 외부 지지부는 또한 상부 플레이트(124)와 열적으로 결합되고, 그것은 냉각 링(121)을 수용하도록 설계된다. 다음의 도 5a 및 도 5b의 참조와 같이 더 상세하게 설명되는 것으로서, 외부 지지부(131)는 웨이퍼 공정 작용(예; 에칭)시 챔버 라이너(130)의 정밀 온도 제어를 달성하기 위해 사용된다. 따라서, 외부 지지부(131)와 냉각 링(121)에 의해 제공되는 정밀 온도 제어는, 상기 챔버 라이너 온도가 그 주변의 열을 방출하는 상기 라이너의 능력 보다 더 빠르게 점진적으로 위쪽으로 이동하는(플라즈마 에너지에 기인) 것을 방지하는데 유용하게 도움을 줄 것이다.
상기한 바와 같이, 챔버 라이너(130)와 배플 링(132)은 바람직하게 순수한 탄화 실리콘 재료로 만들어진다. 더불어서, 가스 분배 플레이트(120), 포커스 링(114) 및 페디스털(112)도 순수한 질화 실리콘 또는 탄화 실리콘 재료, 혹은 적어도 코팅 탄화 실리콘으로 만들어진다. 이 방법에 있어서, 고밀도 플라즈마를 형성하는 실질적인 모든 표면은 순수한 탄화 실리콘 혹은 코팅된 탄화 실리콘일 것이다. 폭넓은 상황에 있어서, 에칭 가스와 같이 휘발성 에칭 생성물을 형성하는 실리콘(Si), 탄소(C), 질소(N) 또는 산소와 같은 공정처리되는 웨이퍼상의 디바이스에 무해한 원소들로만 구성되는 다른 재료가 사용될 수 있다. 이 같은 방법에 있어서, 플라즈마를 형성하는 내부 표면이 충격을 받을 때 생성되는 상기 휘발성 생성물은 상기 챔버(진공펌프 혹은 다른 것을 사용하는)에서 통상 제거되는 초과분 에칭 가스와 섞일 것이다. 플라즈마가 상기 챔버의 내부 표면(즉, 소모 부품)에 충격을 가할 때 생성되는 생성물은 휘발성이기 때문에 이들 생성물은 이물질의 원인이 되는 웨이퍼 표면에 존재하지 않을 뿐더러 상기 소모 부품에 증착되는 폴리머에도 포함되지 않는다.
도 2a 내지 도 2c는 본 발명의 일실시예에 따른 배플 링(132)을 보다 더 상세하게 도시한다. 도 1에 도시한 바와 같이, 배플 링(132)은 가스 경로를 위한 플라즈마 스크린과 챔버(102) 저면에 접속된 진공 펌프에의 부산물로서 기능한다. 도시한 바와 같이, 배플 링(132)은 챔버(102)의 상부 중간에서 플라즈마를 유지하는데 도움을 주는 티스(132b) 어레이를 갖는데, 여기서 탄화 실리콘 표면(소모품의)은 웨이퍼(104)상에서 플라즈마를 실질적으로 형성한다. 또한, 배플 링은 챔버 라이너(130)와 만족할 만한 열 접촉을 만드는데 사용되는 내부 링(132a)를 갖는다.
도 2b는 티스(132b) 쌍의 3차원도이다. 일반적으로 공간(132c)에 의해 마련된 개구영역은 챔버(102)에서 펌핑 배출되는 가스와 부산물을 위한 충분한 통로가 되도록 개구영역 50 내지 70 퍼센트 사이의 비율이 유지되게 형성된다. 도 2c에 도시된 바와 같이, 공간(132c)을 만들기 위해 고체 탄화 실리콘 재료(혹은 코팅된 SiC 재료)는 적어도 1.5 혹은 그 이상인 적절한 종횡비(縱橫比)가 유지되도록 가공되어야만 한다. 이와 같은 예시적인 구조에 있어서, 공간(132c)의 폭은 바람직하게 약 0.13 인치로 세팅되고, 그 높이는 약 0.28 인치로 세팅된다. 따라서, 이 바람직한 치수는 약 2.0의 종횡비를 제공한다.
200mm 웨이퍼 챔버 실시예에서 배플 링(132)의 내부직경(내경; ID)은 약 10.75인치로 세팅되고, 그래서 약 1/16인치 틈새가 도 1에 도시된 페디스털(112) 사이에 마련된다. 그러나, 상기 내경(ID)은 공정처리되는 웨이퍼의 사이즈에 따라당연히 더 커질 수 있다. 예를 들면, 300mm 웨이퍼의 경우 상기 내경은 약 14 인치 정도로 커질 것이다.
다른 실시예에 있어서, 티스(132b)가 구멍 어레이 혹은 슬롯 어레이로 대체된 배플 링(132)이 제조될 수 있다. 구멍 어레이 혹은 슬롯 어레이가 티스(132b)를 대신하여 제조될 때, 그 양이 약 50 퍼센트와 70 퍼센트 사이인 개구 영역(즉, 경로)을 유지하는 것은 여전히 바람직하다. 또한, 배플 링(132)은 외부 링(132a)의 둘레에 설계된 복수의 스크류 구멍(150)이 구비된 것으로 나타나 있다. 도 1에 도시한 바와 같이, 스크류 구멍(150)은 배플 링(132)을 챔버 라이너(130)와 라이너지지부(134)에 상호 연결시키는 적절한 스크류가 들어가도록 형성될 것이다. 충분한 열전도를 허용하면서 필요한 접촉력을 제공하는 클램프와 같은 다른 패스너(fastener)가 생각될 수 있다.
도 3a는 본 발명의 일실시예에 따른 라이너 지지부(134)의 보다 상세한 단면도를 나타낸다. 상기한 바와 같이, 라이너 지지부(134)는, 히터(140)가 소정 수준의 열을 인가할 때 발생할 수 있는 열 변형에 대응하여 수축되도록 형성된 플렉시블 벽부(134b)를 구비한다. 바람직하게, 플렉시블 벽부(134b)는 원통형이고, 복수의 핑거로 슬롯(slot)된다. 상기한 바와 같이, 상기 라이너 지지부는 바람직하게 소정의 온도가 히터(140)에 의해 인가될 때 만족할 만한 열 전도성을 갖으며, 또한 만족할 만한 유연성을 제공하는 알루미늄 재료로 만들어진다. 하부 연장부(134c)는 챔버 라이너(130)와 배플 링(132)에 볼트 체결되기 때문에, 열전도 인터페이스(141)에서 히터(140)와 결합되는 상부 연장부(134a)가 도 3c에 도시된 바와 같이 바깥쪽으로 굽혀질 수 있는 동안에도 하부 연장부(134c)는 제자리에 계속 남아 있을 것이다.
히터(140)는 바람직하게 열전도 인터페이스(141)가 상부 연장부(134a) 둘레의 모든 방향에서 유지되게 하는 적절한 개수의 스크류(144)를 사용하여 상부 연장부(134a)에 체결된다. 바람직한 실시예에 있어서, 스크류(144)는 평방 인치당 약 1,000 파운드의 압력으로 상부 연장부(134a)와 접촉하는 상태로 히터(140)를 유지할 수 있다.
고밀도 플라즈마 에칭 챔버(100)가 8 인치 웨이퍼(즉, 200mm 웨이퍼)를 공정처리하도록 구성될 때, 라이너 지지부(134)는 약 14 1/2 인치의 내경을 가질 것이다. 플렉시블 벽부(134b)의 두께(170)는 약 1/16 인치와 3/32 인치 사이이다. 1/16 인치 치수는 바람직하게 약 300℃에 이르는 공정 온도에서 사용되고, 반면에 3/32 치수는 약 1,000℃ 까지의 공정 온도를 갖는 챔버를 위해 보유된다.
하부 연장부(134c)와 상부 연장부(134a) 사이의 분리부(176)는 챔버 높이에 따라 바람직하게 약 2 1/2 인치로 세팅된다. 한편, 더 큰 분리부(176)는 라이너 지지부(134)에서 더 큰 열저항이 된다. 따라서, 분리부(176)는 온도가 300℃ 및 그 이상에 도달함으로써 상기 라이너 지지부의 알루미늄 재료가 너무 스트레스되지 않도록 하기 위해 충분히 짧게 유지된다. 상부 연장부(134a)의 예시적인 두께(172)는 바람직하게 약 9/16 인치이고, 반면에 하부 연장부(134c)의 예시적인 두께는 약 5/8 인치이다.
도 3b는 본 발명의 일실시예에 따른 도 3a의 A-A 단면을 취한 라이너 지지부(134)의 측면도를 나타낸다. 라이너 지지부(134)의 유연성을 이용하기 위해, 복수개의 핑거를 형성하는 라이너 지지부(134)의 측면에 슬롯(152)이 형성된다. 슬롯(152)은 플렉시블 벽부(134b)와 하부 연장부(134c)를 통해 수직으로 연장된다. 라이너 지지부(134)는 바람직하게 원통형 모양의 유닛(unit)이기 때문에, 슬롯(152) 사이의 분리부는 적절한 유연성 수준이 플렉시블 벽부(134b)에 존재하도록 구성되어야만 한다. 따라서, 슬롯(152) 사이의 상기 분리부는 바람직하게 약 15도로 세팅된다. 그러나, 슬롯(152) 사이의 실제 분리부는 다양하게 될 수 있으며, 또한 라이너 지지부(134)의 직경 및 원하는 유연성의 정도에 따라 변형될 수 있다.또한, 하부 연장부(134c)에 형성되는 스크류 구멍(150)이 나타나 있다.
라이너 지지부(134)에 의해 제공되는 유연성을 도시하기 위해, 도 3c는 분리부(133)를 달성하도록 Y축(수평 X축에 대한)에서 바깥쪽으로 연장하는 상기 라이너 지지부를 나타낸다. 어떤 경우에 있어서, 상기 분리부는 1/16 인치 정도 혹은 그 이상일 수 있다. 결국, 라이너 지지부(134)는 온도 변형 스트레스로부터 덜 유연한 챔버 라이너(130)와 배플 링(132)을 격리시키면서 라이너 지지부(134)의 알루미늄 재료에 가해지는 열 스트레스를 유리하게 견딜 수 있다.
도 4는 본 발명의 일실시예에 따라 챔버 라이너(130)가 라이너 지지부(134)와 조립되는 방법을 도시한다. 이 실시예에 있어서, 챔버 라이너(130)가 탄화 실리콘으로 만들어질 때, 그것은 파워(전력) 인가된 전극(108)(버튼 전극)에 대한 그라운드의 완전무결한 RF 회귀 경로를 제공할 것이다. 당업자에게 잘 알려진 바와 같이, 공정 챔버에서의 상기 완전무결한 RF 그라운드 경로를 제공하는 것은 뛰어난 공정 반복성을 갖는 장점을 가져온다. 더욱이, 그라운드된 SiC는 플라즈마 포텐셜에서의 감소를 일으킴으로써 챔버의 다른 표면의 스퍼터링을 감소시킬 수 있고, 따라서 어떤 탄화 비-실리콘 표면상에의 이온 충격 에너지도 감소시킬 수 있다.
더불어서, SiC와 같은 챔버 라이너(130)에 사용되는 재료는 넓은 영역에 걸쳐 변형되는 전기 저항을 가질 수 있다. 예를 들면, SiC의 저항은 특정한 적용을 위해 맞춤으로 만들어질 수 있다. 상기 SiC가 챔버 라이너(130)와 배플 플레이트(132)에 사용될 때, 그 SiC는 RF 파워를 위한 그라운드에 만족할 만한 전도성 경로를 조성할 수 있는 낮은 저항을 제공하도록 변형된다. 다른 한편, 상기부품이 그것을 통하여 유도적으로 결합되는 RF 파워를 가져야만 할 때, 상기 부품의 파워 손실을 최소화하기 위해 높은 저항이 필요하다. 따라서, 높은 저항 SiC는 바람직하게 가스 분배 플레이트(GDP)(120)에 사용된다.
도시한 바와 같이, 스크류 구멍(150)은 하부 지지부에서 챔버 라이너(130)를 통해 들어가 그 다음 라이너 지지부(134) 속으로 들어가게 형성된다. 일반적으로, 만족한 만한 열전도 인터페이스(156)가 유지되도록 하기 위해 적절한 갯수의 스크류가 챔버 라이너(130)와 라이너 지지부(134)를 상호 결합시키는데 사용된다. 이 같은 방법에 있어서, 라이너 지지부(134)를 통해 전도된 열은 챔버 라이너(130)와 배플 링(132)에 열 전달된다.
상기 바람직한 실시예에 있어서, 라이너 지지부(134)는 바람직하게 공간부(154)에 의해 챔버 라이너(130)로부터 공간적으로 이격된다. 공간부(154)는 바람직하게 약 1/16 인치로 세팅된다. 이 분리부는 일반적으로 라이너 지지부(134)가 도 3c를 참조하면서 설명했듯이 굽혀질 수 있도록 형성되기 때문에 바람직하다. 200mm 웨이퍼 챔버에 있어서, 챔버 라이너(130)의 직경(179)은 약 14 인치이다. 이 실시예에서, 챔버 라이너(130)의 두께는 바람직하게 약 0.1 인치와 0.3 인치 사이에 있도록 세팅되고, 더 바람직하게는 약 0.2 인치로 세팅된다. 이 예시적인 챔버 라이너의 높이(177)는 약 3 인치와 약 12 인치 사이에 있게 되고, 더 바람직하게는 약 5 인치가 된다.
또한, 외부 지지부(131)가 도시되어 있는데, 이것은 라이너 지지부(134)의 하부 연장부(134c)와 열적으로 접속된다. 바람직하게 상기 외부 지지부는 그것이실질적인 방해물없이 굽혀질 수 있도록 플렉시블 벽부(134b)에서 공간적으로 이격된다. 외부 지지부(131)의 외측은 표면(123')를 갖는 상부 연장 벽부를 구비하는데, 그것은 상부 플레이트(124)와 만족할 만한 열 접촉을 하도록 형성된다. 이 같은 방법에 있어서, 도 5a에 상세하게 도시된 냉각 링(121)은 챔버 라이너(130)와 챔버 내부 영역의 온도를 제어하는데 사용될 수 있다. 따라서, 히터(140)와 냉각 링(121) 모두의 통합 동시 제어를 통해 챔버 라이너(130)의 온도는, 지속되는 플라즈마 조건에서 플라즈마가 없는 조건까지 ±10℃ 이하의 범주내에서 유지되게 할 수 있다. 따라서, 첫번째로 에칭되는 웨이퍼와 마지막으로 에칭되는 웨이퍼는 ±10℃ 변동폭 내에서 같은 챔버 라이너(130) 온도에서 에칭될 수 있다.
도 5a는 본 발명의 일실시예에 따라 조립된 챔버 라이너(130), 라이너 지지부(134) 및 배플 링(132)의 부분 단면도를 나타낸다. 도시한 바와 같이, 챔버 라이너(130)와 라이너 지지부(134)는 상술한 바와 같이 만족할 만한 열 전도 인터페이스(156)를 달성하기 위해 조립된다.
상술한 바와 같이, 외부 지지부(131)는 복수개의 스크류(135)를 통해 하부 연장부(134c)와 열적으로 접속된다. 더 바람직한 실시예에 있어서, 외부 지지부(131)는 플렉시블 벽부(131a)를 구비하는데, 그것은 상부 플레이트(124)와 열적으로 접속된 것으로 나타나 있다. 또한, 복수개의 슬롯(131c)에 의해 분리된 복수개의 핑거(131d)가 플렉시블 벽부(131a)에 필요한 유연성을 제공하는데 어떻게 도움을 주는지에 대한 방법을 도시하기 위해, 외부 지지부(131)의 측부가 도 5b에 나타나 있다. 더욱이, 상부 플레이트(124)는 상부 플레이트(124)의 상부 립(toplip)상에 냉각 링(121)을 수용하도록 형성된다. 물론, 상부 플레이트(124)에 냉각 링(121)을 적용하기 위한 다른 구조 혹은 다른 형태의 냉각 시스템이 사용될 수 있다.
이 실시예에 있어서, 히터(140)와 냉각 링(121)의 결합 사용은 좁은 온도 범위에서 정밀한 온도 제어를 할 수 있다. 예를 들면, 열이 기본적으로 방사를 통해 주변에 손실되면서 챔버 라이너(130)는 전형적으로 200℃ 이상의 높은 온도에서 가동된다. 플라즈마가 시작될 때, 플라즈마는 이온 충격에 의해 챔버 라이너(130) 내부로 더 많은 열을 전가한다. 일반적으로 챔버 라이너는 플라즈마에서 열을 얻는 것 만큼 빠르게 방사에 의해 그 주변에 상기 열을 전가할 수 없기 때문에, 챔버 라이너(130)는 상당한 시간동안 느리게 온도가 올라갈 것이다. 따라서, 냉각 링(121)과 열적으로 결합되는 외부 지지부(131)는 챔버 라이너의 온도 편차를 제거하는데 매우 적절하다. 이 실시예에 있어서, 라이너 지지부(134)로부터 외부 지지부(131)로의 열 손실은 외부 지지부(131)의 단면과 길이를 조정함으로써 세팅될 수 있다. 따라서, 이 같은 조정은 라이너 지지부(134)에서 온도 제어 상부 플레이트(124)로의 열 손실 경로를 제어하기 위해 만들어 질 수 있다.
도시한 바와 같이, 챔버 라이너(130)는 배플 링(132)과 같이 만족할 만한 열전도 인터페이스(157)를 또한 제공할 것이다. 이 같은 만족할 만한 열전도 인터페이스를 달성하기 위해 배플 링(132), 챔버 라이너(130) 및 라이너 지지부(134)는 복수개 스크류(150')의 사용에 의해 함께 단단히 묶어진다. 바람직하게, 스크류(150')는 배플 링(132)의 내부 링(132a), 스페이서(131a') 및 챔버라이너(130)와 직접 접촉 상태에 있는 스페이서(spacer) 링(131b)을 통해 적합하게 된다.
스페이서 링(131b)과 스페이서(131a')는 바람직하게 알루미늄으로 만들어져 스크류(150')에의 인가 압력에 대한 만족할 만한 표면 및 배플 링(132)과 챔버 라이너(130)의 부서지기 쉬운 표면을 제공한다. 즉, 배플 링(132)은 바람직하게 세라믹이기 때문에 상기 배플 링에 스크류로 직접 큰 힘을 인가하는 것은 배플 링 또는 챔버 라이너(130)의 깨짐을 유발할 수 있다. 스크류(150')가 체결되면, 상기 챔버, 챔버 라이너, 배플 링 및 라이너 지지부(즉, 소모 부품들)의 둘레 모두는 도 1의 고밀도 플라즈마 에칭 챔버(100)의 사용을 위한 준비상태가 된 것이다. 본 명세서에서 사용되는 것으로서, 이들 부품들은 소모 부품으로 언급되는데, 그러나 탄화 실리콘(또는 본 명세서에서 설명된 다른 대안적인 재료)이 고밀도 플라즈마를 형성하는 부품을 위해 사용될 때, 이들 부품들은 더 긴 수명, 소모품의 낮은 가격을 가질 것이다.
대체가 필요할 때, 이들 부품들은 대체부품(즉, 퀵 크린 키트를 사용)으로 신속하게 대체될 수 있다. 라이너 지지부(134)는 고밀도 플라즈마에 접촉되지 않도록 설계되기 때문에 그것은 챔버 라이너(130) 및 배플 링(132) 만큼 빠르게 마멸되지 않는다. 따라서, 라이너 지지부(134)는 마멸되는 소모 부품(오프-라인에서 청소되어 재사용되거나 폐기되는 것)에서 제외되고, 대체 소모부품으로 사용된다. 챔버가 시간을 떨어뜨려 낮은 생산성으로 전환하는 제조 공정에 있을 때, 이들 소모품을 신속하게 대체하는 능력은 챔버의 부착물을 청소하는 평균시간을 감소시키는 이점을 가질 것이다.
도 6은 본 발명의 일실시예에 따른 챔버 라이너(130), 배플 링(132) 및 라이너 지지부(134)의 3차원 조립도를 도시한다. 도시한 바와 같이 라이너 지지부(134)의 상부 연장부(134a)의 상부 표면은 히터(140)를 수용할 복수개의 스크류가 형성되도록 구성된다. 라이너 지지부(134)의 벽부를 따라 온도 변화에 대응하여 굽혀지도록 구성된 핑거를 형성하는 복수개의 슬롯(152)이 있다. 웨이퍼가 챔버(100) 내부로 그리고 밖으로 통과할 수 있도록 된 웨이퍼 입구 포트(160)가 챔버 라이너(130)의 벽부에 형성된다. 전형적으로, 상기 웨이퍼는 바람직하게 포트(160)에 부분적으로 적합해야만 하는 로보트 아암(arm)을 사용하여 상기 챔버 내부에 들어와 정전기 척(106) 상에 놓여진다. 따라서, 포트(160)는 상기 웨이퍼와 로보트 아암을 수용할 수 있을 정도로 충분히 커야 하지만, 그러나 또한 웨이퍼 상의 플라즈마 프로파일을 흐뜨리지 않을 정도로 충분히 작도록 유지되어야 한다. 도 7에 도시한 바와 같이, 포트(160)의 모양상으로 슬롯이 있는 삽입부가 상기 라이너의 바깥쪽에 부착된다. 다른 소모 부품과 마찬가지로 상기 삽입부도 SiC, Si3N4, B4C 및/또는 BN일 수 있다.
또한, 라이너 지지부(134)는 전형적으로 챔버 라이너(130)에 형성되는 관통 구멍(162)을 구비한다. 관통 구멍(162)은 공정시 상기 챔버내 압력을 정밀조사하고 특정 공정의 종료점을 광학적으로 검출하기 위한 구멍을 포함한다. 또한, 라이너 지지부(134)의 상부 연장부(134a)에 히터(140)를 잡아두기 위한 스크류(144)를 수용하는데 사용되는 복수개의 구멍(161)이 더 상세하게 나타나 있다.
도 7은 조립된 챔버 라이너(130), 라이너 지지부(134) 및 배플 링(132)의 또 다른 3차원도를 나타낸다. 이 도면에서, 웨이퍼를 정전기 척(106)에 패스(pass)시키기 위해 사용되는 포트 구멍(160)이 더 상세하게 나타나 있다. 또한, 배플 링(132)의 티스(132b)가 나타나 있다. 여기서, 티스(132b)는 도 1에 도시한 바와 같은 챔버의 하부에서 플라즈마를 스크린하는 페디스털(112)의 가장 근접한 곳까지 연장한다.
도 8은 본 발명의 일실시예에 따른 도 1의 고밀도 플라즈마 에칭 챔버(100)의 분해 사시도를 나타낸다. 이 도면은 배플 링(132), 챔버 라이너(130) 및 라이너 지지부(134)의 조립체에서 사용되는 스페이서 링(131b)을 나타낸다. 또한, 이 사시도는 히터(140)가 라이너 지지부(134)의 상부 연장부(134a) 위에 적용되는 방법을 도시한다. 도시한 바와 같이, 히터(140)는 바람직하게 캐스트 히터이다. 물론, 다른 형태의 히팅 시스템이 또한 적용될 수 있다. 히터(104)가 적절하게 고정되어 있을 때, 만족할 만한 열 접촉이 라이너 지지부(134)에 생길 것이다.
또한, 상부 플레이트(124)의 구멍(124a)을 통해 통과하게 되는 전력 접속부(142)가 나타나 있다. 가스 분배 플레이트(120)를 수용할 수 있는 상부 플레이트(124)가 나타나 있다. 가스 분배 플레이트(120)는 공정 가스를 챔버(100)로 향하는 가스 공급 포트(126)에 의해 공급할 수 있는 채널(120a)을 구비한다. 이 예에 도시되지 않았지만, 세라믹 윈도우(122)가 가스 분배 플레이트(120)에 내려질 수 있다.
본 발명의 바람직한 실시예에 있어서, 고밀도 플라즈마 에칭 챔버(100)는, 원하지 않는 이물질의 개입을 감소시키면서 예를 들어 열적 성장 이산화실리콘(SiO2), TEOS, PSG, BPSG, USG(비도핑 스핀 온 글라스; undoped spin-on-glass), LTO, 등과 같은 산화 실리콘 재료들을 에칭하는데 특히 매우 적합하다. 단지 예시적인 목적으로 챔버(100)의 고밀도 플라즈마 조건을 달성하기 위해서 상기 챔버내의 압력은 바람직하게 약 80 mTorr 이하로 유지되고, RF 코일(128)(즉, 상부 전극)은 바람직하게 약 2,500 와트와 약 400 와트 사이에서, 가장 바람직하게는 약 1,500 와트로 세팅된다. 하부 전극(108)은 바람직하게 약 2,500 와트와 약 700 와트 사이에서, 가장 바람직하게는 약 1,000 와트로 유지되어야 한다. 전형적인 고밀도 산화 에칭 공정에 있어서, CHF3, C2HF5및/또는 C2F6와 같은 공정 가스가 바람직한 에칭 특성을 생성하기 위해 상기 챔버로 개입된다.
전술한 바와 같이, 플라즈마 형성 부품(예를 들면, 챔버 라이너(130), 배플 링(132), GDP(120), 포커스 링(114) 및 페디스털(112)을 포함하는 소모품들)을 위해 사용될 수 있는 재료들은 일반적으로 웨이퍼(104)상에서 조립되는 층으로 무해하다. 즉, 웨이퍼(104) 표면의 에칭 결과로 생기는 휘발성 에칭 생성물들은 소모품들이 플라즈마 에너지에 의해 충격받을 때(즉, 스퍼터될 때) 생성되는 휘발성 생성물들과 유사하다. 유효한 결과로서, 소모품들의 이온 충격으로부터 생성된 이들 휘발성 생성물들은 정상적인 휘발성 에칭 생성물들과 합쳐질 것이다.
따라서, 이것은 챔버에 결합되는 진공 펌프를 사용하여 챔버(100)의 내부 영역에서 이들 합쳐진 휘발성 생성물의 제거를 수월하게 한다. 소모품들로부터의 휘발성 생성물이 웨이퍼 공정 영역에서 신속하게 제거될 수 있다는 사실로 인해, 실질적으로 낮은 수준의 미립자와 금속성 이물질만이 웨이퍼(104) 표면상에 제조되는 디바이스를 손상시킬 것이다. 본 발명이 몇개의 바람직한 실시예에 관해서 설명되는 동안, 상기한 상세한 설명을 읽고 도면들을 연구하는 당업자들은 다양한 변형물, 부가물, 치환물 및 균등물들을 깨달을 수 있다는 것이 이해될 것이다. 따라서, 비록 특정한 상세내용이 반도체 웨이퍼에서의 이물질을 감소시키는 것에 대해 제공되었지만, 그 이점은 평판 디스플레이 기판 및 이와 유사한 것에 또한 적용될 수 있다. 더욱이, 비록 소모 부품을 위한 바람직한 재료가 순수한 탄화 실리콘(SiC)이었지만, 그 재료는 또한 SiC가 코팅된 그래파이트와 같은 SiC 코팅 재료이거나 또는 반응 결합된 SiC의 다공성을 채우는데 10 내지 20% Si가 부가된 주된 SiC일 수 있다. 전술한 바와 같이, 소모 부품들은 또한 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및 질화 붕소(BN)와 같은 재료로 만들어질 수 있다. 또한, 이들 재료들 모두는 고에칭 저항, 비-이물질 원소 및 휘발성 에칭 생성물을 갖는 바람직한 특성을 갖는다.
결국, 본 발명은 본 발명의 진정한 사상 및 범주내에 있는 변형물, 부가물, 치환물 및 균등물과 같은 모든 것을 포함하는 것이다.

Claims (24)

  1. 챔버 라이너 및 라이너 지지부를 구비하고,
    상기 라이너 지지부는 상기 챔버 라이너의 외부 표면을 감싸도록 형성된 플렉시블 벽부를 구비하고,
    상기 플렉시블 벽부는 상기 챔버 라이너의 벽부에서 공간적으로 이격되어 있는 것을 특징으로 하는 플라즈마 공정 챔버.
  2. 제 1 항에 있어서, 상기 라이너 지지부에서 상기 챔버 라이너로 열을 열적으로 전도하도록 상기 라이너 지지부에 열적으로 접속된 히터를 더 포함하여 된 것을 특징으로 하는 플라즈마 공정 챔버.
  3. 제 1 항에 있어서, 상기 라이너 지지부는 플렉시블 알루미늄 재료로 만들어지고, 상기 챔버 라이너는 세라믹 재료를 포함하여 된 것을 특징으로 하는 플라즈마 공정 챔버.
  4. 제 3 항에 있어서, 상기 플렉시블 벽부는, 상기 라이너 지지부를 상기 플렉시블 벽부가 열 스트레스를 흡수할 수 있게 하는 복수개의 핑거로 분할하는 슬롯을 포함하여 된 것을 특징으로 하는 플라즈마 공정 챔버.
  5. 제 4 항에 있어서, 상기 라이너 지지부의 하부 연장부는 상기 챔버 라이너의 하부 지지부에 고정되도록 된 것을 특징으로 하는 플라즈마 공정 챔버.
  6. 제 1 항에 있어서, 상기 챔버 라이너 및 상기 라이너 지지부와 열 접촉하는 배플 링을 더 포함하고,
    상기 배플 링은 상기 챔버 중심부에 위치한 정전기 척 둘레에 플라즈마 스크린을 형성하는 것을 특징으로 하는 플라즈마 공정 챔버.
  7. 제 6 항에 있어서, 상기 배플 링은 탄화 실리콘(SiC), 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및 질화 붕소(BN)의 하나 또는 그 이상으로 만들어지는 것을 특징으로 하는 플라즈마 공정 챔버.
  8. 제 1 항에 있어서, 상기 챔버 라이너는 탄화 실리콘(SiC), 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및 질화 붕소(BN)의 하나 또는 그 이상으로 만들어지는 것을 특징으로 하는 플라즈마 공정 챔버.
  9. 제 1 항에 있어서, 상기 챔버 라이너는 낮은 전기 저항성을 갖으며, 그라운드에 RF 경로를 제공하도록 형성된 것을 특징으로 하는 플라즈마 공정 챔버.
  10. 제 1 항에 있어서, 정전기 척 상에 존재하는 가스 분배 플레이트를 더 포함하고,
    상기 가스 분배 플레이트는 높은 전기 저항성을 갖는 것을 특징으로 하는 플라즈마 공정 챔버.
  11. 제 10 항에 있어서, 상기 가스 분배 플레이트는 탄화 실리콘(SiC), 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및 질화 붕소(BN)의 하나 또는 그 이상으로 만들어지는 것을 특징으로 하는 플라즈마 공정 챔버.
  12. 제 1 항에 있어서, 포커스 링 및 이 포커스 링과 정전기 척을 지지하는 페디스털을 더 포함하여 된 것을 특징으로 하는 플라즈마 공정 챔버.
  13. 제 12 항에 있어서, 상기 포커스 링과 페디스털은 탄화 실리콘(SiC), 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및 질화 붕소(BN)의 하나 또는 그 이상으로 만들어지는 것을 특징으로 하는 플라즈마 공정 챔버.
  14. 제 1 항에 있어서, 탄화 실리콘(SiC), 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및 질화 붕소(BN)의 하나 또는 그 이상으로 만들어지는 포커스 링, 페디스털 및/또는 가스 분배 플레이트를 더 포함하여 된 것을 특징으로 하는 플라즈마 공정 챔버.
  15. 제 11 항에 있어서, 상기 가스 분배 플레이트를 통해 RF 에너지를 유도적으로 결합하여 상기 챔버에서 고밀도 플라즈마를 발생시키는 RF 에너지 소스를 더 포함하여 된 것을 특징으로 하는 플라즈마 공정 챔버.
  16. 제 1 항에 있어서, 상기 RF 에너지 소스는 플래너 안테나를 포함하는 것을 특징으로 하는 플라즈마 공정 챔버.
  17. 제 1 항에 있어서, 상기 라이너 지지부는 상기 라이너 지지부의 하부 연장부에 열적으로 접속되는 외부 지지부를 더 구비하고,
    상기 외부 지지부는 상기 챔버상에 장착되는 수냉식 상부 플레이트와 열 접촉상태에 있게 되는 것을 특징으로 하는 플라즈마 공정 챔버.
  18. 제 1 항에 있어서, 상기 챔버는 플라즈마 에칭 챔버인 것을 특징으로 하는 플라즈마 공정 챔버.
  19. 제 1 항에 있어서, 상기 라이너 지지부는 상부 연장부, 플렉시블 벽부 및 하부 연장부를 구비하고,
    상기 플렉시블 벽부와 하부 연장부는 상기 라이너 지지부에서 복수개의 핑거를 형성하는 복수개의 슬롯을 구비하는 것을 특징으로 하는 플라즈마 공정 챔버.
  20. 제 1 항에 있어서, 캐스트 히터 링이 상기 라이너 지지부와 열 접촉상태에 있고,
    상기 히터 링은 상기 챔버 라이너의 온도를 열적으로 제어하기 위해 상기 라이너 지지부를 발열시키는 저항성 발열 소자를 구비하여 된 것을 특징으로 하는 플라즈마 공정 챔버.
  21. 제 1 항에 따른 플라즈마 공정 챔버에서 반도체 기판을 공정처리하는 방법에 있어서,
    반도체 웨이퍼가 상기 챔버로 이송되고, 상기 기판의 노출 표면은 고밀도 플라즈마로 공정처리되도록 된 것을 특징으로 하는 반도체 기판 공정처리 방법.
  22. 제 21 항에 있어서, 상기 챔버 라이너는 세라믹 재료이고, 상기 라이너 지지부는 이 라이너 지지부와 상기 챔버의 온도 제어 부분 사이에서 연장하는 외부 지지부를 구비하고,
    상기 외부 지지부는 반도체 웨이퍼 배치(batch)의 순차적인 공정처리시 상기 챔버 라이너의 온도 편차를 최소화시키도록 치수화된 것을 특징으로 하는 반도체 기판 공정처리 방법.
  23. 제 21 항에 있어서, 상기 챔버 라이너는 소정 갯수의 반도체 웨이퍼를 공정처리한 후 상기 챔버에서 제거되고 다른 세라믹 라이너로 대체되는 세라믹 라이너인 것을 특징으로 하는 반도체 기판 공정처리 방법.
  24. 제 1 항에 있어서, 상기 챔버 라이너는 상기 웨이퍼를 상기 챔버로 통과시킬 수 있는 물(water) 입구 포트를 구비하여 된 것을 특징으로 하는 플라즈마 공정 챔버.
KR1020017003624A 1998-09-25 1999-09-24 저 이물질 고밀도 플라즈마 에칭 챔버 및 그 제조 방법 KR100566908B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/161,074 1998-09-25
US09/161,074 US6129808A (en) 1998-03-31 1998-09-25 Low contamination high density plasma etch chambers and methods for making the same

Publications (2)

Publication Number Publication Date
KR20010075264A true KR20010075264A (ko) 2001-08-09
KR100566908B1 KR100566908B1 (ko) 2006-03-31

Family

ID=22579708

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017003624A KR100566908B1 (ko) 1998-09-25 1999-09-24 저 이물질 고밀도 플라즈마 에칭 챔버 및 그 제조 방법

Country Status (10)

Country Link
US (3) US6129808A (ko)
EP (1) EP1145273B1 (ko)
JP (1) JP4612190B2 (ko)
KR (1) KR100566908B1 (ko)
CN (1) CN1328755C (ko)
AU (1) AU1440100A (ko)
DE (1) DE69928289T2 (ko)
RU (1) RU2237314C2 (ko)
TW (1) TW460972B (ko)
WO (1) WO2000019481A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100807861B1 (ko) * 2003-04-17 2008-02-27 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 내의 플라즈마 제한 및 유동 저항 감소방법 및 그 장치
KR100847890B1 (ko) * 2006-12-13 2008-07-23 세메스 주식회사 챔버 라이너를 포함하는 밀폐형 반도체 공정 시스템 및그것을 이용한 웨이퍼 가공 방법
KR20160038882A (ko) * 2016-01-22 2016-04-07 세메스 주식회사 기판 처리 장치 및 방법

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100806097B1 (ko) * 1999-09-30 2008-02-21 램 리써치 코포레이션 예비 처리된 가스 분배판
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US7166165B2 (en) * 2000-04-06 2007-01-23 Asm America, Inc. Barrier coating for vitreous materials
JP2002134472A (ja) * 2000-10-20 2002-05-10 Mitsubishi Electric Corp エッチング方法、エッチング装置および半導体装置の製造方法
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
WO2002068710A1 (de) * 2001-02-26 2002-09-06 Unaxis Balzers Aktiengesellschaft Verfahren zur herstellung von teilen und vakuumbehandlungssystem
US6602381B1 (en) * 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6821378B1 (en) * 2001-05-25 2004-11-23 Lam Research Corporation Pump baffle and screen to improve etch uniformity
KR20020095324A (ko) * 2001-06-14 2002-12-26 삼성전자 주식회사 고주파 파워를 이용하는 반도체장치 제조설비
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
EP1274113A1 (en) * 2001-07-03 2003-01-08 Infineon Technologies SC300 GmbH & Co. KG Arrangement and method for detecting sidewall flaking in a plasma chamber
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
DE10156407A1 (de) * 2001-11-16 2003-06-05 Bosch Gmbh Robert Haltevorrichtung, insbesondere zum Fixieren eines Halbleiterwafers in einer Plasmaätzvorrichtung, und Verfahren zur Wärmezufuhr oder Wärmeabfuhr von einem Substrat
US6730174B2 (en) * 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6613587B1 (en) * 2002-04-11 2003-09-02 Micron Technology, Inc. Method of replacing at least a portion of a semiconductor substrate deposition chamber liner
US7093560B2 (en) * 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US8703249B2 (en) * 2002-04-17 2014-04-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
KR20030090305A (ko) * 2002-05-22 2003-11-28 동경엘렉트론코리아(주) 플라즈마 발생장치의 가스 배기용 배플 플레이트
US20050121143A1 (en) * 2002-05-23 2005-06-09 Lam Research Corporation Pump baffle and screen to improve etch uniformity
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
KR100470999B1 (ko) * 2002-11-18 2005-03-11 삼성전자주식회사 유도 결합 플라즈마 식각장치의 챔버구조
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
JP4532479B2 (ja) 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
US20040256215A1 (en) * 2003-04-14 2004-12-23 David Stebbins Sputtering chamber liner
US20040206213A1 (en) * 2003-04-18 2004-10-21 Chih-Ching Hsien Wrench having a holding structure
US6953608B2 (en) * 2003-04-23 2005-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up
JP3940095B2 (ja) * 2003-05-08 2007-07-04 忠弘 大見 基板処理装置
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US7742763B2 (en) * 2005-03-02 2010-06-22 Roamware, Inc. Dynamic generation of CSI for outbound roamers
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US8460945B2 (en) * 2003-09-30 2013-06-11 Tokyo Electron Limited Method for monitoring status of system components
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP3962722B2 (ja) 2003-12-24 2007-08-22 三菱重工業株式会社 プラズマ処理装置
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
JP4426342B2 (ja) 2004-03-08 2010-03-03 株式会社日立ハイテクノロジーズ 真空処理装置
US8540843B2 (en) * 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
EP1774838B1 (en) * 2004-07-09 2011-04-20 Energetiq Technology Inc. Inductively-driven plasma light source
US20060037702A1 (en) * 2004-08-20 2006-02-23 Tokyo Electron Limited Plasma processing apparatus
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7375027B2 (en) 2004-10-12 2008-05-20 Promos Technologies Inc. Method of providing contact via to a surface
US7959984B2 (en) 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
KR100737311B1 (ko) 2005-01-19 2007-07-09 삼성전자주식회사 반도체 제조장치
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
KR100672828B1 (ko) * 2005-06-29 2007-01-22 삼성전자주식회사 챔버 인서트 및 이를 포함하는 기판 가공 장치
WO2007016592A2 (en) * 2005-07-29 2007-02-08 Aviza Technology, Inc. Gas manifold valve cluster
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
CN100369192C (zh) * 2005-12-26 2008-02-13 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工系统反应腔室
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8747555B2 (en) * 2006-05-09 2014-06-10 Ulvac, Inc. Thin film production apparatus and inner block for thin film production apparatus
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8004293B2 (en) * 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
US7942112B2 (en) * 2006-12-04 2011-05-17 Advanced Energy Industries, Inc. Method and apparatus for preventing the formation of a plasma-inhibiting substance
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
WO2008134446A1 (en) * 2007-04-27 2008-11-06 Applied Materials, Inc. Annular baffle
JP5373602B2 (ja) * 2007-05-18 2013-12-18 株式会社アルバック プラズマ処理装置及び防着部材の製造方法
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
KR100995700B1 (ko) 2008-07-14 2010-11-22 한국전기연구원 3차원 표면형상을 갖는 원통형 가공물을 위한 유도 결합형플라즈마 공정 챔버 및 방법
CN101656194B (zh) * 2008-08-21 2011-09-14 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子腔室及其温度控制方法
US8293016B2 (en) * 2008-10-07 2012-10-23 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates
KR101641130B1 (ko) * 2008-10-09 2016-07-20 어플라이드 머티어리얼스, 인코포레이티드 대형 플라즈마 처리 챔버를 위한 rf 복귀 경로
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9337004B2 (en) * 2009-04-06 2016-05-10 Lam Research Corporation Grounded confinement ring having large surface area
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
JP5595795B2 (ja) * 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US8360003B2 (en) * 2009-07-13 2013-01-29 Applied Materials, Inc. Plasma reactor with uniform process rate distribution by improved RF ground return path
JP5443096B2 (ja) * 2009-08-12 2014-03-19 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
US9404180B2 (en) * 2010-03-16 2016-08-02 Tokyo Electron Limited Deposition device
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
TWI503907B (zh) * 2010-04-14 2015-10-11 Wonik Ips Co Ltd 基板處理設備
TWI502617B (zh) * 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR101297264B1 (ko) * 2011-08-31 2013-08-16 (주)젠 이중 유도 결합 플라즈마 소스를 갖는 플라즈마 반응기
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
CN104011838B (zh) * 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
KR102044568B1 (ko) * 2011-11-24 2019-11-13 램 리써치 코포레이션 대칭형 rf 복귀 경로 라이너
CN103177954B (zh) * 2011-12-26 2015-12-16 中芯国际集成电路制造(上海)有限公司 使用温度可控的限制环的刻蚀装置
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10131994B2 (en) * 2012-07-20 2018-11-20 Applied Materials, Inc. Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
CN103151235B (zh) * 2013-02-20 2016-01-27 上海华力微电子有限公司 一种提高刻蚀均匀性的装置
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US9761416B2 (en) * 2013-03-15 2017-09-12 Applied Materials, Inc. Apparatus and methods for reducing particles in semiconductor process chambers
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
CN111952149A (zh) * 2013-05-23 2020-11-17 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
CN103646872A (zh) * 2013-11-26 2014-03-19 上海华力微电子有限公司 一种去胶设备
KR102277859B1 (ko) * 2013-12-06 2021-07-16 어플라이드 머티어리얼스, 인코포레이티드 예비가열 부재를 셀프 센터링하기 위한 장치
JP6230900B2 (ja) * 2013-12-19 2017-11-15 東京エレクトロン株式会社 基板処理装置
TWI564929B (zh) * 2014-07-24 2017-01-01 科閎電子股份有限公司 用於電漿反應裝置之襯套單元
GB201518756D0 (en) 2015-10-22 2015-12-09 Spts Technologies Ltd Apparatus for plasma dicing
CN106711006B (zh) * 2015-11-13 2019-07-05 北京北方华创微电子装备有限公司 上电极组件及半导体加工设备
US10763082B2 (en) * 2016-03-04 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber of plasma system, liner for plasma system and method for installing liner to plasma system
WO2017165016A1 (en) * 2016-03-25 2017-09-28 Applied Materials, Inc. Chamber liner for high temperature processing
JP7156954B2 (ja) * 2016-06-03 2022-10-19 エヴァテック・アーゲー プラズマエッチングチャンバ及びプラズマエッチング方法
US10886113B2 (en) * 2016-11-25 2021-01-05 Applied Materials, Inc. Process kit and method for processing a substrate
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
CN110520628A (zh) * 2017-03-21 2019-11-29 部件再设计股份有限公司 在高腐蚀性或侵蚀性工业应用中使用的陶瓷材料组件
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11810766B2 (en) * 2018-07-05 2023-11-07 Applied Materials, Inc. Protection of aluminum process chamber components
US20200051793A1 (en) * 2018-08-13 2020-02-13 Skc Solmics Co., Ltd. Ring-shaped element for etcher and method for etching substrate using the same
US20220002863A1 (en) * 2018-10-05 2022-01-06 Lam Research Corporation Plasma processing chamber
CN110012928A (zh) * 2019-04-24 2019-07-16 四川长虹电器股份有限公司 一种可移动平行板电容器解冻腔体及射频解冻装置
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
USD913979S1 (en) 2019-08-28 2021-03-23 Applied Materials, Inc. Inner shield for a substrate processing chamber
US20210066050A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance inner shield for process chamber
CN112802729A (zh) * 2019-11-13 2021-05-14 中微半导体设备(上海)股份有限公司 带温度维持装置的隔离环
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
CN111471980B (zh) * 2020-04-15 2022-05-27 北京北方华创微电子装备有限公司 适于远程等离子体清洗的反应腔室、沉积设备及清洗方法
KR102549935B1 (ko) * 2021-04-28 2023-06-30 주식회사 월덱스 플라즈마 에칭장치용 다체형 한정 링
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
CN114360999B (zh) * 2021-12-30 2023-06-27 武汉华星光电半导体显示技术有限公司 等离子处理设备

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4399546A (en) * 1979-09-28 1983-08-16 Dresser Industries, Inc. Silicon carbide furnace
DE3269040D1 (en) * 1981-04-02 1986-03-27 Perkin Elmer Corp Discharge system for plasma processing
JPS59151084A (ja) * 1983-02-18 1984-08-29 株式会社日立製作所 核融合装置
US4981551A (en) * 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
JPH0662344B2 (ja) * 1988-06-03 1994-08-17 株式会社日立製作所 セラミツクスと金属の接合体
JPH0814633B2 (ja) * 1989-05-24 1996-02-14 株式会社日立製作所 核融合炉
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5367139A (en) * 1989-10-23 1994-11-22 International Business Machines Corporation Methods and apparatus for contamination control in plasma processing
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
DE69420774T2 (de) * 1993-05-13 2000-01-13 Applied Materials Inc Kontrolle der Kontamination in einem Plasma durch Ausgestaltung des Plasmaschildes unter Verwendung von Materialien mit verschiedenen RF-Impedanzen
JP3181473B2 (ja) * 1993-08-19 2001-07-03 東京エレクトロン株式会社 プラズマ処理装置
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
JPH07273086A (ja) * 1994-03-30 1995-10-20 Sumitomo Metal Ind Ltd プラズマ処理装置及び該装置を用いたプラズマ処理方法
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5538230A (en) * 1994-08-08 1996-07-23 Sibley; Thomas Silicon carbide carrier for wafer processing
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
JPH09246238A (ja) * 1996-03-01 1997-09-19 Nippon Eng Kk プラズマエッチング用平板状基台およびその製造方法
US5725675A (en) * 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
JPH10130872A (ja) * 1996-10-29 1998-05-19 Sumitomo Metal Ind Ltd プラズマ処理方法
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
WO1999050886A1 (en) * 1998-03-31 1999-10-07 Lam Research Corporation Contamination controlling method and plasma processing chamber
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100807861B1 (ko) * 2003-04-17 2008-02-27 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 내의 플라즈마 제한 및 유동 저항 감소방법 및 그 장치
KR100847890B1 (ko) * 2006-12-13 2008-07-23 세메스 주식회사 챔버 라이너를 포함하는 밀폐형 반도체 공정 시스템 및그것을 이용한 웨이퍼 가공 방법
KR20160038882A (ko) * 2016-01-22 2016-04-07 세메스 주식회사 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
AU1440100A (en) 2000-04-17
WO2000019481A2 (en) 2000-04-06
US20020102858A1 (en) 2002-08-01
JP4612190B2 (ja) 2011-01-12
US6129808A (en) 2000-10-10
DE69928289D1 (de) 2005-12-15
WO2000019481A3 (en) 2001-12-20
EP1145273A3 (en) 2002-03-27
WO2000019481A9 (en) 2002-01-31
RU2237314C2 (ru) 2004-09-27
US6394026B1 (en) 2002-05-28
JP2002533911A (ja) 2002-10-08
EP1145273A2 (en) 2001-10-17
DE69928289T2 (de) 2006-08-10
CN1319247A (zh) 2001-10-24
KR100566908B1 (ko) 2006-03-31
EP1145273B1 (en) 2005-11-09
TW460972B (en) 2001-10-21
CN1328755C (zh) 2007-07-25
US6583064B2 (en) 2003-06-24

Similar Documents

Publication Publication Date Title
KR100566908B1 (ko) 저 이물질 고밀도 플라즈마 에칭 챔버 및 그 제조 방법
KR100504614B1 (ko) 반도체 처리를 위한 가스 분산장치
US7482550B2 (en) Quartz guard ring
EP1989727B1 (en) SEALED ELASTOMER BONDED Si ELECTRODES AND THE LIKE FOR REDUCED PARTICLE CONTAMINATION IN DIELECTRIC ETCH
KR100733897B1 (ko) 온도가 균일한 플라즈마 반응챔버 콤포넌트
US6838012B2 (en) Methods for etching dielectric materials
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
CN100474521C (zh) 温控热边缘环组件,包含该组件的装置及其用途
KR101645043B1 (ko) 플라즈마 프로세싱 챔버, 플라즈마 프로세싱 콤포넌트 및 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법
US20230019718A1 (en) Substrate support pedestal
TWI416621B (zh) 可建構之傾斜蝕刻機
KR20030066770A (ko) 플라즈마 공정을 위한 전극 및 이의 제조 방법과 사용 방법
KR20040111691A (ko) 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
WO1999050886A1 (en) Contamination controlling method and plasma processing chamber

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130312

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140312

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150309

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160310

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee