KR20000003920A - 반도체 장치 제조 방법 - Google Patents

반도체 장치 제조 방법 Download PDF

Info

Publication number
KR20000003920A
KR20000003920A KR1019980025227A KR19980025227A KR20000003920A KR 20000003920 A KR20000003920 A KR 20000003920A KR 1019980025227 A KR1019980025227 A KR 1019980025227A KR 19980025227 A KR19980025227 A KR 19980025227A KR 20000003920 A KR20000003920 A KR 20000003920A
Authority
KR
South Korea
Prior art keywords
film
oxide film
opening
forming
insulating film
Prior art date
Application number
KR1019980025227A
Other languages
English (en)
Other versions
KR100365741B1 (ko
Inventor
이기엽
하정우
Original Assignee
김영환
현대전자산업 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 김영환, 현대전자산업 주식회사 filed Critical 김영환
Priority to KR10-1998-0025227A priority Critical patent/KR100365741B1/ko
Priority to US09/342,093 priority patent/US6171938B1/en
Publication of KR20000003920A publication Critical patent/KR20000003920A/ko
Application granted granted Critical
Publication of KR100365741B1 publication Critical patent/KR100365741B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/963Removing process residues from vertical substrate surfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 고집적 반도체 소자의 전도막 패턴을 보다 용이하게 형성할 수 있으며 동시에 기판의 손상을 방지할 수 있는 반도체 장치 제조 방법에 관한 것으로, 본 발명은 절연막을 건식식각하여 기판을 직접 노출시킴으로 인해 기판이 손상되는 것을 방지하기 위하여, 건식식각으로 절연막을 식각하는 과정에서 절연막의 일부를 기판 상에 잔류시키고, 잔류된 절연막을 습식식각으로 제거하여 기판을 노출시킨 다음 이후의 공정을 진행하는 방법이다. 또한, 본 발명은 고집적 반도체 소자의 전도막 패턴을 형성하기 위하여 반도체 기판 상에 전도막 패턴의 높이만큼 절연막을 형성하고, 절연막을 식각하여 전도막 패턴 형성 영역을 정의한 다음, 전도막을 증착하고 에치백하여 절연막 내에 전도막을 매립함으로써 전도막 패턴을 형성하는 방법이다. 이때, 절연막 식각시 기판이 손상되는 것을 방지하기 위하여, 절연막 형성 이전에 기판 상에 희생산화막 및 식각정지층을 형성한다. 이와 같이, 절연막을 건식식각하여 절연막 내에 트렌치 또는 콘택홀 등의 개구부를 형성하여 개구부 내에 전도막을 매립함으로써 고집적 반도체 소자의 전도막 패턴을 용이하게 형성할 수 있다. 또한, 개구부 바닥에 절연막을 잔류시킨 후, 잔류된 절연막을 습식식각으로 제거함으로써 기판의 손상으로 인한 소자 특성 저하를 방지할 수 있다.

Description

반도체 장치 제조 방법
본 발명은 반도체 장치 제조 분야에 관한 것으로, 고집적 반도체 소자의 전도막 패턴을 보다 용이하게 형성할 수 있으며 동시에 기판의 손상을 방지할 수 있는 반도체 장치 제조 방법에 관한 것이다.
0.3 ㎛ 이하의 선폭을 갖는 게이트 형성 공정은 여러 가지 문제점을 유발한다. 예를 들어, 감광막 패턴에 대한 전도막의 식각선택비가 높지 않을 경우에는 식각을 원치않는 부분의 전도막이 소실되는 노칭(notching)이 일어나거나, 또는 전도막 패턴의 무너짐(collapse)이 발생한다. 또한, 패턴의 측벽 보호(side wall passivation)가 완전하지 않은 상태에서 식각공정 등을 실시할 경우에는 패턴 측벽이 식각되어 오목해지거나, 식각부산물이 측벽에 재증착되어 볼록해지는 보잉(bowing)이 발생하고, 식각잔여물 제거를 위한 과도식각(over etching) 조건이 적절하지 못할 경우에는 식각잔여물이 완전히 제거되지 않아 패턴 테일링(tailing)이 일어난다. 그리고, 게이트 산화막 상에 게이트를 형성하기 위한 식각과정에서 게이트 산화막이 드러나는 순간에 부유(floating)되는 전도막에는 플라즈마 전류(plasma current)가 발생하게 되는데 이로 인하여 게이트 산화막의 특성을 열화시키는 경우가 발생한다.
또한, 금속막을 식각하여 게이트를 형성할 경우에는 금속막의 식각 특성상 높은 기판 바이어스 전력(bias power)을 인가함에 따라 하층과의 식각선택비가 낮아진다. 특히, 실리콘 기판이 노출되는 식각 과정에 소오스 및 드레인 영역이 심하게 손상될 경우에는 디바이스 동작 특성이 저하되는 문제점이 발생한다.
상기와 같은 문제점을 해결하기 위하여 안출된 본 발명은 고집적 반도체 소자의 패턴을 보다 용이하게 할 수 있으며, 패턴을 형성하기 위한 식각 공정시 하부층의 손상을 방지할 수 있는 반도체 장치 제조 방법을 제공하는데 그 목적이 있다.
도1a 내지 도1e는 본 발명의 일실시예에 따른 반도체 장치 제조 공정 단면도
도2a 내지 도2f는 본 발명의 다른 실시예에 따른 반도체 장치 제조 공정 단면도
* 도면의 주요 부분에 대한 도면 부호의 설명
10, 30: 실리콘 기판 11, 12, 33: 산화막
13: 장벽금속막 14, 16: 전도막
15: 층간절연막 16A: 콘택플러그
16B: 플러그 패드 31: 희생산화막
32: 식각정지층 34: 반사방지막
35: 게이트 산화막 36A: 게이트 전극
상기 목적을 달성하기 위한 본 발명은 반도체 기판 상에 절연막을 형성하는 제1 단계; 상기 절연막을 선택적으로 제거하여 상기 절연막 내에 개구부를 형성하되, 상기 개구부 바닥에 상기 절연막의 일부를 잔류시키는 제2 단계; 상기 개구부 바닥에 잔류된 상기 절연막을 습식식각으로 제거하여 상기 반도체 기판을 노출시키는 제3 단계; 및 상기 개구부 내에 전도막을 매립하여 상기 반도체 기판과 연결되는 전도막 패턴을 형성하는 제4 단계를 포함하는 반도체 장치 제조 방법을 제공한다.
또한, 상기 목적을 달성하기 위한 본 발명은 반도체 기판 상에 희생산화막, 식각정지막, 산화막 및 반사방지막을 차례로 형성하는 제1 단계; 상기 반사방지막 상에 식각마스크를 형성하는 제2 단계; 상기 반사방지막 및 상기 산화막을 선택적으로 제거하여 그 바닥에 상기 식각정지막을 노출시키는 개구부를 형성하는 제3 단계; 상기 식각마스크를 제거하는 제4 단계; 상기 개구부 바닥에 노출된 상기 식각정지막을 제거하여 상기 희생산화막을 노출시키는 제5 단계; 습식식각으로 상기 희생산화막을 제거하여 상기 반도체 기판을 노출시키는 제6 단계; 상기 제6 단계에서 노출된 상기 반도체 기판 상에 게이트 산화막을 형성하는 제7 단계; 및 상기 개구부 내에 전도막을 매립하여 게이트 전극을 형성하는 제8 단계를 포함하는 반도체 장치 제조 방법을 제공한다.
본 발명은 절연막을 건식식각하여 기판을 직접 노출시킴으로 인해 기판이 손상되는 것을 방지하기 위하여, 건식식각으로 절연막을 식각하는 과정에서 절연막의 일부를 기판 상에 잔류시키고, 잔류된 절연막을 습식식각으로 제거하여 기판을 노출시킨 다음 이후의 공정을 진행하는 방법이다.
또한, 본 발명은 고집적 반도체 소자의 전도막 패턴을 형성하기 위하여 반도체 기판 상에 전도막 패턴의 높이만큼 절연막을 형성하고, 절연막을 식각하여 전도막 패턴 형성 영역을 정의한 다음, 전도막을 증착하고 에치백하여 절연막 내에 전도막을 매립함으로써 전도막 패턴을 형성하는 방법이다. 이때, 절연막 식각시 기판이 손상되는 것을 방지하기 위하여, 절연막 형성 이전에 기판 상에 희생산화막 및 식각정지층을 형성한다.
이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 바람직한 실시예를 첨부된 도면을 참조하여 설명한다.
도1a 내지 도1e는 본 발명의 일실시예에 따른 반도체 장치 제조 공정 단면도이다.
도1a는 실리콘 기판(10) 상에 제1 산화막(11)을 형성하고, 제1 산화막(11) 상에 게이트 형성 영역을 노출시키는 제1 감광막 패턴(20)을 형성한 후, 제1 감광막 패턴(20)을 식각마스크로 제1 산화막(11)을 식각하여 제1 산화막(11) 내에 트렌치(trench)를 형성하면서, 트렌치 바닥 즉, 게이트 형성 영역 상에 제1 산화막(11)을 50 Å 두께로 잔류시킨 상태를 보이고 있다. 이때, 제1 산화막(11) 식각시 식각선택비를 높이기 위하여 중합체 생성이 많고 불소 제거 효율이 뛰어난 CxHyFz계열의 가스 또는 CxFy및 H2가스를 사용하며, 압력은 10 mTorr 이상으로 하고, 바이어스 전력은 100 W 이하로 유지하여 이온 전류를 줄임으로써 식각 속도를 줄여서 50 Å 두께의 제1 산화막(11)이 잔류되도록 한다.
도1b는 제1 감광막 패턴(20)을 제거하고, 완충산화식각제(buffered oxide etchant, BOE), HF 또는 NH4OH 습식식각을 실시하여 불순물을 제거함과 동시에 게이트 형성 영역 상에 잔류하였던 제1 산화막(11)을 제거하여 실리콘 기판(10)을 노출시키고, 게이트 산화막을 이룰 제2 산화막(12) 및 장벽 금속막(13)을 형성한 것을 보이고 있다. 이때, 상기 습식식각 과정에서 실리콘 기판 표면에 발생한 요철이 제2 산화막(12) 형성 후 제거되도록 한다. 즉, 요철을 제거할 만한 두께로 제2 산화막(12)을 형성하여 게이트 산화막의 특성을 향상시킨다. 또한, 상기 장벽금속막(13) 형성 후, 접착력을 향상시키고 스트레스를 완화시키기 위하여 열처리 공정을 실시한다.
도1c는 장벽금속막(13) 상에 게이트 전극용 전도막(14)을 형성하고, 제2 산화막이 노출될 때까지 전도막(14) 및 장벽금속막(13)을 전면식각하여 제1 산화막(11) 내에 형성된 트렌치 내부에만 장벽금속막(13) 및 전도막(14)을 매립시켜 게이트 전극을 형성한 후, 전체 구조 상에 질화막 또는 산화막으로 층간절연막(15)을 형성한 상태를 보이고 있다. 이때, 전도막(14) 및 장벽금속막(13)을 화학적기계적 연마법으로 제거할 수도 있으며, 트렌치 내부에 매립된 전도막(14)의 높이는 제1 산화막(11)의 높이를 넘지 않아 이웃하는 트렌치에 매립된 전도막이 서로 연결되는 브릿지(bridge)가 발생하지 않도록 한다.
도1d는 층간절연막(15) 상에 콘택홀 형성 영역을 노출시키는 제2 감광막 패턴(40)을 형성하고, 제2 감광막 패턴(40)을 식각마스크로 식각 공정을 실시하여 층간절연막(15), 제2 산화막(12) 및 제1 산화막(11)을 선택적으로 제거하여 콘택홀을 형성하면서 콘택홀 바닥에 제1 산화막(11)을 50 Å 정도의 두께로 잔류시킨 것을 보이고 있다. 잔류된 제1 산화막(11)은 플러그 콘택저항을 줄이기 위한 불순물 주입시 버퍼층으로 사용될 수도 있다. 상기 식각공정에서, 식각 가스는 CxHyFz계열의 가스 또는 CxFy및 H2가스를 사용하며, 압력은 7 mTorr 내지 12 mTorr로 하고, 바이어스 전력은 200 W 이하로 유지하여 이온 전류를 줄임으로써 식각 속도를 줄여서 50 Å 두께의 제1 산화막(11)이 잔류되도록 한다.
도1e는 제2 감광막 패턴(40)을 제거하고, 완충산화식각제, HF 또는 NH4OH를 사용한 세정공정으로 콘택홀 바닥에 잔류한 제1 산화막(11)을 제거하여 실리콘 기판(10)을 노출시키고 전도막을 증착하고 패터닝하여 콘택 플러그(16A) 및 플러그 패드(16B)를 형성한 것을 보이고 있다.
도2a 내지 도2f는 본 발명의 다른 실시예에 따른 반도체 장치 제조 공정 단면도이다.
도2a는 실리콘 기판(30) 상에 희생산화막(31) 및 식각정지층(32)을 차례로 형성한 것을 보이고 있다. 희생산화막(31)의 두께는 40 Å 내지 50 Å이며, 자연적으로 형성된 자연산화막이거나 인위적으로 형성된 산화막이 될 수 있다. 두 가지 방법 모두 기판에 손상을 입히지 않으며, 자연산화막의 경우는 기판 표면에 잔류하는 불순물 및 손상층을 제거하는 이점을 가지고 있다. 식각정지층(32)은 이후에 저반사막 상에 형성될 산화막을 패터닝하는 과정에서 플라즈마로 인하여 희생산화막(31)과 실리콘 기판(30)이 손상되는 것을 방지하기 위한 것이며, 반사율이 적은 물질로 형성한다.
도2b는 식각정지층(32) 상에 게이트 높이 정도 크기의 두께를 갖는 제1 산화막(33)을 형성하고, 제1 산화막(33) 상에 반사방지막(34)을 형성한 다음, 반사방지막(34) 상에 게이트 형성 영역을 노출시키는 감광막 패턴(50)을 형성한 상태를 보이고 있다. 상기 제1 산화막(33)의 두께는 형성하고자 하는 게이트의 높이에 해당한다.
도2c는 감광막 패턴(50)을 식각마스크로 반사방지막(34) 및 제1 산화막(33)을 선택적으로 제거하여 제1 산화막(33) 내에 트렌치(trench)를 형성함으로써 게이트 영역을 정의하고, 식각정지층(32)을 노출시킨 상태를 보이고 있다. 제1 산화막(33)의 식각은 C, H, 및 F가 함유된 가스를 사용하며, 400 W 이하의 바이어스 전력(bias power)을 인가하고 50 mTorr 이하의 압력에서 실시한다. CxHyFz가스를 사용하는 경우에는 중합체(polymer)가 발생하고, 식각 깊이가 깊어지거나 상이한 하부층이 나타날 경우 식각 속도가 느려지거나 식각정지가 일어나기 때문에 하부층을 보호할 수 있다.
도2d는 감광막 패턴(50)을 제거하고, 반사방지막(34)을 식각마스크로 식각을 실시하여 식각정지층(32)을 선택적으로 제거해서 게이트가 형성될 영역의 희생산화막(31)을 노출시킨 상태를 보이고 있다. 감광막 패턴(50) 제거 후, 감광막의 래디컬(radical) 및 이온에 의해 제1 산화막(33)이 손상된 것을 보상하고 희생산화막(31)에 대한 식각선택비가 크도록 하기 위하여, 식각정지층(32) 식각시 Cl2및 O2를 식각제로 사용하고, 바이어스 전력을 50 W 이하로 하고 압력을 높인다. 이때, Cl2의 양은 10 sccm 내지 17 sccm이 되도록 하고, 식각정지층(32):희생산화막(31)의 식각선택비는 50 ∼ 80:1이 되도록 한다.
도2e는 식각 잔류물을 제거하기 위하여 전체 구조 상에 100 Å 이하의 두께로 제2 산화막(도시하지 않음)을 형성하고, 세정공정을 실시하여 제2 산화막 및 게이트 형성 영역에 노출되어 있던 희생산화막(31)을 제거하고, 게이트 산화막(35) 및 게이트 전극용 전도막(36)을 형성한 상태를 보인다.
도2f는 전도막(36)을 플라즈마 또는 화학적기계적 연마(chemical mechanical polishing) 방법으로 에치백(etch-back)하여 제1 산화막(33) 사이에 게이트 전극(36A)을 형성한 것을 나타내고 있다.
이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
상기와 같이 이루어지는 본 발명은 절연막을 건식식각하여 절연막 내에 트렌치 또는 콘택홀 등의 개구부를 형성하여 개구부 내에 전도막을 매립함으로써 고집적 반도체 소자의 전도막 패턴을 용이하게 형성할 수 있다.
또한, 개구부 바닥에 절연막을 잔류시킨 후, 잔류된 절연막을 습식식각으로 제거함으로써 기판의 손상으로 인한 소자 특성 저하를 방지할 수 있다.

Claims (14)

  1. 반도체 장치 제조 방법에 있어서,
    반도체 기판 상에 절연막을 형성하는 제1 단계;
    상기 절연막을 선택적으로 제거하여 상기 절연막 내에 개구부를 형성하되, 상기 개구부 바닥에 상기 절연막의 일부를 잔류시키는 제2 단계;
    상기 개구부 바닥에 잔류된 상기 절연막을 습식식각으로 제거하여 상기 반도체 기판을 노출시키는 제3 단계; 및
    상기 개구부 내에 전도막을 매립하여 상기 반도체 기판과 연결되는 전도막 패턴을 형성하는 제4 단계를 포함하는 반도체 장치 제조 방법.
  2. 제 1 항에 있어서,
    상기 제4 단계 후,
    상기 제4 단계가 완료된 전체 구조 상에 층간절연막을 형성하는 제5 단계;
    상기 층간절연막 및 상기 절연막을 선택적으로 제거하여 제2 개구부를 형성하되, 상기 제2 개구부 바닥에 상기 절연막의 일부를 잔류시키는 제6 단계;
    상기 제2 개구부 잔류된 상기 절연막을 습식식각으로 제거하여 상기 반도체 기판을 노출시키는 제7 단계; 및
    상기 제2 개구부 내에 전도막을 매립하여 상기 반도체 기판과 연결되는 전도막 패턴을 형성하는 제8 단계를 더 포함하는 것을 특징으로 하는 반도체 장치 제조 방법.
  3. 제 2 항에 있어서,
    상기 제4 단계에서 형성되는 전도막 패턴은 게이트 전극이고,
    상기 제8 단계에서 형성되는 전도막 패턴은 콘택 플러그(contact plug)인 것을 특징으로 반도체 장치 제조 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 절연막은,
    산화막으로 형성되고,
    상기 층간절연막은 산화막 또는 질화막 중 어느 하나로 형성되는 것을 특징으로 하는 반도체 장치 제조 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 제3 단계 및 상기 제7 단계의 습식식각은,
    각각 완충산화식각제(buffered oxide etchant, BOE), HF 또는 NH4OH 중 적어도 어느 하나를 이용하여 실시하는 것을 특징으로 하는 반도체 장치 제조 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 개구부 및 제2 개구부 바닥에 잔류되는 절연막의 두께는 실질적으로 50 Å인 것을 특징으로 하는 반도체 장치 제조 방법.
  7. 제 3 항에 있어서,
    상기 제3 단계 후,
    상기 제3 단계에서 노출된 상기 반도체 기판 상에 게이트 산화막을 형성하는 제9 단계를 더 포함하는 것을 특징으로 하는 반도체 장치 제조 방법.
  8. 제 7 항에 있어서,
    상기 제9 단계 후,
    상기 게이트 산화막 상에 장벽금속막을 형성하는 제10 단계; 및
    상기 장벽금속막을 열처리하는 제11 단계를 더 포함하는 것을 특징으로 하는 반도체 장치 제조 방법.
  9. 반도체 장치 제조 방법에 있어서,
    반도체 기판 상에 희생산화막, 식각정지막, 산화막 및 반사방지막을 차례로 형성하는 제1 단계;
    상기 반사방지막 상에 식각마스크를 형성하는 제2 단계;
    상기 반사방지막 및 상기 산화막을 선택적으로 제거하여 그 바닥에 상기 식각정지막을 노출시키는 개구부를 형성하는 제3 단계;
    상기 식각마스크를 제거하는 제4 단계;
    상기 개구부 바닥에 노출된 상기 식각정지막을 제거하여 상기 희생산화막을 노출시키는 제5 단계;
    습식식각으로 상기 희생산화막을 제거하여 상기 반도체 기판을 노출시키는 제6 단계;
    상기 제6 단계에서 노출된 상기 반도체 기판 상에 게이트 산화막을 형성하는 제7 단계; 및
    상기 개구부 내에 전도막을 매립하여 게이트 전극을 형성하는 제8 단계를 포함하는 반도체 장치 제조 방법.
  10. 제 9 항에 있어서,
    상기 희생산화막은,
    40 Å 내지 50 Å 두께의 자연산화막으로 형성하는 것을 특징으로 반도체 장치 제조 방법.
  11. 제 9 항에 있어서,
    상기 제5 단계에서,
    상기 식각정지막:희생산화막의 식각선택비가 50 내지 80:1인 조건으로 식각을 실시하여, 상기 식각정지막을 제거하는 것을 특징으로 하는 반도체 장치 제조 방법.
  12. 제 11 항에 있어서,
    상기 제5 단계에서,
    Cl2및 O2를 식각가스로 사용하고,
    50 W가 넘지 않는 바이어스(bias) 전력을 인가하여 식각을 실시하는 것을 특징으로 하는 반도체 장치 제조 방법.
  13. 제 12 항에 있어서,
    상기 Cl2의 양은 10 sccm 내지 17 sccm인 것을 특징으로 하는 반도체 장치 제조 방법.
  14. 제 9 항 또는 제 12 항에 있어서,
    상기 제4 단계 후,
    상기 제4 단계가 완료된 전체 구조 상에 100 Å이 넘지 않는 두께의 열산화막을 형성하는 제9 단계를 더 포함하고,
    상기 제6 단계에서 상기 희생산화막과 상기 열산화막을 동시에 제거하는 것을 특징으로 하는 반도체 장치 제조 방법.
KR10-1998-0025227A 1998-06-30 1998-06-30 반도체장치제조방법 KR100365741B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR10-1998-0025227A KR100365741B1 (ko) 1998-06-30 1998-06-30 반도체장치제조방법
US09/342,093 US6171938B1 (en) 1998-06-30 1999-06-29 Method for fabricating semiconductor device capable of minimizing damage of lower layer using insulating layer resided in opening

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-1998-0025227A KR100365741B1 (ko) 1998-06-30 1998-06-30 반도체장치제조방법

Publications (2)

Publication Number Publication Date
KR20000003920A true KR20000003920A (ko) 2000-01-25
KR100365741B1 KR100365741B1 (ko) 2003-02-19

Family

ID=19541714

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0025227A KR100365741B1 (ko) 1998-06-30 1998-06-30 반도체장치제조방법

Country Status (2)

Country Link
US (1) US6171938B1 (ko)
KR (1) KR100365741B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100449320B1 (ko) * 2001-12-20 2004-09-18 동부전자 주식회사 반도체 소자의 소자 분리막 형성방법
KR101132722B1 (ko) * 2005-11-30 2012-04-06 매그나칩 반도체 유한회사 반도체 소자의 게이트 전극 형성방법

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW518688B (en) * 2001-04-26 2003-01-21 Silicon Integrated Sys Corp Etching process of dielectric layer
JP2013172082A (ja) * 2012-02-22 2013-09-02 Toshiba Corp パターン形成方法、半導体装置の製造方法および塗布装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5871628A (ja) * 1981-10-23 1983-04-28 Fujitsu Ltd 半導体装置の製造方法
JPS6425474A (en) 1987-07-21 1989-01-27 Matsushita Electric Ind Co Ltd Manufacture of mos type semiconductor device
JPH03104125A (ja) 1989-09-18 1991-05-01 Matsushita Electron Corp Mos型半導体装置の製造方法
JPH03285334A (ja) 1990-03-31 1991-12-16 Nec Corp 半導体装置の製造方法
JPH04186778A (ja) 1990-11-20 1992-07-03 Nippon Steel Corp 半導体装置の製造方法
JPH04277617A (ja) 1991-03-06 1992-10-02 Fujitsu Ltd 半導体装置の製造方法
JPH05243218A (ja) * 1992-02-28 1993-09-21 Nec Corp 半導体装置の製造方法
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
KR0141195B1 (ko) 1994-06-08 1998-07-15 김광호 저저항 게이트전극을 갖는 반도체소자의 제조방법
JP3072754B2 (ja) 1994-10-18 2000-08-07 シャープ株式会社 半導体装置の製造方法
JP2842328B2 (ja) * 1995-08-18 1999-01-06 日本電気株式会社 半導体装置の製造方法
US5789140A (en) * 1996-04-25 1998-08-04 Fujitsu Limited Method of forming a pattern or via structure utilizing supplemental electron beam exposure and development to remove image residue
US5766998A (en) 1996-12-27 1998-06-16 Vanguard International Semiconductor Corporation Method for fabricating narrow channel field effect transistors having titanium shallow junctions
US5773348A (en) 1997-05-21 1998-06-30 Powerchip Semiconductor Corp. Method of fabricating a short-channel MOS device
US5940726A (en) * 1997-11-06 1999-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming an electrical contact for embedded memory
US5998285A (en) * 1998-07-30 1999-12-07 Winbond Electronics Corp. Self-aligned T-shaped process for deep submicron Si MOSFET's fabrication

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100449320B1 (ko) * 2001-12-20 2004-09-18 동부전자 주식회사 반도체 소자의 소자 분리막 형성방법
KR101132722B1 (ko) * 2005-11-30 2012-04-06 매그나칩 반도체 유한회사 반도체 소자의 게이트 전극 형성방법

Also Published As

Publication number Publication date
KR100365741B1 (ko) 2003-02-19
US6171938B1 (en) 2001-01-09

Similar Documents

Publication Publication Date Title
KR100341480B1 (ko) 자기 정렬된 얕은 트렌치 소자 분리 방법
KR100365741B1 (ko) 반도체장치제조방법
KR100554835B1 (ko) 플래시 소자의 제조 방법
KR100224782B1 (ko) 반도체의 소자의 소자분리 방법
KR100895824B1 (ko) 반도체 소자의 소자분리막 형성방법
KR100208450B1 (ko) 반도체 소자의 다중 금속층 형성 방법
KR100835506B1 (ko) 반도체소자의 제조방법
KR100507869B1 (ko) 반도체 소자의 콘택홀 형성 방법
KR100745058B1 (ko) 반도체 소자의 셀프 얼라인 콘택홀 형성방법
KR100326262B1 (ko) 반도체장치제조방법
KR101004805B1 (ko) 반도체 소자의 소자 분리막 형성 방법
KR100400320B1 (ko) 반도체소자의 게이트전극 형성 방법
KR100587597B1 (ko) 반도체 소자의 소자분리막 형성방법
KR20080038845A (ko) 반도체 소자의 제조방법
KR100318262B1 (ko) 반도체 소자의 얼라인먼트 키 형성방법
KR100871373B1 (ko) 반도체 소자의 소자분리막 형성방법
KR100570069B1 (ko) 반도체소자 제조 방법
KR100750047B1 (ko) 반도체 장치의 소자분리막의 형성 방법
KR100304967B1 (ko) 반도체소자의 배선 및 그의 형성방법
KR101046717B1 (ko) 반도체 소자의 자기정렬콘택 형성 방법
CN117476464A (zh) 半导体结构的形成方法
KR20010065187A (ko) 반도체 소자의 패턴 단차 완화 방법
KR20070036203A (ko) 반도체소자의 리세스게이트 제조 방법
KR20000003489A (ko) 반도체 소자의 소자분리막 형성방법
KR20000044889A (ko) 반도체 소자의 비트라인 플러그 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121121

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20131122

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20141126

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20151120

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee