KR102385122B1 - 기판 처리 장치 및 기판 처리 방법 - Google Patents

기판 처리 장치 및 기판 처리 방법 Download PDF

Info

Publication number
KR102385122B1
KR102385122B1 KR1020170155187A KR20170155187A KR102385122B1 KR 102385122 B1 KR102385122 B1 KR 102385122B1 KR 1020170155187 A KR1020170155187 A KR 1020170155187A KR 20170155187 A KR20170155187 A KR 20170155187A KR 102385122 B1 KR102385122 B1 KR 102385122B1
Authority
KR
South Korea
Prior art keywords
gas
stage
substrate
thin film
showerhead
Prior art date
Application number
KR1020170155187A
Other languages
English (en)
Other versions
KR20180063819A (ko
Inventor
히로키 아라이
유키히로 모리
유야 노나카
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20180063819A publication Critical patent/KR20180063819A/ko
Application granted granted Critical
Publication of KR102385122B1 publication Critical patent/KR102385122B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판 처리 장치는, 챔버에 제공되는 스테이지; 상기 스테이지에 대향하고, 다수의 슬릿들이 형성되는 샤워헤드; 상기 다수의 슬릿들을 통해 상기 스테이지와 상기 샤워헤드 사이의 공간에 제1 가스를 공급하는 제1 가스 공급부; 및 상기 스테이지 아래 영역에 비활성 가스가 아닌 제2 가스를 공급하는 제2 가스 공급부를 포함하며, 상기 제1 가스가 복수 종류의 가스들로 구성된 혼합 가스인 경우, 상기 제2 가스는 상기 제1 가스를 구성하는 상기 복수 종류의 가스들 중 하나와 동일한 가스이며, 그리고 상기 제1 가스가 단일 종류의 가스인 경우 상기 제2 가스는 상기 제1 가스와 동일한 가스이다.

Description

기판 처리 장치 및 기판 처리 방법 {Substrate processing apparatus and method of processing substrate}
본 발명은 반도체 웨이퍼 같은 기판을 처리하는데 사용되는 기판 처리 장치 및 상기 기판 처리 장치를 사용하여 기판을 처리하는 방법에 관한 것이다.
US 9,123,510 B2는 가스 확산 플레이트의 개구부들을 통해 처리 공간에 처리 가스가 공급되는 기판 처리 장치를 개시한다.
몇몇 경우에, 스테이지 상에 지지된 기판은 그 스테이지 위에서부터 세정 가스(cleaning gas) 또는 박막 형성 가스(film forming gas)를 공급함으로써 처리된다. 예를 들어, 이 경우, He 또는 Ar 같은 비활성 가스가 스테이지 아래 영역을 통해 흐르게 유도되어, 스테이지 위에서부터 공급되는 가스가 우회적으로 스테이지 아래로 흐르지 않게 된다. 몇몇 경우에, 스테이지 아래 영역을 통해 흐르게 유도된 가스는 "실링 가스(sealing gas)"라고 지칭된다. 스테이지 위에서부터 공급되는 가스의 양이 증가될 때, 실링 가스의 유량(rate of flow) 또한 증가시킬 필요가 있다.
실링 가스의 유량이 증가되면, 스테이지 아래 영역에서 방전이 일어난다. 실링 가스의 유량을 조정함으로써 형성막(formed film)의 프로파일이 제어되는 경우에, 스테이지 아래 영역에서의 방전 발생의 문제도 발생한다. 플라즈마 CVD를 수행하는 몇몇 경우에, 기판 처리 장치를 이용하여 박막 형성 속도를 증가시키기 위해 RF(radio frequency) 전력이 증가된다. RF 전력이 증가되면, 스테이지 아래 영역에서의 방전의 허용 범위가 작아진다. 즉, 방전이 더 쉽게 일어날 수 있다. 이러한 상황에서, 스테이지 아래 영역에서의 방전을 억제할 수 있는 기판 처리 장치 및 방법이 요구된다.
상술한 문제점을 고려하여, 본 발명의 목적은 스테이지 아래 영역에서의 방전을 억제할 수 있는 기판 처리 장치 및 방법을 제공하는 것이다.
본 발명의 특징 및 이점은 다음과 같이 요약될 수 있다.
본 발명의 일 측면에 따르면, 기판 처리 장치는, 챔버, 상기 챔버에 제공되는 스테이지, 상기 스테이지에 대향하고 다수의 슬릿들이 형성되는 샤워헤드, 상기 다수의 슬릿들을 통해 상기 스테이지와 상기 샤워헤드 사이의 공간에 제1 가스를 공급하는 제1 가스 공급부, 그리고 상기 스테이지 아래 영역에 비활성 가스가 아닌 제2 가스를 공급하는 제2 가스 공급부를 포함하며, 상기 제1 가스가 복수 종류의 가스들로 구성된 혼합 가스인 경우, 상기 제2 가스는 상기 제1 가스를 구성하는 상기 복수 종류의 가스들 중 하나와 동일한 가스이며, 그리고 상기 제1 가스가 단일 종류의 가스인 경우 상기 제2 가스는 상기 제1 가스와 동일한 가스이다.
본 발명의 다른 측면에 따르면, 기판 처리 방법은, 샤워헤드의 다수의 슬릿들을 통해 상기 샤워헤드와 스테이지 사이의 공간에 제1 가스를 공급함으로써, 상기 스테이지 아래 영역에 비활성 가스가 아닌 제2 가스를 동시에 공급함으로써, 그리고 상기 제1 가스와 상기 제2 가스가 공급되는 동안 상기 샤워헤드에 RF(radio frequency) 전력을 인가함으로써 챔버 내의 스테이지 상의 기판에 플라즈마 처리를 수행하는 처리 공정을 포함하며, 상기 제1 가스가 복수 종류의 가스들로 구성된 혼합 가스인 경우, 상기 제2 가스는 상기 제1 가스를 구성하는 상기 복수 종류의 가스들 중 하나와 동일한 가스이며, 그리고 상기 제1 가스가 단일 종류의 가스인 경우 상기 제2 가스는 상기 제1 가스와 동일한 가스이다.
본 발명의 다른 그리고 추가적인 목적들, 특징들 및 이점들이 이하의 설명에서 더 충분히 나타날 것이다.
도 1은 기판 처리 장치의 단면도이다.
도 2는 기판이 처리될 때의 가스의 흐름을 나타내는 도면이다.
도 3은 제2 가스와 방전의 발생 유무의 관계를 나타내는 표이다.
도 4는 박막의 프로파일을 나타내는 도면이다.
도 5는 제1 가스의 유량과 박막 두께의 균일성을 나타내는 그래프이다.
본 발명의 일 실시예에 따른 기판 처리 장치 및 기판 처리 방법은 도면을 참조하여 설명될 것이다. 서로 동일하거나 상응하는 구성요소들에는 동일한 참조 문자가 할당되며, 경우에 따라, 그것들에 대한 반복 설명은 생략된다.
실시예
도 1은 본 발명의 일 실시예에 따른 기판 처리 장치의 단면도이다. 상기 기판 처리 장치는 챔버(반응기 챔버)(12)를 구비한다. 상기 챔버(12)에 스테이지(16)가 제공된다. 예를 들어, 상기 스테이지(16)는 히터를 내장한 서셉터이다. 상기 스테이지(16)는 슬라이드 샤프트(slide shaft)(18)에 지지되고 접지된다. 샤워헤드(14)는 상기 스테이지(16)의 상부에 그리고 상기 스테이지(16)에 대향하여 제공된다. 상기 샤워헤드(14)에 다수의 슬릿들(14a)이 형성된다. 상기 샤워헤드(14)에는 다수의 슬릿들(14a)과 연결되는 확산 공간(14b)이 제공된다. 상기 스테이지(16) 및 상기 샤워헤드(14)는 평행 평면 구조(plane-parallel structure)를 형성한다.
배기부(24)는 상기 챔버(12)의 측면에 제공된다. 상기 배기부(24)는 박막 형성을 위해 사용되는 원료 가스(material gas)를 포함하는 가스들을 방출하기 위해 제공된다. 이에 따라, 상기 배기부(24)에 진공 펌프가 연결된다.
상기 스테이지(16)는 평면도로 볼 때 상기 스테이지(16)를 둘러싸는 형상을 갖는 배기 덕트(exhaust duct)(30)에 의해 둘러싸여 있다. 예를 들어, 상기 배기 덕트(30)는 세라믹으로 형성된다. 적절하게 압축된 O-링(32)은 상기 배기 덕트(30) 및 상기 샤워헤드(14) 사이에 제공된다. 적절하게 압축된 O-링(34)은 상기 배기 덕트(30) 및 상기 챔버(12) 사이에 제공된다. 상기 배기 덕트(30)는 두 가지 기능을 갖는다 : 전력이 인가되는 샤워헤드와 GND 전위에 있는 상기 챔버(12)를 서로 전기적으로 분리하는 제1 기능, 그리고 상기 챔버(12)에 공급되는 가스를 상기 배기부(24)로 유도하는 제2 기능.
이송관(40)은 상기 샤워헤드(14)에 연결되며, 상기 이송관(40) 및 상기 샤워헤드(14) 사이에 절연부(20)가 삽입된다. 상기 이송관(40)은 z 방향, 즉 수직 방향을 따라 연장하는 튜브이다. 상기 이송관(40)에는, 일반적으로 수직 방향으로 연장하고 상기 슬릿들(14a) 위의 확산 공간(14b)과 연결되는 통로가 제공된다.
원격 플라즈마 유닛(42)은 이송관(40)의 상단에 제공된다. 원격 플라즈마 유닛(42)에는 챔버(12) 및 다른 구성요소들을 세정하는데 사용될 세정 가스를 공급하는 가스 소스들(44, 46)이 연결된다. 상기 가스 소스들(44, 46)로부터 상기 원격 플라즈마 유닛(42)에 공급되는 가스는 원격 플라즈마 유닛(42)에 의해 플라즈마 상태로 변경되어 반응종(reactive species)을 생성한다. 이러한 반응종은 챔버(12) 및 다른 구성요소들을 세정하는데 사용된다.
가스 공급 라인(50)은 상기 이송관(40)에 대체로 수직하게 상기 이송관(40)의 측면에 연결된다. 상기 가스 공급 라인(50)에는 상기 이송관(40) 내의 공간(48)과 연결되는 통로(51)가 제공된다. 질량 유량 제어기(52)(이하, MFC라 함)는 가스 공급 라인(50)에 연결되며, 가스 소스들(54, 56)은 상기 MFC(52)에 연결된다. 가스 소스들(54, 56)은 박막 형성에 사용될 원료 가스를 공급한다. 예를 들어, 가스 소스들(54, 56)은 O2 가스 및 TEOS(tetraethylorthosilicate) 가스를 공급한다. 가스 소스들(54, 56)로부터의 가스는 MFC(52)에 의해 압력 제어되면서 상기 가스 공급 라인(50) 내의 통로(51)로 공급되며, 상기 통로(51)에서 대체로 수평으로 이동하여 상기 이송관(40) 내 공간(48)에 도달한다.
원격 플라즈마 유닛(remote plasma unit; RPU) 게이트 밸브(62)는 상기 이송관(40)의 측면에 연결된다. 상기 RPU 게이트 밸브(62)는 원격 플라즈마 유닛(42)과 챔버(12) 간의 연결을 차단함으로써 세정 가스와 원료 가스의 혼합을 방지하기 위해 제공된다.
가스 공급관(70)은 챔버(12)의 하부(12A)에 연결된다. MFC(72)는 가스 공급관(70)에 연결된다. 가스 소스(74)는 MFC(72)에 연결된다. 예를 들어, 가스 소스(74)는 O2 가스를 공급한다. 상기 가스 소스(74)로부터의 가스는 상기 MFC(72)에 의해 압력 제어되면서 상기 가스 공급관(70)을 통해 상기 스테이지(16) 아래 영역에 공급된다. 상기 챔버(12)의 하부(12A)에 다수의 가스 공급관(70)이 제공될 수 있고, 또는 하나의 가스 공급관(70)이 상기 슬라이드 샤프트(18) 바로 옆에 제공될 수 있다.
상기 다수의 슬릿들(14a)을 통해 상기 스테이지(16) 및 상기 샤워헤드(14) 사이의 공간에 공급되는 가스는 제1 가스로 지칭될 것이다. 본 실시예에서, 상기 제1 가스를 공급하는 제1 가스 공급부는 참조번호 52의 MFC이다. 상기 가스 공급관(70)을 통해 상기 스테이지(16) 아래 영역에 공급되는 가스는 제2 가스로 지칭될 것이다. 본 실시예에서, 상기 제2 가스를 공급하는 제2 가스 공급부는 참조번호 72의 MFC이다.
이하, 본 발명의 실시예에 따른 기판 처리 장치를 사용하여 기판을 처리하는 방법이 설명될 것이다. 도 2는 기판이 처리될 때의 가스의 흐름을 나타내는 도면이다. 먼저, 본 발명의 실시예에 따른 기판 처리 방법에서, 상기 스테이지(16) 상에 기판(80)이 배치된다. 예를 들어 상기 기판(80)은 Si 웨이퍼이다. 상기 기판(80)은 Si 웨이퍼 이외의 피처리체일 수 있다. 그 다음, 기판(80)에 플라즈마 처리가 수행되는 처리 공정이 실행된다. 처리 공정에서, 제1 가스는 MFC(52)로부터 슬릿들(14a)을 통해 상기 샤워헤드(14) 및 상기 스테이지(16) 사이의 공간으로 공급되며, 그와 동시에, 상기 제2 가스는 상기 MFC(72)로부터 상기 가스 공급관(70)을 통해 상기 스테이지(16) 아래 영역으로 공급된다. 이 상태에서, 샤워헤드(14)에 고주파(RF 전력)가 인가된다. 이에 따라, 기판(80) 상에 플라즈마 처리가 수행된다.
도 2에서, 제1 가스의 흐름은 화살표로 표시된다. 기판(80) 상에서의 처리에 사용되는 제1 가스는 상기 기판(80) 상에서 반경 방향으로 그리고 수평 방향으로 이동하며, 상기 배기 덕트(30)로 들어간다. 도 2의 파선 화살표는 제2 가스의 흐름을 나타낸다. 제2 가스는 상기 스테이지(16)와 상기 배기 덕트(30) 사이에서 유동함으로써 상기 스테이지(16) 아래로부터 상기 배기 덕트(30)로 들어간다. 그 후, 상기 제1 가스 및 상기 제2 가스는 상기 배기 덕트(30)를 통해 상기 챔버(12) 밖으로 배출된다.
본 발명의 실시예에서, O2 및 TEOS로 구성된 혼합 가스는 MFC(52)에서 생성되며, 제1 가스로서 상기 기판(80) 상으로 공급된다. 또한, MFC(72)에 의해 압력 제어된 O2 가스는 제2 가스로서 상기 스테이지(16) 아래 영역에 공급된다. 이에 따라, 제1 가스는 두 가지 가스로 구성된 혼합 가스이며, 상기 혼합 가스를 구성하는 가스 중 하나인 O2 가스는 제2 가스로서 사용된다. 제1 가스가 우회적으로 스테이지(16) 아래로 흐르는 것을 방지하는 관점에서, 제1 가스와 제2 가스의 비율을 10 : 1 내지 50 : 1 로 설정하는 것이 바람직하다.
제2 가스는 제1 가스가 우회적으로 스테이지(16) 아래로 흐르는 것을 억제하기 위한 실링 가스로서 기능하고 상기 스테이지(16) 아래 영역에서의 전기 방전을 억제하는 것이 요구된다.
도 3은 제2 가스와 방전의 발생 유무의 관계를 나타내는 표이다. 상술한 기판 처리 장치에서 Ar, He 및 O2 를 각각 제2 가스로서 사용한 경우의 방전성(dischargeability)의 정도가 비교되었다. 이 실험에서, 제1 가스로서 O2 및 TEOS가 각각 27.0 [slpm] 및 33 [g/min] 의 유량(rate of flow)으로 제공되었다. 샤워헤드(14)와 스테이지(16) 간의 간격은 8.4 mm로 설정되었다. 제2 가스의 유량은 0.20 [slpm]에서 7.00 [slpm]으로 변경되었다. 이 상태에서, 샤워헤드(14)에 HRF 1600 W 및 LRF 840 W를 인가하여 샤워헤드(14)와 스테이지(16) 사이에 플라즈마를 발생시켰을 때 스테이지(16) 아래 영역에서의 방전 발생 유무를 검사하였다.
Ar이 제2 가스로서 3.00 [slpm] 이상의 유량으로 흐를 때, 스테이지(16) 아래 영역에서 방전이 발생한다. He가 제2 가스로서 5.00 [slpm] 이상의 유량으로 흐를 때, 스테이지(16) 아래 영역에서 방전이 발생한다. 본 발명의 실시예에서, O2 가스는 제2 가스로서 사용되며, 이에 따라 유량을 7.00 [slpm] 까지 증가시켜도 방전이 발생하지 않는다. 따라서, 본 발명의 실시예에 따른 기판 처리 방법에서, 제1 가스가 우회적으로 스테이지(16) 아래로 흐르는 것을 방지하기 위해 제2 가스의 유량을 증가시키면서 스테이지(16) 아래 영역에서의 방전이 억제될 수 있다. 스테이지(16) 아래 영역에서의 방전을 억제하는 것은 플라즈마의 손실을 피할 수 있게 하고, 상기 스테이지(16)와 샤워헤드(14) 사이에서만 플라즈마를 생성할 수 있게 한다.
도 4는 본 발명의 실시예에 따른 기판 처리 방법에 의해 형성된 박막들의 프로파일들을 나타내는 도면이다. 박막 프로파일들은 O2 가스와 TEOS를 포함하는 제1 가스의 유량을 각각 27.0 [slpm] 및 33 [g/min]으로 고정시키는 반면 제2 가스로서 공급되는 O2 가스의 유량을 0.2 [slm]에서 6 [slm]로 변경함으로써 획득된다. 샤워헤드(14)와 스테이지(16) 간의 간격은 8.4 mm로 설정된다. HRF 1600 W 와 LRF 840 W를 인가함으로써 스테이지(16)와 샤워헤드(14) 사이에 플라즈마가 생성되었다. 제2 가스의 유량이 0.2 [slm] 내지 1.5 [slm] 정도로 낮을 때, 박막 프로파일은 오목하게 되는 경향이 있었다. 즉, 박막 두께는 환형부에 의해 둘러싸인 기판의 중심부에서보다 기판의 환형부에서 더 두꺼웠다. 제2 가스의 유량이 3 [slm] 내지 6 [slm] 정도로 컸을 때, 박막 프로파일은 볼록하게 되는 경향이 있었다. 즉, 박막 두께는 환형부에 의해 둘러싸인 기판의 중심부에서보다 기판의 환형부에서 더 얇았다. 제2 가스의 유량이 증가될 때, 기판의 중심부 바로 위의 유속(flow velocity)이 감소되고 중심부에서 박막 형성이 진행되는 것으로 생각된다.
결과적으로, 본 발명의 실시예에 따른 기판 처리 방법은 제2 가스의 유량을 변화시킴으로써 박막 프로파일을 제어하면서, 스테이지(16) 아래의 영역에서의 방전을 억제할 수 있다.
도 5는 제1 가스의 유량과 박막 두께의 균일성을 나타내는 그래프이다. 도 5에 도시된 데이터는 제2 가스로서 사용되는 O2 가스의 유량을 고정시키는 반면 제1 가스로서 사용되는 O2 가스의 유량을 변경시킴으로써 획득된다. 도 5의 세로 좌표의 "균일성"은 기판 표면의 박막 두께 균일성을 나타낸다. 다수의 측정점들에서의 박막 두께의 최대치가 "max"이고; 다수의 측정점들에서의 박막 두께의 최소치가 "min"이며; 그리고 다수의 측정점들에서의 박막 두께의 평균이 "ave"라면, 균일성은 다음과 같이 정의된다 :
((max - min)/ave) x 50
따라서, 균일성은 작은 값인 것이 바람직하다. 도 5로부터, 제1 가스의 유량이 변경되면 균일성이 변경되는 것을 알 수 있다. 따라서, 균일성은 제1 가스의 유량으로서 최적의 유량을 선택함으로써 제어될 수 있다. 도 5로부터, 제1 가스의 유량으로서 20 [slpm]을 선택함으로써 균일성의 최솟값이 얻어질 수 있음을 알 수 있다.
도 4 및 도 5로부터, 기판 상에 형성된 박막에 대한 프로파일 제어는 제1 가스 및 제2 가스의 유량을 조정함으로써 수행될 수 있음을 알 수 있다. 따라서, 처리 공정에서, 박막 두께가 기판(80)의 중심부를 둘러싸는 기판(80)의 환형부에서 보다 기판(80)의 중심부에서 더 두껍도록, 또는 박막 두께가 상기 환형부에서보다 상기 중심부에서 더 얇도록 박막이 형성될 수 있다. 물론, 제1 가스 및 제2 가스의 유량을 모두 변경함으로써 원하는 프로파일이 획득될 수 있다.
본 발명의 실시예에 따른 기판 처리 장치 및 기판 처리 방법은 다양하게 변형될 수 있다. 예를 들어, O2 가스 이외의 가스가 제2 가스로서 사용될 수 있다. 제1 가스가 여러 종류의 가스로 구성된 혼합 가스인 경우, 혼합 가스를 구성하는 가스들 중 하나와 동일한 가스가 제2 가스로서 사용된다. 제1 가스가 단일 종류의 가스인 경우, 제1 가스와 동일한 가스가 제2 가스로서 사용된다. 이러한 방식으로 제2 가스가 공정에 미치는 영향이 방지될 수 있다.
제2 가스가 분해되기 쉬운 가스라면, 스테이지(16) 아래 영역에서 방전이 발생한다고 생각된다. 따라서, 제2 가스로서 비활성 가스 이외의 가스를 선택할 필요가 있다. 예를 들어, 제2 가스로서, 높은 전기 음성도를 갖는 가스 또는 삼중 결합을 갖는 N2 가스 같은 가스를 선택함으로써 스테이지(16) 아래 영역에서의 방전이 억제될 수 있다고 생각된다. 예를 들어, 스테이지(16) 아래 영역에서의 방전은, N2 가스가 제1 가스에 포함되는 경우 제2 가스로서 N2 가스를 사용함으로써, TEOS가 제1 가스에 포함되는 경우 제2 가스로서 TEOS를 사용함으로써, 또는 실레인(silane)이 제1 가스에 포함되는 경우 제2 가스로서 실레인을 사용함으로써 억제될 수 있다. 비활성 가스와 비교하여 쉽게 분해되지 않는 다른 가스가 제2 가스로서 사용될 수 있다.
본 발명의 실시예에 따른 기판 처리 방법에 의해 형성된 1 μm 두께의 박막의 프로파일과 동일한 기판 처리 방법에 의해 형성된 5 μm 두께의 박막의 프로파일은 서로 상이하다. 박막 두께에 관계없이 원하는 박막 프로파일을 획득하기 위해, 제2 가스의 유량 및/또는 제1 가스의 유량은 처리 공정 동안 시간에 따라 변경될 수 있다. 이러한 경우, 박막 품질을 변화시키지 않으면서 시간에 따라 유량이 변경될 수 있다. 상술된 바와 같이 제1 가스 및 제2 가스의 유량을 변경시킴으로써 박막 프로파일이 제어될 수 있기 때문에, 시간에 따라 제2 가스의 유량을 변경시킴으로써 또는 시간에 따라 제1 가스의 유량을 변경시킴으로써, 제1 박막 두께를 갖는 박막 프로파일, 그리고 제1 박막 두께보다 큰 제2 박막 두께를 갖는 박막 프로파일은 서로 실질적으로 동일하도록 만들어질 수 있다.
처리 공정에서의 처리는 박막 형성에 한정되지 않는다. 처리 공정 시, 플라즈마를 사용하는 임의의 처리가 수행될 수 있다. 예를 들어, 처리 공정 시 기판 표면이 변형될 수 있다.
본 발명에 따르면, 비활성 가스에 비해 방전이 쉽게 일어날 수 없는 가스가 스테이지 아래 영역에 공급되며, 이로써 스테이지 아래 영역에서의 방전이 억제된다.
분명히 전술한 교시들을 고려하여 본 발명의 많은 변형 및 변경들이 이루어질 수 있다. 따라서, 본 발명은 이전에서 구체적으로 설명된 것이 아니라 첨부된 청구범위 내에서 실행될 수 있다는 것을 이해하여야 한다.

Claims (11)

  1. 기판 처리 장치로서,
    챔버;
    상기 챔버에 제공되는 스테이지;
    상기 스테이지에 대향하고, 다수의 슬릿들이 형성되는 샤워헤드;
    상기 다수의 슬릿들을 통해 상기 스테이지와 상기 샤워헤드 사이의 공간에 제1 가스를 공급하는 제1 가스 공급부; 및
    상기 스테이지 아래 영역에 비활성 가스가 아닌 제2 가스를 공급하는 제2 가스 공급부를 포함하며,
    상기 제1 가스는 박막 형성 가스를 포함하고, 상기 제1 가스가 복수 종류의 가스들로 구성된 혼합 가스인 경우, 상기 제2 가스는 상기 제1 가스를 구성하는 상기 복수 종류의 가스들 중 하나와 동일한 가스이며, 그리고 상기 제1 가스가 단일 종류의 가스인 경우 상기 제2 가스는 상기 제1 가스와 동일한 가스인, 기판 처리 장치.
  2. 청구항 1에 있어서,
    상기 제2 가스는 O2, N2, TEOS 또는 실레인(silane)인, 기판 처리 장치.
  3. 청구항 1에 있어서,
    상기 제1 가스는 O2 및 TEOS로 구성된 혼합 가스이며,
    상기 제2 가스는 O2인, 기판 처리 장치.
  4. 청구항 1 내지 청구항 3 중 어느 한 항에 있어서,
    평면도로 볼 때 상기 스테이지를 둘러싸는 형상을 갖는 배기 덕트(exhaust duct)를 더 포함하며,
    상기 제1 가스 및 상기 제2 가스는 상기 배기 덕트를 통해 상기 챔버 밖으로 배출되는, 기판 처리 장치.
  5. 기판 처리 방법으로서,
    샤워헤드의 다수의 슬릿들을 통해 상기 샤워헤드와 스테이지 사이의 공간에 제1 가스를 공급함으로써, 상기 스테이지 아래 영역에 비활성 가스가 아닌 제2 가스를 동시에 공급함으로써, 그리고 상기 제1 가스와 상기 제2 가스가 공급되는 동안 상기 샤워헤드에 RF(radio frequency) 전력을 인가함으로써 챔버 내의 스테이지 상의 기판에 플라즈마 처리를 수행하는 처리 공정을 포함하며,
    상기 제1 가스는 박막 형성 가스를 포함하고, 상기 제1 가스가 복수 종류의 가스들로 구성된 혼합 가스인 경우, 상기 제2 가스는 상기 제1 가스를 구성하는 상기 복수 종류의 가스들 중 하나와 동일한 가스이며, 그리고 상기 제1 가스가 단일 종류의 가스인 경우 상기 제2 가스는 상기 제1 가스와 동일한 가스인, 기판 처리 방법.
  6. 청구항 5에 있어서,
    상기 제2 가스는 O2, N2, TEOS 또는 실레인(silane)인, 기판 처리 방법.
  7. 청구항 5에 있어서,
    상기 제1 가스는 O2 및 TEOS로 구성된 혼합 가스이며,
    상기 제2 가스는 O2인, 기판 처리 방법.
  8. 청구항 5 내지 청구항 7 중 어느 한 항에 있어서,
    상기 제1 가스 및 상기 제2 가스는 평면도로 볼 때 상기 스테이지를 둘러싸는 형상을 갖는 배기 덕트를 통해 상기 챔버 밖으로 배출되며,
    상기 제1 가스와 상기 제2 가스의 비율은 10 : 1 내지 50 : 1 인, 기판 처리 방법.
  9. 청구항 5 내지 청구항 7 중 어느 한 항에 있어서,
    상기 제2 가스의 유량(rate of flow)은 상기 처리 공정 동안 시간에 따라 변경되는, 기판 처리 방법.
  10. 청구항 5 내지 청구항 7 중 어느 한 항에 있어서,
    상기 제1 가스의 유량은 상기 처리 공정 동안 시간에 따라 변경되는, 기판 처리 방법.
  11. 청구항 5 내지 청구항 7 중 어느 한 항에 있어서,
    상기 처리 공정 동안 박막 형성 단계가 수행되며,
    상기 박막 형성 단계는, 박막 두께가 상기 기판의 중심부를 둘러싸는 상기 기판의 환형부에서 보다 상기 중심부에서 더 두껍도록, 또는 박막 두께가 상기 환형부에서보다 상기 중심부에서 더 얇도록 수행되는, 기판 처리 방법.











KR1020170155187A 2016-12-02 2017-11-20 기판 처리 장치 및 기판 처리 방법 KR102385122B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/368,104 US11761084B2 (en) 2016-12-02 2016-12-02 Substrate processing apparatus and method of processing substrate
US15/368,104 2016-12-02

Publications (2)

Publication Number Publication Date
KR20180063819A KR20180063819A (ko) 2018-06-12
KR102385122B1 true KR102385122B1 (ko) 2022-04-11

Family

ID=62240864

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170155187A KR102385122B1 (ko) 2016-12-02 2017-11-20 기판 처리 장치 및 기판 처리 방법

Country Status (4)

Country Link
US (1) US11761084B2 (ko)
JP (1) JP7023665B2 (ko)
KR (1) KR102385122B1 (ko)
CN (1) CN108155113B (ko)

Families Citing this family (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) * 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102229688B1 (ko) * 2019-02-13 2021-03-18 프리시스 주식회사 밸브모듈 및 이를 포함하는 기판처리장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007020145A1 (de) * 2006-05-23 2007-11-29 Bayer Materialscience Ag Vorrichtung zum Abkühlen von Gasen (Quenche) unter Bildung eines korrosiven Kondensats
KR100885625B1 (ko) 2007-04-23 2009-02-25 주식회사 아토 플라즈마를 이용한 bpsg 증착 장치
JP5173684B2 (ja) * 2008-09-04 2013-04-03 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体
TWI474400B (zh) * 2010-11-29 2015-02-21 Univ Nat Taiwan Science Tech 疏水性二氧化矽層及有機薄膜電晶體的製造方法
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9123510B2 (en) 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process

Also Published As

Publication number Publication date
JP7023665B2 (ja) 2022-02-22
JP2018090901A (ja) 2018-06-14
US11761084B2 (en) 2023-09-19
US20180155836A1 (en) 2018-06-07
CN108155113A (zh) 2018-06-12
CN108155113B (zh) 2023-03-21
KR20180063819A (ko) 2018-06-12

Similar Documents

Publication Publication Date Title
KR102385122B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102323167B1 (ko) 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
CN107452590B (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
US8889023B2 (en) Plasma processing apparatus and plasma processing method
US8202393B2 (en) Alternate gas delivery and evacuation system for plasma processing apparatuses
KR100954257B1 (ko) 고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치
KR102374558B1 (ko) 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들
US9852901B2 (en) Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US11827980B2 (en) Isolator apparatus and methods for substrate processing chambers
US20210269918A1 (en) Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN113871280B (zh) 等离子体处理装置及其边缘气体组件
TW202105510A (zh) 電漿處理裝置
US10934620B2 (en) Integration of dual remote plasmas sources for flowable CVD
US20060112877A1 (en) Nozzle and plasma apparatus incorporating the nozzle
TWI777288B (zh) 等離子體處理設備及其氣體擋板結構、等離子體處理方法
US10358717B2 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
CN105970190B (zh) Pe-cvd设备及方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant