JP7023665B2 - 基板処理装置、基板の処理方法 - Google Patents

基板処理装置、基板の処理方法 Download PDF

Info

Publication number
JP7023665B2
JP7023665B2 JP2017199731A JP2017199731A JP7023665B2 JP 7023665 B2 JP7023665 B2 JP 7023665B2 JP 2017199731 A JP2017199731 A JP 2017199731A JP 2017199731 A JP2017199731 A JP 2017199731A JP 7023665 B2 JP7023665 B2 JP 7023665B2
Authority
JP
Japan
Prior art keywords
gas
stage
substrate
shower head
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017199731A
Other languages
English (en)
Other versions
JP2018090901A (ja
Inventor
宏貴 荒井
幸博 森
裕彌 野中
Original Assignee
エーエスエム アイピー ホールディング ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アイピー ホールディング ビー.ブイ. filed Critical エーエスエム アイピー ホールディング ビー.ブイ.
Publication of JP2018090901A publication Critical patent/JP2018090901A/ja
Application granted granted Critical
Publication of JP7023665B2 publication Critical patent/JP7023665B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、例えば半導体ウエハなどの基板の処理に用いられる基板処理装置と、その基板処理装置を用いた基板の処理方法に関する。
特許文献1には、ガス拡散板の開口を経由してプロセスガスを処理空間に供給する基板処理装置が開示されている。
米国特許第9,123,510号明細書
ステージに支持された基板に対し、ステージの上方からクリーニングガス又は成膜ガス等を供給して当該基板に処理を施すことがある。この場合、ステージの上方から供給したガスがステージの下に回りこまないように、ステージの下部エリアにHe又はAr等の不活性ガスを流すことがある。このステージの下部エリアに流すガスはシールガスと呼ばれることがある。ステージの上方から供給するガスの流量が増えると、シールガスの流量も増やさなければならない。
シールガスの流量を増やすと、ステージの下部エリアで放電が発生する。また、シールガス流量を調整して生成膜のプロファイルをコントロールする場合にも、同様に、ステージの下部エリアで放電が発生する問題が生じていた。プラズマCVDを行う場合、基板処理装置による成膜を高速化するためにRF電力を高めることがある。RF電力を高めるとステージの下部エリアでの放電マージンが狭くなる。つまり、放電しやすくなる。よって、ステージの下部エリアでの放電を抑制することができる基板処理装置と基板の処理方法が求められていた。
本発明は、上述のような課題を解決するためになされたもので、ステージの下部エリアでの放電を抑制できる基板処理装置と、基板の処理方法を提供することを目的とする。
本願の発明に係る基板処理装置は、チャンバと、該チャンバの中に設けられたステージと、複数のスリットが形成され、該ステージに対向するシャワーヘッドと、該複数のスリットを経由して該ステージと該シャワーヘッドの間に、第1ガスを供給する第1ガス供給部と、該ステージの下方に希ガスではない第2ガスを供給する第2ガス供給部と、を備え、該第1ガスは成膜に用いられるガスを含み、該第2ガスは、該第1ガスが複数種類のガスの混合ガスである場合には該混合ガスを構成するガスの1つと同じガスであり、該第1ガスが単一のガスである場合には該第1ガスと同じガスであることを特徴とする。
本願の発明に係る基板の処理方法は、シャワーヘッドの複数のスリットを介して該シャワーヘッドとチャンバの中のステージとの間に第1ガスを供給しつつ、該ステージの下方に希ガスではない第2ガスを供給した状態で、該シャワーヘッドに高周波を印加することで、該ステージの上の基板にプラズマ処理を施す処理工程を備え、該第1ガスは成膜に用いられるガスを含み、該第2ガスは、該第1ガスが複数種類のガスの混合ガスである場合には該混合ガスを構成するガスの1つと同じガスであり、該第1ガスが単一のガスである場合には該第1ガスと同じガスであることを特徴とする。
本発明によれば、ステージの下部エリアに希ガスよりも放電が起こりにくいガスを供給するので、ステージの下部エリアでの放電を抑制できる。
実施の形態に係る基板処理装置の断面図である。 基板を処理しているときのガスの流れを示す図である。 第2ガスと放電の有無の関係をまとめた表である。 膜プロファイルを示す図である。 第1ガスの流量と成膜均一性の関係を示す図である。
本発明の実施の形態に係る基板処理装置と基板の処理方法について図面を参照して説明する。同じ又は対応する構成要素には同じ符号を付し、説明の繰り返しを省略する場合がある。
実施の形態.
図1は、実施の形態に係る基板処理装置の断面図である。この基板処理装置はチャンバ(Reactor Chamber)12を備えている。チャンバ12の中にはステージ16が設けられている。ステージ16は例えばヒータが内蔵されたサセプタである。ステージ16は滑動シャフト18に支持されている。ステージ16は接地されている。このステージ16の上方にステージ16と対向するシャワーヘッド14が設けられている。シャワーヘッド14には複数のスリット14aが形成されている。シャワーヘッド14は複数のスリット14aにつながる拡散空間14bを提供している。ステージ16とシャワーヘッド14で平行平板構造が形成されている。
チャンバ12の側面にはガス排気部24が設けられている。ガス排気部24は、成膜に使用された材料ガス等を排気するために設けられている。そのため、ガス排気部24には真空ポンプが接続される。
ステージ16は、平面視でステージ16を囲む形状を有する排気ダクト30に囲まれている。排気ダクト30は例えばセラミックで形成されている。排気ダクト30とシャワーヘッド14の間には適度に圧縮されたOリング32が設けられている。排気ダクト30とチャンバ12の間には適度に圧縮されたOリング34が設けられている。排気ダクト30には2つの役割がある。第1の役割は、電力が印加されるシャワーヘッド14とGND電位のチャンバ12とを電気的に分離することである。第2の役割は、チャンバ12に供給されたガスをガス排気部24に導くことである。
シャワーヘッド14には絶縁部品20を介してトランスポートチューブ40が接続されている。トランスポートチューブ40はz方向すなわち略鉛直方向に伸びる管である。トランスポートチューブ40はスリット14aの上の拡散空間14bにつながる略鉛直方向の流路を提供するものである。
トランスポートチューブ40の上端にはリモートプラズマユニット42が設けられている。リモートプラズマユニット42には、チャンバ12等のクリーニングに用いるクリーニングガスを供給するガス源44、46が接続されている。ガス源44、46からリモートプラズマユニット42に供給されたガスは、リモートプラズマユニット42によってプラズマ状態とされることで反応種(reactive species)となる。この反応種がチャンバ12等のクリーニングに利用される。
トランスポートチューブ40の側面に、トランスポートチューブ40に対して略垂直にガス供給ライン50が接続されている。ガス供給ライン50は、トランスポートチューブ40の中の空間48につながる流路51を提供するものである。ガス供給ライン50にはマスフローコントローラ(以後MFCという)52が接続されている。そして、MFC52にはガス源54、56が接続されている。ガス源54、56は成膜に用いる材料ガスを供給するものである。ガス源54、56は例えばOガスとTEOSガスを提供する。ガス源54、56のガスがMFC52によって圧力制御されてガス供給ライン50の流路51に提供され、流路51内をほぼ水平方向に進み、トランスポートチューブ40の空間48に至る。
トランスポートチューブ40の側面にはRPU(Remote Plasma Unit)ゲートバルブ62が接続されている。RPUゲートバルブ62は、リモートプラズマユニット42とチャンバ12を遮断しクリーニングガスが材料ガスに混合することを防止するために設けられている。
チャンバ12の底部12Aにはガス供給管70が接続されている。ガス供給管70にはMFC72が接続されている。MFC72にはガス源74が接続されている。ガス源74は例えばOガスを提供する。ガス源74のガスは、MFC72によって圧力制御されてガス供給管70を経由し、ステージ16の下部エリアに供給される。なお、ガス供給管70は、チャンバ12の底部12Aに複数設けてもよいし、滑動シャフト18のすぐ横に設けてもよい。
複数のスリット14aを経由してステージ16とシャワーヘッド14の間に供給されるガスを第1ガスという。第1ガスを供給する第1ガス供給部は、本実施の形態においてはMFC52である。他方、ガス供給管70を経由してステージ16の下方に供給されるガスを第2ガスという。第2ガスを供給する第2ガス供給部は、本実施の形態においてはMFC72である。
次に、本発明の実施の形態に係る基板処理装置を用いた基板の処理方法を説明する。図2は、基板を処理しているときのガスの流れを示す図である。本発明の実施の形態に係る基板の処理方法では、まず、ステージ16に基板80をのせる。基板80は例えばSiウエハであるが、他の被処理物でもよい。次いで、基板80にプラズマ処理を施す処理工程を実施する。処理工程では、MFC52からスリット14aを介してシャワーヘッド14とステージ16との間に第1ガスを供給しつつ、MFC72からガス供給管70を経由してステージ16の下方に第2ガスを供給した状態で、シャワーヘッド14に高周波を印加する。これにより、基板80にプラズマ処理を施す。
図2には矢印で第1ガスの流れが示されている。基板80の処理に利用された第1ガスは基板80の上を放射状に水平方向に進み排気ダクト30の中に入る。図2の破線矢印は第2ガスの流れを示す。第2ガスはステージ16下方からステージ16と排気ダクト30の間をとおって排気ダクト30の中に入る。こうして、第1ガスと第2ガスは排気ダクト30をとおってガス排気部24からチャンバ12の外へ排気される。
本発明の実施の形態では、MFC52でOとTEOSの混合ガスが生成され、その混合ガスが第1ガスとして、基板80の上に供給される。また、MFC72で圧力制御されたOガスが第2ガスとしてステージ16の下方に供給される。よって、第1ガスは2種類のガスの混合ガスであり、その混合ガスを構成するガスの1つであるOガスを、第2ガスとして採用した。第1ガスがステージ16の下方に回りこまないようにするためには、第1ガスと第2ガスの割合は10:1~50:1とすることが好ましい。
第2ガスには、第1ガスがステージ16の下に回りこむことを抑制するシールガスとして機能することと、ステージ16の下部エリアでの放電を抑制することが求められる。
図3は、第2ガスと放電の有無の関係をまとめた表である。上記の基板処理装置において、第2ガスとしてAr、He、Oを採用した場合の放電のしやすさを比較した。ここでは、第1ガスとして、OガスとTEOSをそれぞれ27.0[slpm]と33[g/min]の流量で提供した。シャワーヘッド14とステージ16のギャップは8.4mmとした。第2ガスの流量を0.20~7.00[slpm]まで変化させた。この条件下で、ステージ16の下の領域における放電有無の検査を、シャワーヘッド14にHRF1600WとLRF840Wを印可することでステージ16とシャワーヘッド14の間にプラズマを生成した状態で行った。
第2ガスとして、3.00[slpm]以上の流量のArを流すとステージ16の下部エリアにおいて放電が発生してしまう。また、第2ガスとして5.00[slpm]以上の流量のHeを流すとステージ16の下部エリアにおいて放電が発生してしまう。これに対し、本発明の実施の形態では第2ガスとしてOガスを使用したので、7.00[slpm]まで流量を高めても放電は生じない。よって、本発明の実施の形態に係る基板の処理方法では、第2ガスの流量を高めて第1ガスがステージ16の下に回りこまないようにしつつ、ステージ16の下部エリアでの放電を抑制できる。ステージ16の下部エリアでの放電を抑制することで、プラズマのロスを回避し、ステージ16とシャワーヘッド14の間でのみプラズマを生成できる。
図4は、本発明の実施の形態に係る基板の処理方法で形成した膜の膜プロファイルを示す図である。この膜プロファイルは、第1ガスに含まれるOガスとTEOSの流量をそれぞれ27.0[slpm]と33[g/min]で固定としつつ、第2ガスとして供給するOガスの流量を0.2~6[slm]まで変化させて得たものである。HRF1600WとLRF840Wを印加することでステージ16とシャワーヘッド14の間にプラズマを生成した。第2ガスの流量が0.2~1.5[slm]程度と少ない場合には、膜プロファイルは凹傾向となった。つまり基板の中央部よりも、当該中央部を囲む環状部で膜厚が大きくなる。他方、第2ガスの流量が3~6[slm]程度と多い場合には膜プロファイルは凸傾向となった。つまり基板の中央部よりも、当該中央部を囲む環状部で膜厚が小さくなる。第2ガスの流量を増やすと、基板の中央部直上における流速が落ちて、当該中央部における成膜が進むものと考えられる。
したがって、本発明の実施の形態にかかる基板の処理方法によれば、ステージ16の下部エリアでの放電を抑制しつつ、第2ガス流量を増やしたり減らしたりすることで膜プロファイルをコントロールできる。
図5は、第1ガスの流量と成膜均一性の関係を示す図である。図5のデータは、第2ガスとして使用したOガスの流量を固定して、第1ガスとして使用したOガスの流量を変化させて得た。図5の縦軸のUniformityは基板面内の成膜均一性を表す。Uniformityは、複数の測定ポイントのうち最大の膜厚をmaxとし、複数の測定ポイントのうち最小の膜厚をminとし、複数の測定ポイントの膜厚の平均値をaveとしたときに、
((max-min)/ave)×50
で定義される。したがってuniformityは小さい値となることが好ましい。図5から、第1ガスの流量を変化させることで、uniformityが変化することが分かる。したがって、第1ガスの流量として最適な流量を選択することでuniformityをコントロールできる。図5からは、第1ガスの流量として20[slpm]を選択することで最小のuniformityを得られることが分かる。
図4、5から、第1ガスと第2ガスの流量を調整することにより基板に成膜される膜のプロファイルコントロールが可能であることが分かった。したがって、処理工程では、基板80の中央部で中央部を囲む環状部よりも膜厚が大きくなる成膜又は、中央部で環状部よりも膜厚が小さくなる成膜を施すことが可能である。なお、当然ながら、第1ガスと第2ガスの流量を両方変化させることで、所望の膜プロファイルを得ることもできる。
本発明の実施の形態に係る基板処理装置と基板の処理方法は、様々な変形をなしうるものである。例えば、第2ガスとしてOガス以外のガスを使用してもよい。第2ガスは、第1ガスが複数種類のガスの混合ガスである場合には混合ガスを構成するガスの1つと同じガスとし、第1ガスが単一のガスである場合には第1ガスと同じガスとする。そうすることで、第2ガスがプロセスに影響を与えることを回避できる。
第2ガスが分解されやすいガスである場合、ステージ16の下部エリアでの放電が起こると考えられる。そのため、第2ガスは希ガス以外のガスとする必要がある。例えば、電気陰性度の高いガスを第2ガスとして選択したり、Nガスなどの3重結合を有するガスを選択したりすることで、ステージ16の下部エリアでの放電を抑制できると考えられる。例えば、第1ガスにNガスが含まれる場合は第2ガスとしてNガスを利用し、第1ガスにTEOSが含まれる場合は第2ガスとしてTEOSを利用し、第1ガスにシランが含まれる場合は第2ガスとしてシランを利用することでステージ16の下部エリアでの放電を抑制できる。その他の、希ガスと比べて分解されにくいガスを第2ガスとして用いてもよい。
本発明の実施の形態に係る基板の処理方法で膜厚が1μmの膜を形成する場合と、膜厚が5μmの膜を形成する場合とでは、膜プロファイルが異なる。膜厚にかかわらず所望の膜プロファイルを得るために、処理工程で、第2ガスの流量を経時変化させたり、第1ガスの流量を経時変化させたりしてもよい。このような流量の経時変化による膜質の変化はない。上述のとおり第1ガスと第2ガスの流量を変えることで膜プロファイルをコントロールできるので、処理工程で第2ガスの流量を経時変化させたり、第1ガスの流量を経時変化させたりすることで、第1膜厚の膜プロファイルと、第1膜厚より厚い第2膜厚の膜プロファイルを略一致させることができる。
処理工程における処理は成膜に限定されない。処理工程ではプラズマを用いたあらゆる処理を施すことができる。例えば、処理工程において基板表面を改質してもよい。
12 チャンバ、 14 シャワーヘッド、 16 ステージ、 52,72 MFC

Claims (11)

  1. チャンバと、
    前記チャンバの中に設けられたステージと、
    複数のスリットが形成され、前記ステージに対向するシャワーヘッドと、
    前記複数のスリットを経由して前記ステージと前記シャワーヘッドの間に、第1ガスを供給する第1ガス供給部と、
    前記ステージの下方に希ガスではない第2ガスを供給する第2ガス供給部と、を備え、
    前記第1ガスは成膜に用いられるガスを含み、
    前記第2ガスは、前記第1ガスが複数種類のガスの混合ガスである場合には前記混合ガスを構成するガスの1つと同じガスであり、前記第1ガスが単一のガスである場合には前記第1ガスと同じガスであることを特徴とする基板処理装置。
  2. 前記第2ガスはO2、N2、TEOS又はシランであることを特徴とする請求項1に記載の基板処理装置。
  3. 前記第1ガスはO2とTEOSの混合ガスであり、前記第2ガスはO2であることを特徴とする請求項1に記載の基板処理装置。
  4. 平面視で前記ステージを囲む形状を有し、前記第1ガスと前記第2ガスを前記チャンバの外へ排気する排気ダクトを備えたことを特徴とする請求項1~3のいずれか1項に記載の基板処理装置。
  5. シャワーヘッドの複数のスリットを介して前記シャワーヘッドとチャンバの中のステージとの間に第1ガスを供給しつつ、前記ステージの下方に希ガスではない第2ガスを供給した状態で、前記シャワーヘッドに高周波を印加することで、前記ステージの上の基板にプラズマ処理を施す処理工程を備え、
    前記第1ガスは成膜に用いられるガスを含み、
    前記第2ガスは、前記第1ガスが複数種類のガスの混合ガスである場合には前記混合ガスを構成するガスの1つと同じガスであり、前記第1ガスが単一のガスである場合には前記第1ガスと同じガスであることを特徴とする基板の処理方法。
  6. 前記第2ガスはO2、N2、TEOS又はシランであることを特徴とする請求項5に記載の基板の処理方法。
  7. 前記第1ガスはO2とTEOSの混合ガスであり、前記第2ガスはO2であることを特徴とする請求項5に記載の基板の処理方法。
  8. 前記第1ガスと前記第2ガスは、平面視で前記ステージを囲む形状を有する排気ダクトをとおって前記チャンバの外へ排気され、
    前記第1ガスと前記第2ガスの割合は10:1~50:1であることを特徴とする請求項5~7のいずれか1項に記載の基板の処理方法。
  9. 前記処理工程では前記第2ガスの流量を経時変化させることを特徴とする請求項5~8のいずれか1項に記載の基板の処理方法。
  10. 前記処理工程では前記第1ガスの流量を経時変化させることを特徴とする請求項5~8のいずれか1項に記載の基板の処理方法。
  11. 前記処理工程では、前記基板の中央部で前記中央部を囲む環状部よりも膜厚が大きくなる成膜又は、前記中央部で前記環状部よりも膜厚が小さくなる成膜を施すことを特徴とする請求項5~10のいずれか1項に記載の基板の処理方法。
JP2017199731A 2016-12-02 2017-10-13 基板処理装置、基板の処理方法 Active JP7023665B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/368,104 US11761084B2 (en) 2016-12-02 2016-12-02 Substrate processing apparatus and method of processing substrate
US15/368,104 2016-12-02

Publications (2)

Publication Number Publication Date
JP2018090901A JP2018090901A (ja) 2018-06-14
JP7023665B2 true JP7023665B2 (ja) 2022-02-22

Family

ID=62240864

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017199731A Active JP7023665B2 (ja) 2016-12-02 2017-10-13 基板処理装置、基板の処理方法

Country Status (4)

Country Link
US (1) US11761084B2 (ja)
JP (1) JP7023665B2 (ja)
KR (1) KR102385122B1 (ja)
CN (1) CN108155113B (ja)

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102229688B1 (ko) * 2019-02-13 2021-03-18 프리시스 주식회사 밸브모듈 및 이를 포함하는 기판처리장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009537790A (ja) 2006-05-23 2009-10-29 バイエル・マテリアルサイエンス・アクチェンゲゼルシャフト 腐食性の凝縮生成物の生成を伴うガス冷却のためのデバイス
US8178447B1 (en) 2010-11-29 2012-05-15 National Taiwan University Of Science And Technology Methods of forming hydrophobic silicon dioxide layer and forming organic thin film transistor
US20120328780A1 (en) 2011-06-27 2012-12-27 Asm Japan K.K. Dual Section Module Having Shared and Unshared Mass Flow Controllers
JP2015002349A (ja) 2013-06-12 2015-01-05 エーエスエム アイピー ホールディング ビー.ブイ. プラズマアシストプロセスにより処理された基板の面内均一性を制御する方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100885625B1 (ko) 2007-04-23 2009-02-25 주식회사 아토 플라즈마를 이용한 bpsg 증착 장치
JP5173684B2 (ja) * 2008-09-04 2013-04-03 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009537790A (ja) 2006-05-23 2009-10-29 バイエル・マテリアルサイエンス・アクチェンゲゼルシャフト 腐食性の凝縮生成物の生成を伴うガス冷却のためのデバイス
US8178447B1 (en) 2010-11-29 2012-05-15 National Taiwan University Of Science And Technology Methods of forming hydrophobic silicon dioxide layer and forming organic thin film transistor
US20120328780A1 (en) 2011-06-27 2012-12-27 Asm Japan K.K. Dual Section Module Having Shared and Unshared Mass Flow Controllers
JP2015002349A (ja) 2013-06-12 2015-01-05 エーエスエム アイピー ホールディング ビー.ブイ. プラズマアシストプロセスにより処理された基板の面内均一性を制御する方法

Also Published As

Publication number Publication date
US11761084B2 (en) 2023-09-19
US20180155836A1 (en) 2018-06-07
KR102385122B1 (ko) 2022-04-11
CN108155113A (zh) 2018-06-12
CN108155113B (zh) 2023-03-21
JP2018090901A (ja) 2018-06-14
KR20180063819A (ko) 2018-06-12

Similar Documents

Publication Publication Date Title
JP7023665B2 (ja) 基板処理装置、基板の処理方法
JP5274229B2 (ja) プラズマcvd装置及びその方法
TWI702308B (zh) 以間歇性再生電漿並利用原子層沉積矽氧化物表面塗層使自由基再結合最小化
KR102598660B1 (ko) 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들
JP7171165B2 (ja) 膜プロフィール調整のためのシャワーヘッドカーテンガス方法及びシャワーヘッドガスカーテンシステム
US9523150B2 (en) Substrate processing apparatus, method for manufacturing semiconductor device and computer-readable recording medium
US9508546B2 (en) Method of manufacturing semiconductor device
JP6796431B2 (ja) 成膜装置、およびそれに用いるガス吐出部材
US8080477B2 (en) Film formation apparatus and method for using same
JP6763274B2 (ja) 成膜装置、成膜装置のクリーニング方法及び記憶媒体
TWI789573B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
KR20150075362A (ko) 콘택트층의 형성 방법
KR20230120676A (ko) 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부응력 조절
US11473194B2 (en) Cleaning method of deposition apparatus
JP7027565B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US20190194803A1 (en) Susceptor cleaning method
US11859286B2 (en) Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
JP2006319042A (ja) プラズマクリーニング方法、成膜方法
JP6680190B2 (ja) 成膜装置
WO2023008295A1 (ja) Iii族窒化物半導体の製造方法
TWI695084B (zh) 電漿增強化學氣相沉積裝置及方法
US20130251896A1 (en) Method of protecting component of film forming apparatus and film forming method
WO2020053996A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
WO2019181438A1 (ja) 成膜装置およびそれに用いる載置台

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200603

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20210216

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20210217

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210608

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210823

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220118

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220209

R150 Certificate of patent or registration of utility model

Ref document number: 7023665

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150