KR102051026B1 - Led 제조를 위한 pvd 버퍼 층들 - Google Patents

Led 제조를 위한 pvd 버퍼 층들 Download PDF

Info

Publication number
KR102051026B1
KR102051026B1 KR1020147033306A KR20147033306A KR102051026B1 KR 102051026 B1 KR102051026 B1 KR 102051026B1 KR 1020147033306 A KR1020147033306 A KR 1020147033306A KR 20147033306 A KR20147033306 A KR 20147033306A KR 102051026 B1 KR102051026 B1 KR 102051026B1
Authority
KR
South Korea
Prior art keywords
substrate
layer
chamber
buffer layer
pvd
Prior art date
Application number
KR1020147033306A
Other languages
English (en)
Other versions
KR20150014470A (ko
Inventor
밍웨이 추
롱준 왕
나그 비. 파티반드라
시안민 탕
비베크 아그라왈
청-시웅 매튜 츠사이
무함마드 라쉬드
디네쉬 세이갈
프라부람 고팔 라자
옴카람 나라마수
아난타 수브라마니
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150014470A publication Critical patent/KR20150014470A/ko
Application granted granted Critical
Publication of KR102051026B1 publication Critical patent/KR102051026B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/12Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a stress relaxation structure, e.g. buffer layer
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/04Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a quantum effect structure or superlattice, e.g. tunnel junction
    • H01L33/06Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a quantum effect structure or superlattice, e.g. tunnel junction within the light emitting region, e.g. quantum confinement structure or tunnel barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/26Materials of the light emitting region
    • H01L33/30Materials of the light emitting region containing only elements of Group III and Group V of the Periodic Table
    • H01L33/32Materials of the light emitting region containing only elements of Group III and Group V of the Periodic Table containing nitrogen

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Led Devices (AREA)

Abstract

물리 기상 증착(PVD)으로 형성된 알루미늄 질화물 버퍼 층들을 갖는 갈륨 질화물-기반 발광 디바이스들의 제조가 설명된다. PVD AlN 버퍼 층을 위한 프로세스 조건들이 또한 설명된다. PVD 알루미늄 질화물 버퍼 층을 위한 기판 선처리들이 또한 설명된다. 예를 들어, 기판 위에 버퍼 층을 제조하는 방법은 기판의 표면을 선처리하는(pre-treating) 단계를 포함한다. 방법은 또한, 후속적으로, 질소-기반 가스 또는 플라즈마를 이용하여, 물리 기상 증착(PVD) 챔버 내에 하우징된 알루미늄-함유 타겟으로부터 기판의 표면 상에 알루미늄 질화물(AlN) 층을 반응성 스퍼터링하는(reactive sputtering) 단계를 포함한다.

Description

LED 제조를 위한 PVD 버퍼 층들{PVD BUFFER LAYERS FOR LED FABRICATION}
관련 출원들에 대한 상호-참조
본 출원은, 2012년 4월 26일자로 출원된 미국 가출원 번호 제61/638,893호를 우선권으로 주장하며, 이에 의해 그 전체 내용들은 인용에 의해 본원에 포함된다.
본 발명의 실시예들은 Ⅲ족-질화물 재료들의 분야에 관한 것으로, 특히, 물리 기상 증착(PVD)으로 형성된 알루미늄 질화물 버퍼 층들을 갖는 갈륨 질화물-기반 발광 다이오드(LED)들의 제조에 관한 것이다.
Ⅲ-Ⅴ족 재료들은 반도체 및 관련된, 예를 들면, 발광 다이오드(LED) 산업들에서 끊임없이 증가하는 역할을 하고 있다. 종종, Ⅲ-Ⅴ족 재료들은, 결함들 또는 균열(crack)들을 형성하지 않으면서 이종 기판들(foreign substrate) 상에 성장 또는 증착(헤테로에피택시(heteroepitaxy)로 알려짐)하기가 어렵다. 예를 들면, 선택 필름들, 예를 들면, 갈륨 질화물 필름의 고품질의 표면을 유지(preservation)하는 것은, 순차적으로 제조되는 재료 층들의 스택들을 이용하는 많은 애플리케이션들에서 간단하지가 않다. 기판과 디바이스 층 사이에 하나 또는 그 초과의 버퍼 층들을 포함시키는 것이 하나의 접근법이었다. 그러나, Ⅲ-Ⅴ족 재료들은 종종 프로세스 조건들에 민감하고, 제조 프로세스의 특정 기간들에서 이러한 조건들을 회피하도록 주의해야 한다. 그러나, 잠재적인 손상 조건들과 민감한 Ⅲ-Ⅴ족 필름의 상호작용을 회피하는 것 또한 많은 애플리케이션들에서 간단하지가 않다.
본 발명의 실시예들은 물리 기상 증착(PVD)으로 형성된 알루미늄 질화물 버퍼 층들을 갖는 갈륨 질화물-기반 발광 다이오드(LED)들의 제조에 관한 것이다.
일 실시예에서, 기판 위에 버퍼 층을 제조하는 방법은 기판의 표면을 선처리하는(pre-treating) 단계를 포함한다. 방법은 또한, 후속적으로, 질소-기반 가스 또는 플라즈마를 이용하여, 물리 기상 증착(PVD) 챔버 내에 하우징된 알루미늄-함유 타겟으로부터 기판의 표면 상에 알루미늄 질화물(AlN) 층을 반응성 스퍼터링(reactive sputtering)하는 단계를 포함한다.
일 실시예에서, 반도체 장치는 기판 및 상기 기판 위에 배치된 알루미늄 질화물(AlN) 버퍼 층을 포함하며, 상기 AlN 버퍼 층은, 대략 200 arcsec 미만의 (002) 피크의 FWHM을 갖는 (002) 방향의 결정 배향, 및 대략 1 나노미터 RMS(root mean square) 미만의 거칠기(roughness)를 갖는 원자적으로 평탄한 표면(atomically smooth surface)을 갖는다.
일 실시예에서, 기판 위에 버퍼 층을 제조하는 방법은 기판의 표면 상에 프리-씨딩 층(pre-seeding layer)을 형성하는 단계를 포함한다. 방법은 또한, 질소-기반 가스 또는 플라즈마를 이용하여, 물리 기상 증착(PVD) 챔버 내에 하우징된 알루미늄-함유 타겟으로부터 프리-씨딩 층 상에 알루미늄 질화물(AlN) 층을 반응성 스퍼터링하는 단계를 포함한다.
도 1은 본 발명의 하나 또는 그 초과의 실시예들에 따른, 벤치마크(benchmark) 클러스터 툴 개략도, 벤치마크 LED 구조, 및 벤치마크 시간-대-증착 플롯(plot)을 도시한다.
도 2a는 본 발명의 일 실시예에 따른, 클러스터 툴 개략도, 및 LED 구조 제조를 위한 대응하는 온도 대 시간 플롯을 도시한다.
도 2b는 본 발명의 일 실시예에 따른, 발광 다이오드(LED) 구조, 및 대응하는 시간-대-증착 플롯을 도시한다.
도 3a-3c는 본 발명의 일 실시예에 따른, PVD 챔버를 위한 프로세스 키트의 단면도들을 도시한다.
도 3d는 본 발명의 일 실시예에 따른, PVD 챔버를 위한 전력 전달 소스의 단면도를 도시한다.
도 4는 본 발명의 일 실시예에 따른, Ⅲ족-질화물 재료들의 제조에 적합한 MOCVD 챔버의 개략적 단면도이다.
도 5는 본 발명의 일 실시예에 따른, Ⅲ족-질화물 재료들의 제조에 적합한 HVPE 챔버의 개략적 단면도이다.
물리 기상 증착(PVD)으로 형성된 알루미늄 질화물 버퍼 층을 갖는 갈륨 질화물-기반 발광 다이오드(LED)들의 제조에 대해 설명한다. 하기의 설명에서, 본 발명의 실시예들의 완전한 이해를 제공하기 위해, 프로세스 챔버 구성들 및 재료 레짐(material regime)들과 같은 많은 특정한 세부사항들이 설명된다. 본 발명의 실시예들이 이러한 특정한 세부사항들이 없이도 실시될 수 있음이 당업자에게 명백할 것이다. 다른 경우들에서, 특정 다이오드 구성들과 같은 잘-알려진 피쳐들은 본 발명의 실시예들을 불필요하게 모호하게 하지 않도록 하기 위해 상세하게 설명되지 않는다. 게다가, 도면들에 도시된 다양한 실시예들은 예시적인 표현들이며 그리고 반드시 실척대로 그려진 것은 아님을 이해해야 한다. 또한, 다른 배열들 및 구성들이 본원에서의 실시예들에서 명시적으로 개시되지 않을 수도 있지만, 여전히 본 발명의 사상 및 범위 내에 있는 것으로 고려된다.
LED 제조 방법은, 도핑되지 않은 및/또는 도핑된 갈륨 질화물의 디바이스 층과 기판 사이에 갈륨 질화물의 버퍼 층을 형성하는 것을 포함할 수 있다. 본원에서 설명되는 실시예들에서는, 도핑되지 않은 및 도핑된 갈륨 질화물의 디바이스 층과 기판 사이에, 이러한 갈륨 질화물 버퍼 층 대신에, 알루미늄 질화물 버퍼 층이 이용된다. 알루미늄 질화물 층은 PVD 프로세스로 스퍼터 증착에 의해 형성될 수 있다. 이는, 전형적으로 유기 금속 화학 기상 증착(MOCVD) 챔버 또는 수소화물 기상 에피택시(hydride vapor phase epitaxy, HPVE) 챔버에서 수행되는 Ⅲ족-질화물 버퍼 층들의 제조와 대조적이다. 알루미늄 질화물 층은, PVD 챔버에 하우징된 알루미늄 질화물 타겟으로부터의 비-반응성(non-reactive) 스퍼터링에 의해 형성될 수 있거나, 또는 대안적으로는, PVD 챔버에 하우징되고 질소-기반 가스 또는 플라즈마와 반응하는 알루미늄 타겟으로부터의 반응성 스퍼터링에 의해 형성될 수 있다.
하나 또는 그 초과의 실시예들에 따르면, GaN-기반의 디바이스들에 대한 PVD AlN 버퍼 층들을 위한 프로세스 조건들이 본원에서 설명된다. 하나 또는 그 초과의 동일한 또는 상이한 실시예들에 따르면, 갈륨 질화물 기반의 디바이스들에 대한 PVD 알루미늄 질화물 버퍼 층들을 위한 기판 선처리들이 또한 본원에서 설명된다.
본원에서 설명되는 실시예들 중 하나 또는 그 초과의 실시예들은 LED 제조를 위해 사용되는 멀티-챔버 제조 툴에서의 더 높은 처리량(throughput)을 가능하게 할 수 있다. 또한, 갈륨 질화물 버퍼 층 대신, PVD-형성된 알루미늄 질화물 층을 포함시킴으로써, 도핑되지 않은 및 도핑된 갈륨 질화물의 디바이스 층이 전반적으로 얇아질 수 있다. 특정 예에서, 도핑되지 않은 부분은 얇게 되거나 완전히 제거될 수 있다. 게다가, 사파이어 기판과 같은 수용 기판(receiving substrate)의 예비 스퍼터 세정이, 알루미늄 질화물 층을 증착하기 위해 사용된 것과 동일한 PVD 증착 챔버에서 수행될 수 있다. 또한, PVD 알루미늄 질화물 층이 300℃ 미만의 온도들에서 형성될 수 있기 때문에, LED 제조의 전반적인 열 버짓(thermal budget)이 감소될 수 있다. 그에 반하여, 전형적인 갈륨 질화물 버퍼 층은 500-600℃ 에서 형성된다. 본원에서 설명되는 실시예들 중 하나 또는 그 초과의 실시예들은, 도핑되지 않은 및/또는 n-형 도핑된 갈륨 질화물과 같은 재료들에 대하여 더 빠른 증착 속도들(deposition rates), 예를 들면, 2배의 성장률을 가능하게 할 수 있다. 일부 실시예들에서는, 도핑되지 않은 및/또는 n-형 도핑된 갈륨 질화물 층들은, 도핑되지 않은 및/또는 n-형 도핑된 갈륨 질화물 층들을 상부에 성장시키기 위한 더 잘 정렬된 결정 배향 및 형태적(morphological) 관계를 제공할 수 있는 알루미늄 질화물(AlN) 버퍼 층 상에 형성되기 때문에, 더 빠른 속도들을 달성할 수 있다. 본원에서 설명되는 실시예들 중 하나 또는 그 초과의 실시예들은, 설명되는 동작들 중에서 다수의 동작들이 클러스터 툴에서 인-시츄(in-situ)로 수행되기 때문에, 산화물 제거 동작들의 제거를 가능하게 할 수 있다. 본원에서 설명되는 실시예들 중 하나 또는 그 초과의 실시예들은, PVD-형성된 알루미늄 질화물 버퍼 층 상에 갈륨 질화물을 형성함으로써 갈륨 질화물 결정 품질의 개선을 가능하게 할 수 있다.
본 발명의 실시예들은 현재 설명되고 있는 시스템들 및 방법론들의 연구(studies) 동안 개발된 벤치마크 시스템 또는 방법론에 대한 개선들을 제공할 수 있다. 예를 들면, 도 1은 본 발명의 하나 또는 그 초과의 실시예들에 따른, 벤치마크 클러스터 툴 개략도, 벤치마크 LED 구조, 및 벤치마크 시간-대-증착 플롯을 도시한다.
도 1을 참조하면, 벤치마크 클러스터 툴(100)은 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)(MOCVD1: u-GaN/n-GaN), 다중 양자 우물(MQW) MOCVD 반응 챔버(104)(MOCVD2: MQW), 및 p-형 갈륨 질화물 MOCVD 반응 챔버(106)(MOCVD3: p-GaN)를 포함한다. 벤치마크 클러스터 툴(100)은 또한 로드락(load lock)(108), 캐리어 카세트(110), 및 고용적(high volume) 애플리케이션들을 위한 선택적인 추가의 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(112)를 포함할 수 있고, 이들 모두는 도 1에 도시되어 있다.
벤치마크 LED 구조(120)는 다양한 재료 층들의 스택을 포함하며, 이들 중 많은 재료 층들은 Ⅲ-Ⅴ족 재료들을 포함한다. 예를 들면, 벤치마크 LED 구조(120)는 실리콘 또는 사파이어 기판(122)(기판: 사파이어, Si), 20 나노미터 두께의 버퍼 층(124)(LT 버퍼), 및 대략 4 미크론 두께의 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)(u-GaN/n-GaN)을 포함한다. 버퍼 층(124)은 비교적 낮은 프로세싱 온도들에서 형성되는 갈륨 질화물 층일 수 있다. 버퍼 층(124) 및 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)은 벤치마크 클러스터 툴(100)의 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서 형성된다. 벤치마크 LED 구조(120)는 또한, 30-500 나노미터 범위의 두께를 갖는 MQW 구조(128)를 포함한다. MQW 구조(128)는 벤치마크 클러스터 툴(100)의 MQW MOCVD 반응 챔버(104)에서 형성된다. 벤치마크 LED 구조(120)는 또한, 대략 20 나노미터 두께의 p-형 갈륨 알루미늄 질화물 층(130)(p-AlGaN) 및 50-200 나노미터 범위의 두께를 갖는 p-형 갈륨 질화물 층(132)(p-GaN)을 포함한다. p-형 갈륨 알루미늄 질화물 층(130) 및 p-형 갈륨 질화물 층(132)은 벤치마크 클러스터 툴(100)의 p-형 갈륨 질화물 MOCVD 반응 챔버(106)에서 형성된다.
벤치마크 시간-대-증착 플롯(140)은 벤치마크 클러스터 툴(100)에서의 챔버 사용을 나타낸다. MQW MOCVD 반응 챔버(104)에서의 MQW 구조(128)의 형성은 대략 2 시간의 성장 시간을 갖는다. 그리고, p-형 갈륨 질화물 MOCVD 반응 챔버(106)에서의 p-형 갈륨 알루미늄 질화물 층(130) 및 p-형 갈륨 질화물 층(132)의 형성은 대략 1 시간의 성장 시간을 갖는다. 한편, 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서의 버퍼 층(124) 및 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)의 형성은 대략 3.5 시간의 성장 시간을 갖는다. 챔버(102)의 챔버 세정을 위해, 추가의 대략 1 시간이 요구될 수 있다. 따라서, 전반적으로, 벤치마크 클러스터 툴(100)에서 벤치마크 LED 구조(120)를 제조하기 위한 사이클 시간(cycle time)은 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)의 사이클 시간에 의해 좌우되는데(dictated), 이는 대략 4.5 시간이다. 세정 시간은 정지(shut down)를 위한 시간, 더하기(plus) 세정 시간, 더하기 복구 시간을 포함할 수 있지만, 반드시 그럴 필요는 없다는 것을 이해해야 한다. 또한, 세정이 매 챔버 사용 사이에서 수행되지 않을 수도 있기 때문에, 상기 말한 것(above)은 평균을 나타낼 수 있다는 것을 이해해야 한다.
도 1과 관련하여 설명된 바와 같이, 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서의 버퍼 층(124) 및 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)의 형성에 특정한 LED 재료 증착을 위한 벤치마크 타이밍 시퀀스가 하기에서 제공된다. 예를 들면, 대략 3.5 시간의 성장 시간은, 10 분의 사파이어 기판의 고온 처리, 5 분의 버퍼 층의 저온 형성, 10 분의 버퍼 어닐링 동작, 30 분의 성장 복구 동작, 2 시간의 도핑되지 않은/n-형 갈륨 질화물 조합 층 형성 동작, 및 30 분의 온도 램핑(ramp) 및 안정화 동작(예를 들면, 온도 램핑 2-3℃/초)으로 나누어진다.
도 1과 관련하여 설명된 벤치마크 시스템들 및 방법론들과 관련하여, 벤치마크 접근법은 LED의 각각의 기능 층에 대한 불균형한 시간 흐름을 초래할 수 있다. 예를 들면, 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서의 버퍼 층(124) 및 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)의 형성은 3.5 시간이고, MQW MOCVD 반응 챔버(104)에서의 MQW 구조(128)의 형성은 2 시간이며, p-형 갈륨 질화물 MOCVD 반응 챔버(106)에서의 p-형 갈륨 알루미늄 질화물 층(130) 및 p-형 갈륨 질화물 층(132)의 형성은 1 시간이다. 게다가, 위에서 언급된 바와 같이, 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서의 런(run)들 사이에서, 챔버 세정의 추가의 대략 1시간(가능하게는 펌프-다운(pump-down) 시간들을 포함함)이 요구될 수 있다. 이러한 추가의 챔버 세정은 기판 오염을 막기 위해 요구될 수 있다. 따라서, 3개의 MOCVD 챔버들에 의한 구조(120)의 점진적인 성장은 MQW MOCVD 반응 챔버(104) 및 p-형 갈륨 질화물 MOCVD 반응 챔버(106)에 대한 상당한 유휴 시간(idle time)을 초래함으로써, 시스템(100)의 전체 처리량을 감소시킨다.
본 발명의 일 양상에서, LED 구조들을 제조하기 위한 클러스터 시스템의 처리량은, 상기 설명된 MOCVD 재료 성장 능력들 또는 동작들 중 하나 또는 하나의 일부를 PVD 스퍼터링 증착 능력 또는 동작으로 대체함으로써 개선될 수 있다. 예를 들면, 도 2a는 본 발명의 일 실시예에 따른, 클러스터 툴 개략도 및 LED 구조 제조를 위한 대응하는 온도 대 시간 플롯을 도시한다. 도 2b는 본 발명의 일 실시예에 따른, LED 구조 및 대응하는 시간-대-증착 플롯을 도시한다.
도 2a를 참조하면, 클러스터 툴(200)은 PVD 알루미늄 질화물 스퍼터 챔버(202)(PVD AlN), 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(204)(MOCVD1: u-GaN/n-GaN), 다중 양자 우물(MQW) MOCVD 반응 챔버(206)(MOCVD2: MQW), 및 p-형 갈륨 질화물 MOCVD 반응 챔버(208)(MOCVD3: p-GaN)를 포함한다. 클러스터 툴(200)은 또한 로드락(210), 캐리어 카세트(212), 및 이송 챔버(214)를 포함할 수 있고, 이들 모두는 도 2a에 도시되어 있다.
따라서, 본 발명의 일 실시예에 따르면, 멀티-챔버 시스템은 금속 또는 알루미늄 화합물(compound aluminum)의 타겟을 갖는 PVD 챔버, 및 도핑되지 않은 및/또는 n-형 갈륨 질화물, 또는 이 둘 모두를 증착하도록 적응된 챔버를 포함한다. 일 실시예에서, PVD 챔버의 타겟은 알루미늄 질화물로 구성된다. 이러한 실시예에서는, 타겟이 증착을 위해 요구되는 것과 동일한 재료로 구성되기 때문에, 반응성 스퍼터링이 사용될 필요가 없다. 그러나, 대안적인 실시예에서는, 알루미늄으로 구성된 타겟이 사용되며, 그리고 질소 소스에 의해 또는 질소 소스의 존재 하에서 알루미늄 타겟으로부터 알루미늄 질화물이 반응적으로 스퍼터링된다. 일 실시예에서, 도 2a에 도시된 바와 같이, 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 챔버는 MOCVD 챔버이다. 그러나, 대안적인 실시예에서, 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 챔버는 수소화물 기상 에피택시(HVPE) 챔버이다. 일 실시예에서, PVD 챔버 및 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 챔버는, 도 2a에 도시된 바와 같이, 클러스터 툴 배열에 포함된다. 그러나, 대안적인 실시예에서, PVD 챔버 및 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하도록 적응된 챔버는 인-라인(in-line) 툴 배열에 포함된다. 본원에서 설명되는 바와 같은 PVD에 기초한 증착 프로세스들은 대략 표준의 실온에 가까운 온도들에서 수행될 수 있거나, 또는 더 높은 온도들에서 수행될 수 있다.
도 2b를 참조하면, LED 구조(220)는 다양한 재료 층들의 스택을 포함하며, 이들 중 많은 재료 층들은 Ⅲ-Ⅴ족 재료들을 포함한다. 예를 들면, LED 구조(220)는 실리콘 또는 사파이어 기판(222)(기판: 사파이어, Si) 및 대략 10-200 나노미터 범위의 두께를 갖는 알루미늄 질화물 층(224)(AlN)을 포함한다. 알루미늄 질화물 층(224)은 클러스터 툴(200)의 PVD 알루미늄 질화물 스퍼터 챔버(202)에서 스퍼터 증착에 의해 형성된다. LED 구조(220)는 또한, 대략 4 미크론 두께의 도핑되지 않은/n-형 갈륨 질화물 조합 또는 n-형 갈륨 질화물-만의(gallium nitride-only) 층(226)(n-GaN)을 포함한다. 도핑되지 않은/n-형 갈륨 질화물 조합 또는 n-형 갈륨 질화물-만의 층(226)은 클러스터 툴(200)의 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(204)에서 형성된다. LED 구조(220)는 또한, 30-500 나노미터 범위의 두께를 갖는 MQW 구조(228)를 포함한다. MQW 구조(228)는 클러스터 툴(200)의 MQW MOCVD 반응 챔버(206)에서 형성된다. 일 실시예에서, MQW 구조(228)는 하나 또는 복수의 필드(field) 쌍들의 InGaN 우물/GaN 배리어 재료 층들로 구성된다. LED 구조(220)는 또한, 대략 20 나노미터 두께의 p-형 갈륨 알루미늄 질화물 층(230)(p-AlGaN) 및 50-200 나노미터 범위의 두께를 갖는 p-형 갈륨 질화물 층(232)(p-GaN)을 포함한다. p-형 갈륨 알루미늄 질화물 층(230) 및 p-형 갈륨 질화물 층(232)은 클러스터 툴(200)의 p-형 갈륨 질화물 MOCVD 반응 챔버(208)에서 형성된다. 상기의 두께들 또는 두께 범위들은 예시적인 실시예들이고, 다른 적합한 두께들 또는 두께 범위들이 또한 본 발명의 실시예들의 사상 및 범위 내에서 고려된다는 것을 이해해야 한다.
시간-대-증착 플롯(240)은 클러스터 툴(200)에서의 챔버 사용을 나타낸다. MQW MOCVD 반응 챔버(206)에서의 MQW 구조(228)의 형성은 대략 2 시간의 성장 시간을 갖는다. p-형 갈륨 질화물 MOCVD 반응 챔버(208)에서의 p-형 갈륨 알루미늄 질화물 층(230) 및 p-형 갈륨 질화물 층(232)의 형성은 대략 1 시간의 성장 시간을 갖는다. 그리고, 본 발명의 일 실시예에 따르면, 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(204)에서의 도핑되지 않은/n-형 갈륨 질화물 조합 또는 n-형 갈륨 질화물-만의 층(226)의 형성은 단지 대략 2 시간의 성장 시간을 갖는다. 챔버(204)의 챔버 세정을 위해, 추가의 대략 1 시간이 요구될 수 있다. 그러나, 세정 시간은 정지를 위한 시간, 더하기 세정 시간, 더하기 복구 시간을 포함할 수 있다는 것을 이해해야 한다. 또한, 세정이 매 챔버 사용 사이에서 수행되지 않을 수도 있기 때문에, 상기 말한 것은 평균을 나타낼 수 있다는 것을 이해해야 한다.
따라서, 갈륨 질화물 층(126)을 형성하기 위해 사용되는 MOCVD 챔버에서, 도 1의 버퍼 층(124)과 같은 버퍼 층을 형성하는 대신에, 알루미늄 질화물 버퍼 층(224)이 대신 포함되고, 다른 챔버, 특히 PVD 알루미늄 질화물 스퍼터 챔버(202)에서 형성된다. 비록 AlN 성장이 펌프 시간(대략 400 torr에서 대략 10-8 torr까지)을 제외하고 대략 5 분의 지속기간(duration) 동안 이루어질 수 있기는 하지만, MOCVD 챔버 1과 분리된 챔버에서의 형성은 클러스터 툴(200)의 처리량을 증가시킨다. 예를 들면, 전반적으로, 클러스터 툴(200)에서 LED 구조(220)를 제조하기 위한 사이클 시간은 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(204)의 사이클 시간에 의해 다시 한번 좌우되는데, 이는 4.5 시간의 벤치마크 시스템에 비해 대략 3 시간으로 감소된다. 따라서, 3개의 MOCVD 챔버들에 더하여 하나의 PVD 챔버에 의한 구조(220)의 점진적인 성장은, MQW MOCVD 반응 챔버(206) 및 p-형 갈륨 질화물 MOCVD 반응 챔버(208)에 대한 훨씬 적은 유휴 시간을 초래함으로써, 시스템(200)의 전체 처리량을 개선한다. 예를 들면, 일 실시예에서, 툴 처리량은 하루당 대략 5.3 런(run)들에서 하루당 대략 8 런들로 개선됨으로써, 대략 50%의 처리량 개선을 나타낸다.
도 2a를 다시 참조하면, 클러스터 툴(200)에서의 LED 구조 제조에 대한 대표적인 온도 대 시간 플롯(250)이 제공된다. 플롯(250)의 영역(region)(252)은 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(204)에서 형성되는 도핑되지 않은/n-형 갈륨 질화물 조합 또는 n-형 갈륨 질화물-만의 층(226)의 형성에 대해 특정된다. 이러한 영역에서는, 단지 한번의 온도 램핑(대략 1100℃로부터 대략 400℃까지의 램핑 다운)이 필요하다. 이러한 단일 램핑 이벤트 요건은, 위에서 설명된 바와 같은, 도핑되지 않은 및/또는 n-형 갈륨 질화물 MOCVD 반응 챔버(102)에서의 버퍼 층(124) 및 도핑되지 않은/n-형 갈륨 질화물 조합 층(126)의 형성을 위한 타이밍 시퀀스와 극명히 대조적이다. 그러한 경우, 챔버는 기판 처리를 위한 고온에서 시작되고, 버퍼 층 제조를 위한 온도로 램핑 다운되고, 갈륨 질화물 증착을 위한 온도로 다시 램핑 업되고, 그리고 안정화를 위해 마지막으로 다시 램핑 다운된다. 그러나, 이 두 경우들에서, MQW 및 p-GaN의 형성에 특정한 플롯(250)의 영역(254 및 256)은 대략적으로 동일하게 될 것임을 주목한다. 실시예에서, 플롯(250)의 영역(258)을 참조하면, PVD-형성된 알루미늄 질화물에 대한 온도 대 시간 플롯은, 대략 20-1200℃ 범위에서, 고온(HT) 프로세스 또는 저온(LT) 프로세스를 포함할 수 있다.
클러스터 툴(200)에 대한 처리량 개선 이외에, PVD 챔버 더하기 3개의 MOCVD 챔버 툴 배열에 대한 추가적인 이득들이 있을 수 있다. 예를 들면, 더 적은 반응 가스가 제 1 MOCVD 챔버로 전달될 필요가 있을 수 있기 때문에, 비용 절감을 달성할 수 있다. PVD 챔버 기술(engineering) 및 설계는, 벤치마크 클러스터 툴(100)의 챔버(102)와 같은, 버퍼 층과 디바이스 층 모두에 전용되는 MOCVD 챔버에 대한 구성 시간 및 복잡성과 비교하여 더 간단할 수 있다. 상기 프로세스가 디바이스 층(226)의 도핑되지 않은 갈륨 질화물 부분에 대한 감소된 두께를 가능하게 하는 경우, 더 간단한 다운-더-라인(down-the-line) 에치백 프로세스들(etch-back processes)이 수행될 수 있다. 이는 또한, 사이클 시간을 줄이면서 재료 및 동작 비용의 절감을 가능하게 할 수 있다. 또한, 갈륨 질화물 버퍼 층 대신에 알루미늄 질화물 버퍼 층을 이용함으로써, LED 디바이스와 같은 디바이스의 액티브 층들(active layers)에서의 감소된 결함도(defectivity)를 달성할 수 있다.
따라서, 본 발명의 일 실시예에 따르면, 멀티-챔버 시스템은 알루미늄 질화물 타겟을 갖는 PVD 챔버, 및 도핑되지 않은 또는 n-형 갈륨 질화물을 증착하기 위한 제 1 MOCVD 챔버를 포함한다. 멀티-챔버 시스템은 또한, 다중 양자 우물(MQW) 구조를 증착하기 위한 제 2 MOCVD 챔버, 및 p-형 알루미늄 갈륨 질화물 또는 p-형 갈륨 질화물, 또는 이 둘 모두를 증착하기 위한 제 3 MOCVD 챔버를 포함한다. 일 실시예에서, 알루미늄 질화물 타겟을 갖는 PVD 챔버는 알루미늄 질화물의 비-반응성 스퍼터링을 위한 것이다. 이러한 특정의 실시예에서, PVD 챔버는, 대략 20-200℃ 범위의 낮은 또는 약간 높은(elevated) 온도에서의 알루미늄 질화물의 비-반응성 스퍼티링을 위한 것이다. 이러한 다른 특정의 실시예에서, PVD 챔버는, 대략 200-1200℃ 범위의 고온에서의 알루미늄 질화물의 비-반응성 스퍼터링을 위한 것이다.
증착 온도와 상관없이, LED 구조(220)에 포함시키기에 적합한, PVD로 증착된 알루미늄 질화물 층이, 필수의 재료 특성들(예를 들면, 적절한 결함 밀도, 결정 입자 크기(crystal grain size), 결정 배향 등)을 달성하기 위해, 어떠한 시점(point)에서, 대략 400-1400℃ 범위의 고온, 예를 들면, 약 900℃에 노출될 필요가 있을 수 있는 경우가 있을 수 있다. 본 발명의 일 실시예에 따르면, 알루미늄 질화물 층 상에 추가의 층들을 제조하기 전에, PVD로 증착된 알루미늄 질화물 층 상에서 급속 열 처리(RTP) 프로세스가 수행된다. 이렇게 되면, RTP 챔버는, 어떠한 방식으로, LED 구조(220)에 대해 상기 설명된 제조 프로세스와 연관될 수 있다. 일 실시예에서, PVD 및 3개의 MOCVD 챔버들을 포함하는 인-라인 툴 또는 클러스터 툴과 같은 툴은 또한 RTP 챔버를 포함한다. 그러나, 대안적인 실시예에서, RTP 프로세스는 PVD 챔버에서 수행된다. 다른 대안적인 실시예에서는, 레이저 어닐링 능력이 LED 구조(220)에 대해 상기 설명된 제조 프로세스와 연관된다.
제 1 양상에서, PVD 알루미늄 질화물 버퍼 층들을 위한 기판 선처리들이 설명된다. 이러한 버퍼 층은, 예를 들어 GaN-기반 디바이스 내에 포함될 수 있다. 일 실시예에서, AlN 재료 특성들을 개선하는 기판 선처리 프로세스들의 구체적 내용들이, 이러한 기판 선처리에 대해 유용한 하드웨어와 함께, 설명된다.
PVD AlN은, 이를 테면 사파이어, 실리콘 등과 같은 이종 기판 상에 성장되는 전력 디바이스들 및 GaN-기반의 LED들에 대한 버퍼 층으로서 이용될 수 있다. PVD AlN 버퍼 층은 그 버퍼 층의 상부에 성장되는 GaN 층들의 재료 품질을 개선하기 위해 이용될 수 있으며, 그에 따라 디바이스 성능 및 신뢰성을 개선하기 위해 포함될 수 있다. 사파이어 상에서의 GaN의 전형적인 MOCVD 성장에 있어서, PVD AlN 프로세스는, 제한되는 것은 아니지만, 기판 프리-베이킹(pre-baking), 저온 MOCVD 버퍼 형성 및 특정 온도 램핑 동작들과 같은 동작들을 제거하기 위해 이용될 수 있다. 부가적으로, 프로세싱 시간이 대략 10-30% 만큼 감소될 수 있다. 실리콘 위에서의 GaN의 성장에 대해, 갈륨 유도 손상(gallium induced damage)으로부터 실리콘 기판을 보호하기 위해 AlN 층이 필요한 경우, 특히, 챔버 세정 시간을 또한 고려할 때, PVD AlN 층은, 그렇지 않으면 에피택셜 성장에 기초하는 프로세스로부터 약 3 내지 6 시간을 절감할 수 있다. 이러한 프로세스 시간 감소는 시스템 처리량을 크게 높일 수 있다. PVD AlN의 결정 품질은 그 위에 성장되는 GaN 층의 재료 품질에 직접적으로 영향을 줄 수 있다는 것을 이해해야 한다. 따라서, 일 실시예에서, 하기에서 더 상세히 설명되는 바와 같이, 높은 결정 품질 및 강화된 프로세스 반복성(repeatability)을 갖는 PVD AlN 층이 제공된다.
일 실시예에서, 이종 기판, 예를 들어 사파이어의 선처리는, 그것으로부터 표면 오염 및 입자들을 제거할 뿐 아니라, 매우 체계적인(highly organized) 결정질 구조로 AlN 결정질 층들을 형성하기 위해 Al 및 N 원자들을 수용하도록 그 표면을 준비하기 위해 수행된다. 이러한 하나의 실시예에서, 기판 선처리는, 예를 들어 PVD에 의한 AlN의 증착을 가능하게 하며, 이러한 AlN은, AFM에 기초한 대략 1 나노미터(RMS, root mean square) 미만의 표면 거칠기 및 (002) XRD FWHM < 50 arcsec 를 갖는다. 부가적으로, 런 마다의(from run to run), 웨이퍼 마다의(wafer to wafer) 높은 프로세스 반복성 및 웨이퍼 내에서의 높은 균일성이 또한 달성될 수 있다.
일 실시예에서, 기판 선처리는 PVD 증착 챔버 내에서 인시츄(in-situ)로 수행된다. 이러한 하나의 실시예에서, 기판이 챔버 내로 로딩되며, 그리고 기판의 표면 근방에서 플라즈마를 생성하기 위해 챔버의 페디스털에 바이어스(전압)이 인가된다. 특정 실시예에서, 생성되는 플라즈마는, 질소, Ar, 수소, 또는 다른 가스 라디칼 및 이온들 중 하나 또는 그 초과를 포함하는데, 이는 기판 표면 오염 및 입자들을 제거하기 위해, 그리고 증착되는 에피택셜 필름과 기판 사이의 더 나은 결정 정렬을 보장하도록 기판의 표면 구조를 변경하기 위해 이용될 수 있다. 일 실시예에서, 플라즈마 밀도, 바이어스 및 처리 시간은, 기판 표면을 효과적으로 세정하지만 기판 표면을 손상시키지 않도록 조정된다(예를 들어, 인가되는 바이어스는 대략 -5V 내지 -1000V의 범위이고, 처리 시간은 대략 1초 내지 15분 범위이다). 일 실시예에서, 대응하는 전력 공급부의 주파수는 대략 100kHz 내지 100MHz 범위이다. 일 실시예에서, 선처리 동안의 페디스털의 온도는 대략 -50℃ 내지 1000℃ 범위이다. 일 실시예에서, 이용되는 하드웨어는, 챔버 본체, 하나 또는 그 초과의 전력 공급부들, 하나 또는 그 초과의 타겟들, 마그네트론, 페디스털, 고온 히터들 등을 포함하며, 이들의 예들이 하기에서 더 상세히 설명된다.
이러한 하나의 실시예에서, 기판 선처리를 위한 상기 동작들 및 프로세스들의 조합을 이용하여, 높은 품질의 AlN 증착이, 웨이퍼 내에서의 높은 균일성을 가지면서, 런 마다, 웨이퍼 마다 반복가능하게 수행된다. 특정 실시예에서, XRD (002) FWHM < 200 arcsec 및 XRD (102) FWHM < 300 arcsec 을 갖는 고 품질의 GaN이 이후 PVD AlN 층의 상부에 성장된다. 다른 특정 실시예에서, XRD (002) FWHM < 100 arcsec 및 XRD (102) FWHM < 150 arcsec 을 갖는 고 품질의 GaN이 이후 PVD AlN 층의 상부에 성장된다. 일 실시예에서, 상기 설명된 기판 선처리의 이용은, 통상적으로 준비되는 기판들에서 종종 관찰되는, AlN 및 GaN 결정 품질들의 변동들을 감소시키거나 제거한다.
제 2 양상에서, 물리 기상 증착(PVD) 알루미늄 질화물(AlN) 버퍼 층을 형성하기 위한 프로세스 조건들이 설명된다. 이러한 버퍼 층은, 예를 들어 GaN-기반 디바이스 내에 포함될 수 있다. 일 실시예에서, 특정의 특징 및 특성들을 갖는 AlN의 증착을 위해 파라미터 프로세스 윈도우(parametric process window)가 제공된다.
발광 다이오드(LED) 제조는 전형적으로, 기판 상에서의 유기 금속 화학 기상 증착(MOCVD)에 의한 저온 버퍼 층의 형성을 포함한다. MOCVD에 의한 버퍼 층의 증착 다음에는 전형적으로, 활성 디바이스 층들, 예를 들어, 도핑되지 않은, Si-도핑된 n-형, MQW, 및 Mg-도핑된 p-형 GaN 층들의 형성이 뒤따른다. 기판 프리-베이킹은 일반적으로 (예를 들어, 대략 1050℃ 초과의) 높은 온도들에서 수행된다. 대조적으로, 버퍼 층의 증착은 일반적으로 (예를 들어, 대략 500-600℃ 범위의) 낮은 온도들에서 수행된다. 이러한 프로세스들은, 총 MOCVD 프로세스 시간의 대략 10-30%를 차지할 수 있다. 엑스시츄(ex-situ) 증착된 버퍼 층에 의해 MOCVD 처리량을 높일 수 있다. 따라서, 일 실시예에서, 하기에서 더 상세히 설명되는 바와 같이, PVD에 의해 형성되는 엑스시츄 증착된 AlN 버퍼 층이 설명된다. 일 실시예에서, PVD 프로세스는 개별적인 챔버에서 수행된다.
일 실시예에서, GaN 디바이스 제조에서 이용하기에 적합한 AlN 버퍼(템플릿(template))을 갖는 기판을 형성하기 위한 프로세스 조건들이 제공된다. 이러한 하나의 실시예에서, AlN 버퍼 층들은 원자적으로 평탄한 표면(atomically smooth surface)을 갖도록 형성되며, 이러한 표면은 (AFM(atomic force microscope)에 의해 측정되는) 대략 1 나노미터(RMS) 미만의 거칠기 및 (XRD 회절에 의해 검증되는) ((002) 피크의 FWHM < 200 arcsec) (002) 방향에서의 양호한 결정질 배향을 갖는다. 이러한 특정 실시예에서, AlN 필름 두께들은 대략 500 나노미터 미만이다.
일 실시예에서, AlN 버퍼 층은, PVD 챔버 내에 하우징되며 질소-기반 가스 또는 플라즈마와 반응하는 알루미늄-함유 타겟으로부터의 반응성 스퍼터링에 의해 형성된다. 이러한 하나의 실시예에서, 에픽택시-준비된(epitaxy-ready) 기판이 PVD 챔버 내로 로딩되며, 그리고 Al-함유 타겟 및 질소-함유 프로세스 가스를 이용하여, 연속적인(continuous) AlN 필름이 기판 위에 증착된다. 하지만, 다른 실시예에서는, AlN의 증착 이전에, Al, AlOx, SiNx, ZnO, ZnS, ZrN, TiN 등으로 구성될 수 있는 프리-씨딩 층이 먼저 증착되어, 기판 표면을 보호하고, 더 높은 품질의 AlN의 증착 및/또는 PVD AlN 층에 대한 빠른 증착 레이트를 가능하게 한다. 하나의 특정 실시예에서, 프리-씨딩 층은, 감소된 전력, 압력, 또는 가스 유동 등에서, 질소-함유 가스 없이, 포이즈닝된(poisoned) Al-함유 타겟을 스퍼터링함으로써 형성된다. 일 실시예에서, 프리-씨딩 층의 증착 이후, Al-함유 타겟 및 질소-함유 프로세스 가스를 이용하여, 연속적인 AlN 필름이 프리-씨딩 층 상에 증착된다.
일 실시예에서, 기판의 선택은, 제한되는 것은 아니지만, 사파이어, SiC, Si, 다이아몬드, LiAlO2, ZnO, W, Cu, GaN, AlGaN, AlN, 소다 라임/하이 실리카 유리(soda lime/high silica glass), 매칭되는 격자 상수 및 열 팽창 계수를 갖는 기판들, 상부에 성장되는 질소 재료에 대해 호환가능하거나(compatible) 가공되는(engineered) 기판들, 요구되는 질화물 성장 온도에서 열적으로 그리고 화학적으로 안정한 기판들, 및 패터닝되지 않거나 패터닝된 기판들을 포함한다. 일 실시예에서, 타겟의 선택은, 제한되는 것은 아니지만, Al 함유 금속, 합금, 화합물(compound), 이를 테면 Al, AlN, AlGa, Al2O3 등을 포함하며, 그리고 타겟은 층 호환성 및 디바이스 성능을 개선하기 위해 Ⅱ/Ⅳ/Ⅵ 족 원소들로 도핑될 수 있다. 일 실시예에서, 스퍼터링 프로세스 가스는, 제한되는 것은 아니지만, N2, NH3, NO2, NO 등과 같은 질소 함유 가스, 및 Ar, Ne, Kr 등과 같은 비활성 가스를 포함할 수 있다.
본 발명의 일 실시예에 따르면, AlN 버퍼 층을 증착하기 전에, 인시츄 및/또는 엑스시츄 접근법들에 의해, 열적으로(예를 들어, 급속 열 처리(RTP), 높은(elevated) 온도에서의 베이킹(baked) 등), 화학적으로(H2O2, H2SO4, HCl, HNO3, HF, NH4F, 원자(atomic) H, 등), 및/또는 물리적으로(예를 들어, 프리-스퍼터링) 등으로, 기판이 선처리된다.
일 실시예에서, PVD 챔버 캐소드의 전력 공급부는, 대략 0 - 20 kW 범위의 전력 및 대략 0 - 60 MHz 범위의 주파수를 갖는 RF 전력 공급부를 포함한다. 대략 0 - 50 kW 범위의 전력을 갖는 DC 전력 공급부가 또한 포함되는데, 펄스형 DC 전력 공급부(pulsed DC power supply)는 대략 0 - 50 kW 범위의 전력, 대략 1 - 100KHz 범위의 주파수 및 대략 1 - 99% 범위의 듀티 사이클(duty cycle)을 갖는다. 이러한 하나의 실시예에서, 프로세스 시작 시퀀스(process starting sequence)는, RF 전력 공급부를 먼저 턴온시키거나, DC 전력 공급부를 먼저 턴온시키거나, 또는 RF 및 DC를 동시에 턴온시키는 것을 포함한다. 질소-함유 가스의 유동의 개시는, 전력 공급부가 턴온되기 전에, 턴온된 후에, 또는 턴온됨과 동시에 수행될 수 있다는 것을 이해해야 한다. 다른 실시예에서, 캐패시터 튜너(capacitor tuner)가 페디스털에 연결되어, 기판 플로트 전위(substrate float potential)를 조정하고, 이온화 비율(ionized ratio), 챔버 내의 반응물들의 에너지 및 이동도를 변경하고, 증착된 필름 응력을 제어하고, 표면 형태(surface morphology) 및 결정 품질을 개선시키고, 그리고 증착 레이트를 증가시키는 등을 한다.
일 실시예에서, 웨이퍼 표면 온도 및 그 균일성을 제어하기 위해 정전 척이 이용된다. 일 실시예에서, 증착 온도 범위들은 대략 200 - 550 ℃, 550 - 1000 ℃, 또는 1000 - 1400 ℃ 이다. 일 실시예에서, 증착 압력 범위들은 대략 0.1 - 100 mTorr 이다.
본 발명의 일 실시예에 따르면, 반응물 가스를 금속 또는 N 풍부(N rich) 상태가 되게 하고 그리고 수직 또는 측방향의 성장을 촉진시키기 위해, 가스 조성 내에서의 Ⅲ/Ⅴ 비율, 온도, 압력, 가스 유동, 기판 바이어스, 스퍼터링 전력에 대한 조정들이 이루어진다. 일 실시예에서, AlN 버퍼 층에 대해 대략 0.1 Å/s 초과의 증착 레이트가 달성되며, 이러한 레이트는 프로세스 전반에 걸쳐서 가변적이다. 일 실시예에서, 증착되는 AlN 필름의 결과적인 두께는 대략 1 - 1000 nm 범위이다.
일 실시예에서, 프로세스 종료(termination)는, RF 전력 공급부를 먼저 오프시키거나, (펄스형) DC 전력 공급부를 먼저 오프시키거나, RF 및 DC를 동시에 턴오프시키는 것을 포함하며, 표면 형태, 화학량론(stoichiometry) 및 극성(polarity)을 제어하기 위해, 전력 공급부가 턴오프되기 전에, 전력 공급부가 턴오프됨과 동시에, 또는 전력 공급부가 턴오프된 이후에, 프로세스 가스 턴오프가 수행된다. 일 실시예에서, 인시츄 및 엑스시츄 처리 등으로 이루어지는, 열 처리(예를 들어, RTP, 레이저 어닐링, 고온 베이크 등) 또는 화학적 처리(용액 기반, 가스 기반 등)를 포함하는 포스트 프로세스 처리가 이용된다. 일 실시예에서, PVD AlN 필름의 전기적, 기계적 및 광학적 특성들을 조정하기 위해, 예를 들어 필름이 Ⅲ 족-질화물 디바이스들을 상부에 제조하는 데에 적합하게 되도록 하기 위해, 가스 라인 전달 및/또는 타겟 혼합(target incorporation)을 통해 도펀트들이 부가된다.
일 실시예에서, 증착되는 PVD AlN 필름은, 단일 층, 다중 층들, 또는 상기 설명된 프로세스 조건들을 통해 제조되는 상이한 조성 또는 특성들을 갖는 교번(alternating) 층들의 다중 쌍들의 형태이다. 전체적으로, 일 실시예에서, 버퍼 층 형성 프로세스는, 목표된(targeted) 압축 응력 또는 인장 응력(예를 들어, 대략 -10 GPa - 10GPa 범위)을 갖는 필름을 달성하기 위한 응력 엔지니어링(stress engineering)을 포함한다. 더욱이, 또는 대안적으로, 프로세스는 대략 70%-100% 범위의 밀도를 갖는 AlN 필름을 증착하도록 조정될 수 있다.
이러한 하나의 실시예에서, 상기 동작들과 프로세스들의 조합을 이용하여, 매우 평탄한 표면(예를 들어, 0.5 나노미터, RMS)을 갖는 PVD AlN 필름이 증착되는데, 이는 대략 40 나노미터의 두께에서 높은 재료 품질((002) 피크의 FWHM ~ 50 arcsec)을 갖는다. 특정 실시예에서, 높은 품질의 GaN 필름((002)의 FWHM < 200 arcsec 및 (102) < 300 arcsec)이 PVD AlN 층 상에 형성된다. 다른 실시예에서는, 높은 품질의 GaN 필름((002)의 FWHM < 100 arcsec 및 (102) < 150 arcsec)이 PVD AlN 층 상에 형성된다. 따라서, 일 실시예에서, 저온 MOCVD GaN/AlN 버퍼 층에 대한 요구가 제거된다. 일 실시예에서, 이러한 템플릿 상에 증착되는 전체(full) LED 스택은, PVD AlN 층을 이용하지 않는 동일한-구조의(same-structured) LED와 비교하여, 대략 10 - 45% 휘도(brightness) 개선을 나타내었다.
3개의 MOCVD 챔버들과 함께 PVD 챔버를 하우징하는 데에 적합한 툴 플랫폼들의 예시적인 실시예들은 Opus™ AdvantEdge™ 시스템 또는 Centura™ 시스템을 포함하며, 이들 둘 모두는 캘리포니아 산타클라라의 Applied Materials Inc.로부터 상업적으로 입수가능하다. 본 발명의 실시예들은 멀티-챔버(multi-chambered)의 프로세싱 플랫폼의 컴포넌트로서 통합 계측(IM; integrated metrology) 챔버를 더 포함한다. IM 챔버는, 본원에서 설명된 것들과 같은, 다수의 분할된(segmented) 스퍼터 또는 에피택셜 성장 프로세스들과 같은 통합 증착 프로세스의 적응 제어(adaptive control)를 허용하기 위한 제어 신호들을 제공할 수 있다. IM 챔버는 두께, 거칠기, 조성과 같은 다양한 필름 특성들을 측정하는데 적합한 계측 장치를 포함할 수 있으며, 그리고 또한, 자동화된 방식으로 진공 하에서의 임계 치수들(CD; critical dimension), 측벽 각(SWA; sidewall angle), 피쳐 높이(HT; feature height)와 같은 격자 파라미터들(grating parameters)을 특징화(characterizing)할 수 있다. 예들은 반사 측정(reflectometry) 및 산란 측정(scatterometry)과 같은 광학 기법들을 포함하지만, 이것으로 제한되지 않는다. 특히 유리한 실시예들에서는, 진공내(in-vacuo) 광학 CD (OCD) 기법들이 이용되는데, 여기에서는, 스퍼터 및/또는 에피택셜 성장이 진행됨에 따라, 시작 재료(starting material)에서 형성되는 격자의 속성들이 모니터링된다. 다른 실시예들에서, 계측 동작들은, 분리된 IM 챔버 보다는, 프로세스 챔버에서, 예를 들면, 프로세스 챔버에서 인-시츄로 수행된다.
클러스터 툴(200)과 같은 멀티-챔버의 프로세싱 플랫폼은, 로보틱 핸들러(robotic handler)를 포함하는 이송 챔버에 커플링되는, 카세트들을 지지하는 로드락 챔버들 뿐만 아니라, 선택적인 기판 정렬기 챔버(substrate aligner chamber)를 더 포함할 수 있다. 본 발명의 일 실시예에서, 멀티-챔버의 프로세싱 플랫폼(200)의 적응 제어는 제어기에 의해 제공된다. 제어기는, 다양한 서브프로세서들 및 서브제어기들을 제어하기 위해 산업 현장(industrial setting)에서 사용될 수 있는 임의의 형태의 범용 데이터 프로세싱 시스템 중 하나일 수 있다. 일반적으로, 제어기는, 다른 공통 컴포넌트들 중에서, 메모리 및 입력/출력(I/O) 회로와 통신하는 중앙처리유닛(CPU)을 포함한다. 예로서, 제어기는, 본원에서 설명되는 방법들/프로세스들 중 임의의 것의 동작들 중 하나 또는 그 초과를 수행하거나 또는 그렇지 않으면 개시(initiate)할 수 있다. 이러한 동작들을 수행 및/또는 개시하는 임의의 컴퓨터 프로그램 코드는 컴퓨터 프로그램 물건으로서 구현될 수 있다. 본원에서 설명되는 각 컴퓨터 프로그램 물건은 컴퓨터에 의해 판독가능한 매체(예를 들면, 플로피 디스크, 콤팩트 디스크, DVD, 하드 드라이브, 램덤 액세스 메모리 등)에 의해 운반(carry)될 수 있다.
본원에서 고려되는 툴 구성들 및 프로세스들을 위한 적합한 PVD 챔버들은, 캘리포니아 산타클라라의 Applied Materials Inc.로부터 상업적으로 입수가능한 Endura PVD 시스템을 포함할 수 있다. Endura PVD 시스템은, 낮은 소유 비용 및 높은 시스템 신뢰성 뿐만 아니라, 우수한 전자이동 저항(electromigration resistance) 및 표면 형태(surface morphology)를 제공한다. 이러한 시스템 내에서 수행되는 PVD 프로세스들은, 프로세스 캐비티(cavity) 내에서의 증착 종(deposited species)의 방향성 플럭스(directional flux)를 생성하는 필수의 압력들 및 적합한 타겟-대-웨이퍼 거리에서 그렇게(so) 행해질 수 있다. 인-라인 시스템들과 호환가능한 챔버들, 이를 테면 ARISTO 챔버(이것 또한 캘리포니아 산타클라라의 Applied Materials Inc.로부터 상업적으로 입수가능함)는, 자기 캐리어 이송 시스템 뿐만 아니라, 자동화된 로딩 및 언로딩 능력들을 제공함으로써, 상당히 감소된 사이클 시간들을 허용한다. 캘리포니아 산타클라라의 Applied Materials Inc.로부터 또한 상업적으로 입수가능한 AKT-PiVot 55KV PVD 시스템은 스퍼터링 증착을 위한 수직 플랫폼을 갖는다. AKT-PiVot 시스템의 모듈 아키텍쳐는 상당히 더 빠른 사이클 시간을 산출하며(deliver) 그리고 매우 다양한 구성들로 하여금 생산 효율(production efficiency)을 최대화할 수 있게 한다. 전형적인 인-라인 시스템들과 달리, AKT-PiVot의 병렬 프로세싱 능력은 각각의 필름 층에 대한 상이한 프로세스 시간들에 의해 야기되는 병목들(bottlenecks)을 제거한다. 시스템의 클러스터형(cluster-like) 배열은 또한 개별적인 모듈 유지보수(maintenance) 동안 연속적인 동작을 허용한다. 포함되는 로터리 캐소드(rotary cathode) 기술은 종래의 시스템들과 비교하여 거의 3× 더 높은 타겟 이용을 가능하게 한다. PiVot 시스템의 증착 모듈들은, 동일한 결과들을 달성하기 위해 다른 시스템들에 대해 요구되는 50개까지의 기판들 보다는, 단지 하나의 기판을 이용한 타겟 컨디셔닝(target conditioning)을 가능하게 하는 예비-스퍼터 유닛(pre-sputter unit)을 특징으로 한다.
본 발명의 일 양상에서, 적절한 프로세스 키트를 설계하는 것이 PVD 프로세스 챔버에서의 RF 챔버 기능을 위해 중요할 수 있다. 예로서, 도 3a-3c는 본 발명의 일 실시예에 따른, PVD 챔버를 위한 프로세스 키트의 단면도들을 도시한다. 도 3d는 본 발명의 일 실시예에 따른, PVD 챔버에 대한 전력 전달 소스의 단면도를 도시한다.
도 3a-3c를 참조하면, PVD 챔버를 위한 프로세스 키트(300)는, 상부 어댑터(302), 하부 어댑터(304), 하부 쉴드(lower shield)(306) 및 DTESC(308)를 갖는 제 1 부분(도 3a)을 포함한다. PVD 챔버를 위한 프로세스 키트(300)는 또한, 타겟(310), 암공간 쉴드(dark space shield)(312) 및 Al 스페이서(314)를 갖는 제 2 부분(도 3b)을 포함한다. PVD 챔버를 위한 프로세스 키트(300)는 또한, 커버 링(316) 및 증착 링(318)을 갖는 제 3 부분(도 3c)을 포함한다.
도 3d를 참조하면, PVD 챔버를 위한 전력 전달 소스(350)는 RF 매치(352) 및 RF 피드(RF feed)(354)를 포함한다. 금속 하우징(360) 및 링 자석(362)과 함께, 소스 분배 플레이트(356)(예를 들어, 알루미늄 소스 분배 플레이트) 및 접지 쉴드(ground shield)(358)(예를 들어, 알루미늄 시트 금속)이 또한 포함된다. 전력 전달 소스(350)는 또한, DC 필터 박스(364) 및 DC 피드(366)를 포함한다. 연장 블록(372), 샤프트(374) 및 타겟(376)과 함께, 상단 플레이트(368) 및 분배 플레이트(370)가 또한 포함된다.
상기 설명된 MOCVD 챔버들(204, 206, 또는 208) 중 하나 또는 그 초과로서 사용하는 데에 적합할 수 있는 MOCVD 증착 챔버의 예가 도 4와 관련하여 도시되고 설명된다. 도 4는 본 발명의 일 실시예에 따른 MOCVD 챔버의 개략적 단면도이다.
도 4에 도시된 장치(4100)는 챔버(4102), 가스 전달 시스템(4125), 원격 플라즈마 소스(4126), 및 진공 시스템(4112)을 포함한다. 챔버(4102)는 프로세싱 용적(processing volume)(4108)을 둘러싸는 챔버 본체(4103)를 포함한다. 샤워헤드 조립체(4104)가 프로세싱 용적(4108)의 일단(one end)에 배치되고, 기판 캐리어(4114)가 프로세싱 용적(4108)의 타단(the other end)에 배치된다. 하부 돔(lower dome)(4119)이 하부 용적(4110)의 일단에 배치되고, 기판 캐리어(4114)가 하부 용적(4110)의 타단에 배치된다. 기판 캐리어(4114)가 프로세스 위치에 있는 것으로 도시되어 있지만, 하부 위치, 예를 들면, 기판들(4140)이 로딩되거나 언로딩될 수 있는 위치로 이동될 수 있다. 배기 링(420)이 기판 캐리어(4114)의 주변부 둘레에 배치되어, 하부 용적(4110)에서 증착이 일어나는 것을 막는 것을 돕고, 그리고 또한 챔버(4102)로부터의 배기 가스들을 배기 포트들(4109)로 향하게 하는 것을 도울 수 있다. 하부 돔(4119)은, 기판들(4140)의 복사 가열(radiant heating)을 위해 광이 통과할 수 있도록 하기 위해, 고순도 석영과 같은 투명 재료로 이루어질 수 있다. 복사 가열은 하부 돔(4119) 아래에 배치된 복수의 내부 램프들(4121A) 및 외부 램프들(4121B)에 의해 제공될 수 있으며, 그리고 반사기들(4166)은 내부 및 외부 램프들(4121A, 4121B)에 의해 제공되는 복사 에너지에 대한 챔버(4102)의 노출을 제어하는 것을 돕는 데에 사용될 수 있다. 램프들의 추가 링들이 또한, 기판(4140)의 더 미세한(finer) 온도 제어를 위해 사용될 수 있다.
기판 캐리어(4114)는 하나 또는 그 초과의 오목부들(recesses)(4116)을 포함할 수 있으며, 이러한 오목부들 내에는 하나 또는 그 초과의 기판들(4140)이 프로세싱 동안 배치될 수 있다. 기판 캐리어(4114)는 6개 또는 그 초과의 기판들(4140)을 운반할 수 있다. 일 실시예에서, 기판 캐리어(4114)는 8개의 기판들(4140)을 운반한다. 더 많거나 더 적은 기판들(4140)이 기판 캐리어(4114) 상에서 운반될 수 있다는 것을 이해해야 한다. 전형적인 기판들(4140)은 사파이어, 실리콘 카바이드(silicon carbide, SiC), 실리콘, 또는 갈륨 질화물(GaN)을 포함할 수 있다. 유리 기판들(4140)과 같은 다른 타입들의 기판들(4140)이 프로세싱될 수 있다는 것을 이해해야 한다. 기판(4140)의 크기는 직경이 50㎜-100㎜의 범위이거나 또는 더 클 수 있다. 기판 캐리어(4114)의 크기는 200㎜ - 750㎜의 범위일 수 있다. 기판 캐리어(4114)는, SiC 또는 SiC-코팅된 그래파이트(graphite)를 포함하는 다양한 재료들로 형성될 수 있다. 다른 크기들의 기판들(4140)이, 본원에서 설명되는 프로세스들에 따라서 그리고 챔버(4102) 내에서 프로세싱될 수 있다는 것을 이해해야 한다. 샤워헤드 조립체(4104)는 전형적인 MOCVD 챔버들에서 보다 더 많은 수의 기판들(4140) 및/또는 더 큰 기판들(4140)에 걸쳐서 더 균일한 증착을 허용할 수 있고, 그에 의해 기판(4140) 마다의 프로세싱 비용을 감소시키고 처리량을 증가시킨다.
기판 캐리어(4114)는 프로세싱 동안 축을 중심으로 회전할 수 있다. 일 실시예에서, 기판 캐리어(4114)는 약 2 RPM 내지 약 100 RPM으로 회전될 수 있다. 다른 실시예에서, 기판 캐리어(4114)는 약 30 RPM으로 회전될 수 있다. 기판 캐리어(4114)를 회전시키게 되면, 기판들(4140)의 균일한 가열 및 각 기판(4140)에 대한 프로세싱 가스들의 균일한 노출을 제공하는 것을 돕는다.
복수의 내부 및 외부 램프들(4121A, 4121B)은 동심의 원들 또는 구역들(미도시)에 배열될 수 있고, 각 램프 구역은 개별적으로 전력을 공급받을 수 있다. 일 실시예에서, 고온계들(미도시)과 같은 하나 또는 그 초과의 온도 센서들이 기판(4140) 및 기판 캐리어(4114)의 온도들을 측정하기 위해 샤워헤드 조립체(4104) 내에 배치될 수 있으며, 그리고 온도 데이터는 제어기(미도시)에 전송될 수 있고, 제어기는 기판 캐리어(4114)에 걸쳐서 미리 결정된 온도 프로파일을 유지하기 위해 개별적인 램프 구역들에 대한 전력을 조정할 수 있다. 다른 실시예에서는, 전구체 유동 또는 전구체 농도 비-균일성을 보상하기 위해, 개별적인 램프 구역들에 대한 전력이 조정될 수 있다. 예를 들면, 전구체 농도가 외부 램프 구역 근처의 기판 캐리어(4114) 영역에서 더 낮은 경우, 이러한 영역에서의 전구체 고갈(depletion)을 보상하는 것을 돕기 위해 외부 램프 구역에 대한 전력이 조정될 수 있다.
내부 및 외부 램프들(4121A, 4121B)은 기판들(4140)을 약 400℃ 내지 약 1200℃의 온도로 가열할 수 있다. 본 발명은 내부 및 외부 램프들(4121A, 4121B)의 어레이들을 사용하는 것으로 제한되지 않는다는 것을 이해해야 한다. 챔버(4102) 및 이 챔버 내의 기판들(4140)에 적합한 온도가 적절하게 인가되도록 보장하기 위해, 임의의 적합한 가열 소스가 이용될 수 있다. 예를 들면, 다른 실시예에서, 가열 소스는, 기판 캐리어(4114)와 열 접촉하는 저항성 가열 엘리먼트들(미도시)을 포함할 수 있다.
가스 전달 시스템(4125)은 복수의 가스 소스들을 포함할 수 있거나, 실행되고 있는 프로세스에 따라, 소스들의 일부는 가스들 보다는 액체 소스들일 수 있는데, 이 경우, 가스 전달 시스템은 액체를 기화시키기 위해 액체 분사 시스템(liquid injection system) 또는 다른 수단들(예를 들면, 버블러(bubbler))을 포함할 수 있다. 그런 다음, 증기는, 챔버(4102)에 전달되기 전에, 캐리어 가스와 혼합될 수 있다. 전구체 가스들, 캐리어 가스들, 퍼지 가스들, 세정/식각 가스들 또는 다른 것들과 같은 상이한 가스들이, 가스 전달 시스템(4125)으로부터 샤워헤드 조립체(4104)로의 개별적인 공급 라인들(4131, 4132 및 4133)에 공급될 수 있다. 공급 라인들(4131, 4132 및 4133)은, 각 라인에서의 가스의 유동을 모니터링 및 조절 또는 차단하기 위해, 차단 밸브들(shut-off valves) 및 질량 유동 제어기들 또는 다른 유형들의 제어기들을 포함할 수 있다.
도관(4129)은 원격 플라즈마 소스(4126)로부터 세정/식각 가스들을 받을 수 있다. 원격 플라즈마 소스(4126)는 가스 전달 시스템(4125)으로부터 공급 라인(4124)을 통하여 가스들을 받을 수 있고, 밸브(4130)가 샤워헤드 조립체(4104)와 원격 플라즈마 소스(4126) 사이에 배치될 수 있다. 밸브(4130)는, 세정 및/또는 식각 가스 또는 플라즈마가, 플라즈마를 위한 도관으로서 기능하도록 적응될 수 있는 공급 라인(4133)을 통하여 샤워헤드 조립체(4104) 내로 유동할 수 있도록 개방될 수 있다. 다른 실시예에서, 장치(4100)는 원격 플라즈마 소스(4126)를 포함하지 않을 수 있고, 세정/식각 가스들은 샤워헤드 조립체(4104)로의 교대적(alternate) 공급 라인 구성들을 이용하여 비-플라즈마 세정 및/또는 식각을 위해 가스 전달 시스템(4125)으로부터 전달될 수 있다.
원격 플라즈마 소스(4126)는 챔버(4102)의 세정 및/또는 기판(4140)의 식각을 위해 적응되는 무선 주파수 또는 마이크로파 플라즈마 소스일 수 있다. 세정 및/또는 식각 가스가 공급 라인(4124)을 통하여 원격 플라즈마 소스(4126)에 공급되어, 플라즈마 종을 생성하는데, 이러한 플라즈마 종은 샤워헤드 조립체(4104)를 통한 챔버(4102) 내로의 분산(dispersion)을 위해 도관(4129) 및 공급 라인(4133)을 통하여 보내질 수 있다. 세정 애플리케이션을 위한 가스들은 불소, 염소 또는 다른 반응성 원소들을 포함할 수 있다.
다른 실시예에서는, Ⅲ-Ⅴ족 필름들과 같은 CVD 층들을, 예를 들면 기판들(4140) 상에 증착하도록 샤워헤드 조립체(4104)를 통하여 보내질 수 있는 플라즈마 종을 생성하기 위해 전구체 가스들이 원격 플라즈마 소스(4126)에 공급될 수 있도록, 가스 전달 시스템(4125) 및 원격 플라즈마 소스(4126)가 적합하게 적응될 수 있다. 일반적으로, 프로세스 가스(예를 들어, 전구체 가스들)에 전기 에너지 또는 전자기파들(예를 들어, RF 파(radio frequency wave)들, 마이크로파들)을 전달하여 적어도 부분적으로 브레이크다운(breakdown)되게 하여, 이를 테면 이온들, 전자들 및 중성 입자들(예를 들어, 라디칼들)과 같은 플라즈마 종(plasma species)을 형성함으로써, 물질(matter)의 상태인 플라즈마가 생성된다. 일 예에서, 약 100 기가헤르츠(GHz) 미만의 주파수들에서 전자기 에너지를 전달함으로써 플라즈마 소스(4126)의 내부 영역에서 플라즈마가 생성된다. 다른 예에서, 플라즈마 소스(4126)는, 약 4 킬로와트(kW) 미만의 전력 레벨에서, 약 0.4 킬로헤르츠(kHz) 내지 약 200 메가헤르츠(MHz)의 주파수, 이를 테면 약 162 메가헤르츠(MHz)의 주파수에서 전자기 에너지를 전달하도록 구성된다. 형성되는 플라즈마는 전구체 가스(들)의 형성 및 활동도(activity)를 강화시키며, 그에 따라, 증착 프로세스 동안 기판(들)의 표면에 도달하는 활성화된(activated) 가스들이 빠르게 반응하여, 개선된 물리적 및 전기적 특성들을 갖는 층을 형성할 수 있는 것으로 여겨진다.
샤워헤드 조립체(4104)로부터 및/또는 기판 캐리어(4114) 아래에서 챔버 본체(4103)의 바닥 근처에 배치되는 유입 포트들 또는 튜브들(미도시)로부터, 퍼지 가스(예를 들면, 질소)가 챔버(4102) 내로 전달될 수 있다. 퍼지 가스는 챔버(4102)의 하부 용적(4110)으로 진입하여, 위쪽으로 기판 캐리어(4114) 및 배기 링(420)을 지나, 환형 배기 채널(4105) 부근에 배치된 복수의 배기 포트(4109) 내로 유동한다. 배기 도관(4106)은 진공 펌프(미도시)를 포함하는 진공 시스템(4112)에 환형 배기 채널(4105)을 연결한다. 챔버(4102)의 압력은, 배기 가스들이 환형 배기 채널(4105)로부터 끌어당겨지는(drawn) 속도를 제어하는 밸브 시스템(4107)을 이용하여 제어될 수 있다.
상기 설명된 챔버(204)의 대안적인 실시예들(또는 다른 챔버들을 위한 대안적인 실시예들)의 HVPE 챔버(204)로서 사용하기에 적합할 수 있는 HVPE 증착 챔버의 예가 도 5와 관련하여 도시되고 설명된다. 도 5는 본 발명의 일 실시예에 따른, Ⅲ족 질화물 재료들의 제조를 위해 적합한 HVPE 챔버(500)의 개략적 단면도이다.
장치(500)는 리드(504)에 의해 둘러싸인 챔버(502)를 포함한다. 제 1 가스 소스(510)로부터의 프로세싱 가스는 가스 분배 샤워헤드(506)를 통하여 챔버(502)로 전달된다. 일 실시예에서, 가스 소스(510)는 질소 함유 화합물을 포함한다. 다른 실시예에서, 가스 소스(510)는 암모니아를 포함한다. 일 실시예에서, 헬륨 또는 이원자 질소(diatomic nitrogen)와 같은 불활성 가스가 또한, 가스 분배 샤워헤드(506)를 통하여 또는 챔버(502)의 벽들(508)을 통하여 도입된다. 에너지 소스(512)가 가스 소스(510)와 가스 분배 샤워헤드(506) 사이에 배치될 수 있다. 일 실시예에서, 에너지 소스(512)는 히터(heater)를 포함한다. 에너지 소스(512)는, 질소 함유 가스로부터의 질소가 더 반응적이도록, 가스 소스(510)로부터의 가스(이를 테면, 암모니아)를 분해(break)할 수 있다.
제 1 소스(510)로부터의 가스와 반응하기 위해, 하나 또는 그 초과의 제 2 소스들(518)로부터 전구체 재료가 전달될 수 있다. 전구체는, 전구체 소스(518)에서의 전구체 위에 및/또는 이러한 전구체를 통하여 반응성 가스를 유동시킴으로써 챔버(502)에 전달될 수 있다. 일 실시예에서, 반응성 가스는 이원자 염소(diatomic chlorine)와 같은 염소 함유 가스를 포함한다. 염소 함유 가스는 전구체 소스와 반응하여 염화물을 형성할 수 있다. 전구체와 반응하도록 염소 함유 가스의 유효성(effectiveness)을 증가시키기 위해, 염소 함유 가스는 챔버(532)에서의 보트 영역을 통하여 굽이치며 나아갈 수 있고(snaking) 그리고 저항성 히터(520)에 의해 가열될 수 있다. 염소 함유 가스가 챔버(532)를 통하여 굽이치며 나아가는 체류 시간(residence time)을 증가시킴으로써, 염소 함유 가스의 온도가 제어될 수 있다. 염소 함유 가스의 온도를 증가시킴으로써, 염소는 전구체와 더 빠르게 반응할 수 있다. 다시 말하면, 온도는 염소와 전구체 사이의 반응을 위한 촉매이다.
전구체의 반응성을 증가시키기 위해, 전구체는 보트에서 제 2 챔버(532) 내의 저항성 히터(520)에 의해 가열될 수 있다. 그런 다음, 염화물 반응 생성물이 챔버(502)에 전달될 수 있다. 반응성의 염화물 생성물은 먼저 튜브(522)에 진입하여, 튜브(522) 내에서 균등하게 분배된다. 튜브(522)는 다른 튜브(524)에 연결된다. 염화물 반응 생성물은, 그것이 제 1 튜브(522) 내에서 균일하게 분배된 후, 제 2 튜브(524)로 진입한다. 이후, 염화물 반응 생성물은 챔버(502) 내로 진입하여 질소 함유 가스와 혼합됨으로써, 서셉터(susceptor)(514) 상에 배치되는 기판(516) 상에 질화물 층을 형성한다. 일 실시예에서, 서셉터(514)는 실리콘 카바이드를 포함한다. 질화물 층은, 예를 들면, n-형 갈륨 질화물을 포함할 수 있다. 질소 및 염소와 같은 다른 반응 생성물들은 배기구(526)를 통하여 배기된다.
LED들 및 관련된 디바이스들은, 예를 들면, Ⅲ-Ⅴ족 필름들, 특히 Ⅲ족-질화물 필름들의 층들로부터 제조될 수 있다. 본 발명의 일부 실시예들은, 제조 툴의 전용 챔버에서, 이를 테면 전용 MOCVD 챔버에서, 갈륨 질화물(GaN) 층들을 형성하는 것에 관한 것이다. 본 발명의 일부 실시예들에서, GaN은 2원(binary) GaN 필름이지만, 다른 실시예들에서, GaN은 3원(ternary) 필름(예를 들면, InGaN, AlGaN)이거나 4원(quaternary) 필름(예를 들면, InAlGaN)이다. 적어도 일부 실시예들에서, Ⅲ족-질화물 재료 층들은 에피택셜 방식으로(epitaxially) 형성된다. 이러한 재료 층들은 기판 상에 또는 기판 상에 배치된 버퍼 층들 상에 직접 형성될 수 있다. 고려되는 다른 실시예들은 PVD-형성된 버퍼 층들, 예를 들면, PVD-형성된 알루미늄 질화물 상에 직접 증착되는 p-형 도핑된 갈륨 질화물 층들을 포함한다.
본 발명의 실시예들은, 상기 설명된, 선택 기판들 상에 층들을 형성하는 것으로 제한되지 않는다는 것을 이해해야 한다. 다른 실시예들은 임의의 적합한 비-패터닝된 또는 패터닝된 단결정 기판의 사용을 포함할 수 있으며, 이러한 단결정 기판 상에는, 고품질의 알루미늄 질화물 층이, 예를 들면, 비-반응성 PVD 접근법으로 스퍼터-증착될 수 있다. 기판은, 이를 테면 사파이어(Al2O3) 기판, 실리콘(Si) 기판, 실리콘 카바이드(SiC) 기판, 실리콘 온 다이아몬드(SOD; silicon on diamond) 기판, 석영(SiO2) 기판, 유리 기판, 산화아연(ZnO) 기판, 산화마그네슘(MgO) 기판 및 리튬 산화알루미늄(LiAlO2) 기판과 같은 것을 포함할 수 있지만, 이것들로 제한되지 않는다. 마스킹 및 식각과 같은 임의의 잘 알려진 방법을 이용하여, 평면 기판으로부터 포스트(post)들과 같은 피쳐들을 형성함으로써, 패터닝된 기판을 생성할 수 있다. 하지만, 특정 실시예에서는, (0001) 배향을 갖는 패터닝된 사파이어 기판(PSS)이 사용된다. 패터닝된 사파이어 기판들은, 이들이 새로운 세대의 고체 조명(solid state lighting) 디바이스들의 제조에서 대단히 유용한 광 추출 효율을 증가시키기 때문에, LED들의 제조에 사용하기에 이상적일 수 있다. 기판 선택 기준들은 결함 형성을 완화시키기 위한 격자 정합 및 열 응력들을 완화시키기 위한 열팽창 계수(CTE) 정합을 포함할 수 있다.
상기 설명한 바와 같이, Ⅲ족-질화물 필름들은 도핑될 수 있다. Ⅲ족-질화물 필름들은, 제한되는 것은 아니지만, Mg, Be, Ca, Sr, 또는 임의의 Ⅰ족 또는 2 원자가 전자들을 갖는 Ⅱ족 원소와 같은 임의의 p-형 도펀트를 이용하여, p-형 도핑될 수 있다. Ⅲ족-질화물 필름들은 1×1016 내지 1×1020 atoms/cm3의 전도성 레벨로 p-형 도핑될 수 있다. Ⅲ족-질화물 필름들은, 제한되는 것은 아니지만, 실리콘 또는 산소, 또는 임의의 적합한 Ⅳ족 또는 Ⅵ족 원소와 같은 임의의 n-형 도펀트를 이용하여 n-형 도핑될 수 있다. Ⅲ족-질화물 필름들은 1×1016 내지 1×1023 atoms/cm3의 전도성 레벨로 n-형 도핑될 수 있다.
상기 프로세스들은, 클러스터 툴, 또는 하나 초과의 챔버를 갖는 다른 툴, 예를 들면, LED의 층들을 제조하기 위한 전용 챔버를 갖도록 배열된 인-라인 툴 내의 전용 챔버에서 수행될 수 있다는 것을 이해해야 한다. 또한, 본 발명의 실시예들은 LED들의 제조로 제한될 필요가 없다는 것을 이해해야 한다. 예를 들면, 다른 실시예에서는, LED 디바이스들 이외의 디바이스들, 예를 들면, 제한되는 것은 아니지만, 전계-효과 트랜지스터(FET) 디바이스들이 본원에서 설명된 접근법들에 의해 제조될 수 있다. 이러한 실시예들에서는, 층들의 구조의 상부에 p-형 재료가 필요하지 않을 수 있다. 대신에, n-형 또는 도핑되지 않은 재료가 p-형 층 대신에 사용될 수 있다. 또한, 증착 및/또는 열 어닐링의 다양한 조합들과 같은 다수의 동작들이 단일 프로세스 챔버에서 수행될 수 있다는 것을 이해해야 한다.
이와 같이, PVD-형성된 알루미늄 질화물 버퍼 층들의 제조가 개시되었다.

Claims (15)

  1. 기판 ― 상기 기판은 다이아몬드 기판, LiAlO2기판, W 기판, Cu 기판, AlGaN 기판, 및 소다 라임/하이 실리카 유리(soda lime/high silica glass) 기판으로 이루어진 그룹으로부터 선택된 하나의 기판임 ―;
    상기 기판 위에 배치된 알루미늄 질화물(AlN) 버퍼 층;
    상기 AlN 버퍼 층 위에 배치된 갈륨 질화물(GaN)-기반 디바이스 ― 상기 AlN 버퍼 층은, 200 arcsec 미만의 (002) 피크(peak)의 FWHM을 갖는 (002) 방향에서의 결정 배향, 및 1 나노미터 RMS(root mean square) 미만의 거칠기(roughness)를 갖는 원자적으로 평탄한 표면(atomically smooth surface)을 가짐 ―; 및
    상기 기판과 상기 AlN 버퍼 층 사이에 직접적으로 배치된 프리-씨딩 층(pre-seeding layer) ― 상기 프리-씨딩 층은 SiN, ZnO, ZnS, ZrN 및 TiN으로 이루어진 그룹으로부터 선택되는 층을 포함함 ―
    을 포함하는,
    반도체 장치.
  2. 제 1 항에 있어서,
    상기 AlN 버퍼 층은 500 나노미터 미만의 두께를 갖는,
    반도체 장치.
  3. 제 1 항에 있어서,
    상기 AlN 버퍼 층의 상기 (002) 방향에서의 상기 결정 배향은 50 arcsec 미만의 (002) 피크의 FWHM을 갖는,
    반도체 장치.
  4. 갈륨 질화물(GaN)-기반 디바이스를 형성하기 위하여 기판 위에 버퍼 층을 제조하는 방법으로서,
    기판의 표면 상에 프리-씨딩 층을 형성하는 단계; 및
    질소-기반 가스 또는 플라즈마를 이용하여, 물리 기상 증착(PVD) 챔버 내에 하우징된 알루미늄-함유 타겟으로부터 상기 프리-씨딩 층 상에 알루미늄 질화물(AlN) 층을 반응성 스퍼터링하는 단계 ― 상기 알루미늄-함유 타겟은 Al-함유 금속 타겟, Al-함유 합금 타겟, 또는 Al-함유 화합물 타겟으로 이루어진 그룹으로부터 선택된 하나의 타겟이고, 상기 알루미늄-함유 타겟은 Ⅱ 족 원소들 및 Ⅵ 족 원소들로 이루어진 그룹으로부터 선택되는 원소들로 도핑됨 ―를 포함하는,
    기판 위에 버퍼 층을 제조하는 방법.
  5. 제 4 항에 있어서,
    상기 프리-씨딩 층을 형성하는 단계는, Al, AlOx, SiNx, ZnO, ZnS, ZrN 및 TiN으로 이루어진 그룹으로부터 선택되는 층을 형성하는 단계를 포함하는,
    기판 위에 버퍼 층을 제조하는 방법.
  6. 제 4 항 또는 제 5 항에 있어서,
    상기 프리-씨딩 층을 형성하는 단계는 Al-함유 타겟의 무질소 스퍼터링(nitrogen-free sputtering)하는 단계를 포함하는,
    기판 위에 버퍼 층을 제조하는 방법.
  7. 제 4 항 또는 제 5 항에 있어서,
    상기 프리-씨딩 층을 형성하는 단계는 AlN 층의 상기 반응성 스퍼터링 동안 상기 기판의 표면을 위한 보호를 제공하는,
    기판 위에 버퍼 층을 제조하는 방법.
  8. 제 4 항 또는 제 5 항에 있어서,
    상기 AlN 층의 반응성 스퍼터링 이전에, 열 선처리(pre-treatment), 화학적 선처리 및 물리적 선처리로 이루어진 그룹으로부터 선택된 기술을 이용하여 상기 기판을 선처리하는 단계를 더 포함하는,
    기판 위에 버퍼 층을 제조하는 방법.
  9. 제 4 항 또는 제 5 항에 있어서,
    상기 기판은 사파이어 기판, SiC 기판, Si 기판, 다이아몬드 기판, LiAlO2 기판, ZnO 기판, W 기판, Cu 기판, GaN 기판, AlGaN 기판, 및 소다 라임/하이 실리카 유리 기판으로 이루어진 그룹으로부터 선택된 하나의 기판인,
    기판 위에 버퍼 층을 제조하는 방법.
  10. 제 4 항 또는 제 5 항에 있어서,
    상기 질소-기반 가스 또는 플라즈마는 N2, NH3, NO2, 및 NO로 이루어진 그룹으로부터 선택된 가스를 포함하거나 또는 상기 선택된 가스를 기반으로 하는,
    기판 위에 버퍼 층을 제조하는 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020147033306A 2012-04-26 2013-04-24 Led 제조를 위한 pvd 버퍼 층들 KR102051026B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261638893P 2012-04-26 2012-04-26
US61/638,893 2012-04-26
US13/868,385 2013-04-23
US13/868,385 US9396933B2 (en) 2012-04-26 2013-04-23 PVD buffer layers for LED fabrication
PCT/US2013/038034 WO2013163323A1 (en) 2012-04-26 2013-04-24 Pvd buffer layers for led fabrication

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197034928A Division KR102169569B1 (ko) 2012-04-26 2013-04-24 Led 제조를 위한 pvd 버퍼 층들

Publications (2)

Publication Number Publication Date
KR20150014470A KR20150014470A (ko) 2015-02-06
KR102051026B1 true KR102051026B1 (ko) 2019-12-02

Family

ID=49476517

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197034928A KR102169569B1 (ko) 2012-04-26 2013-04-24 Led 제조를 위한 pvd 버퍼 층들
KR1020147033306A KR102051026B1 (ko) 2012-04-26 2013-04-24 Led 제조를 위한 pvd 버퍼 층들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197034928A KR102169569B1 (ko) 2012-04-26 2013-04-24 Led 제조를 위한 pvd 버퍼 층들

Country Status (6)

Country Link
US (2) US9396933B2 (ko)
JP (1) JP6339066B2 (ko)
KR (2) KR102169569B1 (ko)
CN (2) CN109119518B (ko)
TW (1) TWI603499B (ko)
WO (1) WO2013163323A1 (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9396933B2 (en) * 2012-04-26 2016-07-19 Applied Materials, Inc. PVD buffer layers for LED fabrication
US9929310B2 (en) * 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
DE102013112785B3 (de) * 2013-11-19 2015-02-26 Aixatech Gmbh Verfahren zur Herstellung eines Verbundkörpers mit zumindest einer funktionellen Schicht oder zur weiteren Herstellung elektronischer oder opto-elektronischer Bauelemente
KR102145205B1 (ko) * 2014-04-25 2020-08-19 삼성전자주식회사 반도체 소자 제조방법 및 증착 장치의 유지보수방법
US11322643B2 (en) 2014-05-27 2022-05-03 Silanna UV Technologies Pte Ltd Optoelectronic device
JP6636459B2 (ja) 2014-05-27 2020-01-29 シランナ・ユー・ブイ・テクノロジーズ・プライベート・リミテッドSilanna Uv Technologies Pte Ltd 半導体構造と超格子とを用いた高度電子デバイス
KR102427203B1 (ko) 2014-05-27 2022-07-29 실라나 유브이 테크놀로지스 피티이 리미티드 n-형 및 p-형 초격자를 포함하는 전자 디바이스
CN106663718B (zh) 2014-05-27 2019-10-01 斯兰纳Uv科技有限公司 光电装置
US10263139B2 (en) 2014-07-24 2019-04-16 Xiamen Sanan Optoelectronics Technology Co., Ltd. Fabrication method of nitride light emitting diodes
CN104103720A (zh) * 2014-07-24 2014-10-15 安徽三安光电有限公司 一种氮化物半导体的制备方法
US9876143B2 (en) * 2014-10-01 2018-01-23 Rayvio Corporation Ultraviolet light emitting device doped with boron
KR102369933B1 (ko) * 2015-08-03 2022-03-04 삼성전자주식회사 반도체 발광소자 및 그 제조 방법
CN106711020B (zh) * 2015-11-18 2021-09-17 北京北方华创微电子装备有限公司 衬底的氮化方法及氮化镓缓冲层的制备方法
CN105590839B (zh) * 2016-03-22 2018-09-14 安徽三安光电有限公司 氮化物底层、发光二极管及底层制备方法
US10170303B2 (en) 2016-05-26 2019-01-01 Robbie J. Jorgenson Group IIIA nitride growth system and method
CN107492478B (zh) * 2016-06-12 2019-07-19 北京北方华创微电子装备有限公司 半导体设备的成膜方法以及半导体设备的氮化铝成膜方法
US10643843B2 (en) 2016-06-12 2020-05-05 Beijing Naura Microelectronics Equipment Co., Ltd. Film forming method and aluminum nitride film forming method for semiconductor apparatus
TWI589023B (zh) * 2016-06-27 2017-06-21 國立暨南國際大學 半導體裝置用基材及使用其之半導體裝置
CN106992231B (zh) * 2017-04-06 2019-05-21 厦门三安光电有限公司 氮化物半导体元件及其制作方法
KR101996424B1 (ko) * 2017-04-24 2019-07-04 아주대학교산학협력단 레이저 다이오드 및 그 제조 방법
CN107119323B (zh) * 2017-04-27 2019-08-06 云南北方驰宏光电有限公司 一种CVDZnS晶体材料的掺杂改性方法
JP6660603B2 (ja) * 2017-12-05 2020-03-11 パナソニックIpマネジメント株式会社 スパッタリング方法
US11021788B2 (en) * 2017-12-05 2021-06-01 Panasonic Intellectual Property Management Co., Ltd. Sputtering method
CN109326696B (zh) * 2018-09-19 2021-04-27 华灿光电(苏州)有限公司 一种发光二极管的外延片的制备方法
US11355620B2 (en) * 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
CN109768125A (zh) * 2018-12-29 2019-05-17 晶能光电(江西)有限公司 硅基外延片生长方法
CN109888063B (zh) * 2019-01-23 2020-07-07 华灿光电(浙江)有限公司 AlN模板及氮化镓基发光二极管外延片的制备方法
CN109962129B (zh) * 2019-01-28 2020-12-08 华灿光电(浙江)有限公司 AlN模板及氮化镓基发光二极管外延片的制备方法
TWI698546B (zh) * 2019-06-20 2020-07-11 環球晶圓股份有限公司 具有氮化鋁成核層的基板及其製造方法
CN111740004B (zh) * 2020-08-10 2020-11-27 上海陛通半导体能源科技股份有限公司 氮化铝基薄膜结构、半导体器件及其制备方法
CN111739790B (zh) * 2020-08-25 2020-12-18 中电化合物半导体有限公司 一种氮化镓薄膜的外延结构及制备方法
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010073760A (ja) * 2008-09-16 2010-04-02 Showa Denko Kk Iii族窒化物半導体積層構造体およびその製造方法
US20110189837A1 (en) * 2008-07-21 2011-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Realizing N-Face III-Nitride Semiconductors by Nitridation Treatment

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992016966A1 (en) 1991-03-18 1992-10-01 Boston University A method for the preparation and doping of highly insulating monocrystalline gallium nitride thin films
JP2906971B2 (ja) 1993-12-30 1999-06-21 日本電気株式会社 半導体記憶装置の製造方法
US5652176A (en) 1995-02-24 1997-07-29 Motorola, Inc. Method for providing trench isolation and borderless contact
US6657300B2 (en) * 1998-06-05 2003-12-02 Lumileds Lighting U.S., Llc Formation of ohmic contacts in III-nitride light emitting devices
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
JP3702721B2 (ja) * 1999-03-09 2005-10-05 豊田合成株式会社 Iii族窒化物系化合物半導体素子
KR100540246B1 (ko) * 1999-12-22 2006-01-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP3836697B2 (ja) * 2000-12-07 2006-10-25 日本碍子株式会社 半導体素子
US7501023B2 (en) * 2001-07-06 2009-03-10 Technologies And Devices, International, Inc. Method and apparatus for fabricating crack-free Group III nitride semiconductor materials
JP3768943B2 (ja) * 2001-09-28 2006-04-19 日本碍子株式会社 Iii族窒化物エピタキシャル基板、iii族窒化物素子用エピタキシャル基板及びiii族窒化物素子
JP2003171200A (ja) * 2001-12-03 2003-06-17 Canon Inc 化合物半導体の結晶成長法、及び化合物半導体装置
JP2004006461A (ja) * 2002-05-31 2004-01-08 Nec Corp 半導体装置
JP3867161B2 (ja) * 2002-09-20 2007-01-10 独立行政法人物質・材料研究機構 薄膜素子
JP4530171B2 (ja) * 2003-08-08 2010-08-25 サンケン電気株式会社 半導体装置
US7012016B2 (en) * 2003-11-18 2006-03-14 Shangjr Gwo Method for growing group-III nitride semiconductor heterostructure on silicon substrate
KR100576857B1 (ko) * 2003-12-24 2006-05-10 삼성전기주식회사 GaN 반도체 발광소자 및 그 제조방법
JP4833616B2 (ja) * 2004-09-13 2011-12-07 昭和電工株式会社 Iii族窒化物半導体の製造方法
SG145706A1 (en) * 2005-02-02 2008-09-29 Agency Science Tech & Res Method and structure for fabricating iii-v nitride layers on silicon substrates
JP4441415B2 (ja) * 2005-02-07 2010-03-31 国立大学法人東京工業大学 窒化アルミニウム単結晶積層基板
US7776636B2 (en) * 2005-04-25 2010-08-17 Cao Group, Inc. Method for significant reduction of dislocations for a very high A1 composition A1GaN layer
JP4722579B2 (ja) * 2005-06-22 2011-07-13 パナソニック株式会社 共振器およびこれを用いたフィルタ回路の製造方法
US7795050B2 (en) * 2005-08-12 2010-09-14 Samsung Electronics Co., Ltd. Single-crystal nitride-based semiconductor substrate and method of manufacturing high-quality nitride-based light emitting device by using the same
KR100753152B1 (ko) * 2005-08-12 2007-08-30 삼성전자주식회사 질화물계 발광소자 및 그 제조방법
US20110008205A1 (en) * 2006-01-14 2011-01-13 Mangiardi John R Multifunctional floor pads
KR101281684B1 (ko) * 2008-01-25 2013-07-05 성균관대학교산학협력단 질화물 반도체 기판의 제조방법
US20110147786A1 (en) * 2008-04-08 2011-06-23 Song June O Light-emitting device and manufacturing method thereof
US20100176369A2 (en) * 2008-04-15 2010-07-15 Mark Oliver Metalized Silicon Substrate for Indium Gallium Nitride Light-Emitting Diodes
CN101335200B (zh) * 2008-08-01 2011-08-17 武汉大学 一种AlN薄膜的制备方法
US8803189B2 (en) * 2008-08-11 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. III-V compound semiconductor epitaxy using lateral overgrowth
JP2011057474A (ja) * 2009-09-07 2011-03-24 Univ Of Tokyo 半導体基板、半導体基板の製造方法、半導体成長用基板、半導体成長用基板の製造方法、半導体素子、発光素子、表示パネル、電子素子、太陽電池素子及び電子機器
US8642368B2 (en) 2010-03-12 2014-02-04 Applied Materials, Inc. Enhancement of LED light extraction with in-situ surface roughening
KR20110103607A (ko) 2010-03-15 2011-09-21 엘지이노텍 주식회사 반도체 발광소자 및 그 제조방법
US20110244663A1 (en) * 2010-04-01 2011-10-06 Applied Materials, Inc. Forming a compound-nitride structure that includes a nucleation layer
TWI649895B (zh) * 2010-04-30 2019-02-01 美國波士頓大學信託會 具能帶結構位變動之高效率紫外光發光二極體
CN102345091A (zh) * 2010-07-29 2012-02-08 鸿富锦精密工业(深圳)有限公司 涂层、具有该涂层的被覆件及该被覆件的制备方法
CN102345092A (zh) * 2010-07-29 2012-02-08 鸿富锦精密工业(深圳)有限公司 涂层、具有该涂层的被覆件及该被覆件的制备方法
CN102383093A (zh) * 2010-08-31 2012-03-21 鸿富锦精密工业(深圳)有限公司 涂层、具有该涂层的被覆件及该被覆件的制备方法
CN102477531B (zh) * 2010-11-26 2015-03-25 鸿富锦精密工业(深圳)有限公司 被覆件及其制造方法
CN102548308A (zh) * 2010-12-13 2012-07-04 鸿富锦精密工业(深圳)有限公司 壳体及其制造方法
US8409895B2 (en) * 2010-12-16 2013-04-02 Applied Materials, Inc. Gallium nitride-based LED fabrication with PVD-formed aluminum nitride buffer layer
US20120158819A1 (en) 2010-12-21 2012-06-21 Microsoft Corporation Policy-based application delivery
CN102560347A (zh) * 2010-12-27 2012-07-11 鸿富锦精密工业(深圳)有限公司 具有硬质涂层的被覆件及其制备方法
CN102534489A (zh) * 2010-12-30 2012-07-04 鸿富锦精密工业(深圳)有限公司 镀膜件及其制造方法
KR101042562B1 (ko) * 2011-02-28 2011-06-20 박건 Wurtzite 파우더를 이용한 질화물계 발광소자 및 그 제조 방법
US8778783B2 (en) * 2011-05-20 2014-07-15 Applied Materials, Inc. Methods for improved growth of group III nitride buffer layers
US8980002B2 (en) * 2011-05-20 2015-03-17 Applied Materials, Inc. Methods for improved growth of group III nitride semiconductor compounds
US20130005118A1 (en) * 2011-07-01 2013-01-03 Sung Won Jun Formation of iii-v materials using mocvd with chlorine cleans operations
US8865565B2 (en) * 2011-08-02 2014-10-21 Kabushiki Kaisha Toshiba LED having a low defect N-type layer that has grown on a silicon substrate
US20130082274A1 (en) * 2011-09-29 2013-04-04 Bridgelux, Inc. Light emitting devices having dislocation density maintaining buffer layers
US9396933B2 (en) * 2012-04-26 2016-07-19 Applied Materials, Inc. PVD buffer layers for LED fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110189837A1 (en) * 2008-07-21 2011-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Realizing N-Face III-Nitride Semiconductors by Nitridation Treatment
JP2010073760A (ja) * 2008-09-16 2010-04-02 Showa Denko Kk Iii族窒化物半導体積層構造体およびその製造方法

Also Published As

Publication number Publication date
KR102169569B1 (ko) 2020-10-23
CN109119518B (zh) 2021-04-02
TWI603499B (zh) 2017-10-21
CN104246980A (zh) 2014-12-24
US20130285065A1 (en) 2013-10-31
KR20150014470A (ko) 2015-02-06
JP6339066B2 (ja) 2018-06-06
TW201401555A (zh) 2014-01-01
US20160293798A1 (en) 2016-10-06
WO2013163323A1 (en) 2013-10-31
JP2015524020A (ja) 2015-08-20
CN104246980B (zh) 2018-08-07
KR20190133304A (ko) 2019-12-02
CN109119518A (zh) 2019-01-01
US11011676B2 (en) 2021-05-18
US9396933B2 (en) 2016-07-19

Similar Documents

Publication Publication Date Title
KR102051026B1 (ko) Led 제조를 위한 pvd 버퍼 층들
US11575071B2 (en) Oxygen controlled PVD ALN buffer for GAN-based optoelectronic and electronic devices
US8409895B2 (en) Gallium nitride-based LED fabrication with PVD-formed aluminum nitride buffer layer
KR102168043B1 (ko) 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들
US10439099B2 (en) UV light emitting devices and systems and methods for production

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant