KR101879021B1 - 반송 방법 및 기판 처리 장치 - Google Patents

반송 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR101879021B1
KR101879021B1 KR1020150029693A KR20150029693A KR101879021B1 KR 101879021 B1 KR101879021 B1 KR 101879021B1 KR 1020150029693 A KR1020150029693 A KR 1020150029693A KR 20150029693 A KR20150029693 A KR 20150029693A KR 101879021 B1 KR101879021 B1 KR 101879021B1
Authority
KR
South Korea
Prior art keywords
horizontal direction
container
transporting
storage container
flange
Prior art date
Application number
KR1020150029693A
Other languages
English (en)
Other versions
KR20150104047A (ko
Inventor
히로시 기쿠치
에이키 후지이
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150104047A publication Critical patent/KR20150104047A/ko
Application granted granted Critical
Publication of KR101879021B1 publication Critical patent/KR101879021B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers

Abstract

[과제] 본 발명은 경량의 반송 기구에 의해, 기판이 수납된 캐리어를 반송할 수 있는 반송 방법을 제공하는 것이다.
[해결수단] 기판 처리 장치 내에서, 기판을 밀폐 수납 가능하며 상부에 플랜지부가 형성된 수납 용기를, 플랜지 삽입부를 갖는 핸드부를 구비한 반송 기구를 이용하여, 제1 수평 방향으로 적어도 2개 이상 나란히 설치되며 각각이 상기 제1 수평 방향에 수직인 제2 수평 방향으로 수평 이동 가능한 배치대로부터, 상기 배치대로부터 상기 제2 수평 방향측으로 이격되어 설치된 반송 장소로 반송하는 반송 방법으로서, 반송 대상인 상기 수납 용기가 배치된 상기 배치대를, 상기 제2 수평 방향의 상기 반송 장소측으로 정해진 거리 이동시키는 제1 공정과, 상기 플랜지 삽입부 내에 상기 플랜지부가 삽입되도록, 상기 핸드부를, 반송 대상인 상기 수납 용기가 배치된 배치측과 이웃하는 상기 배치대측으로부터 상기 제1 수평 방향으로 슬라이드 이동시키는 제2 공정과, 상기 핸드부를 통해, 상기 수납 용기를 상기 배치대로부터 상기 반송 장소로 반송하는 제3 공정을 포함하는 반송 방법.

Description

반송 방법 및 기판 처리 장치{CONVEYING METHOD AND SUBSTRATE PROCESSING APPARATUS}
본 발명은, 반송 방법 및 기판 처리 장치에 관한 것이다.
반도체 장치의 제조에 있어서는, 피처리체인 각종 기판(예컨대, 반도체 웨이퍼)에 대하여, 성막 처리, 산화 처리, 확산 처리, 어닐링 처리 등의 기판 처리가 실시된다. 이들 기판 처리를 실시하는 기판 처리 장치는, 예컨대, 복수매의 웨이퍼가 수납된 캐리어를 처리 장치 내에 반입하기 위한 배치대(로드 포트), 캐리어 내에 수납된 웨이퍼를 웨이퍼 보트에 반송할 때에 캐리어를 유지하는 유지대(FIMS 포트), 캐리어를 일시적으로 스톡하기 위한 수납 선반(스토커)을 갖는다.
일반적으로, 기판 처리 장치 내에서의 캐리어의 반송은, 반송 기구의 핸드부에 의해 캐리어의 상부에 설치된 플랜지부가 파지된 상태(예컨대, 특허문헌 1 참조) 또는 반송 기구에 설치된 키네마틱 핀 상에 캐리어를 배치한 상태로 실시된다.
기판 처리 장치 내에 웨이퍼를 반입함에 있어서는, 우선 웨이퍼가 수납된 캐리어가 로드 포트에 배치된다. 그 후, 캐리어는 반송 기구에 의해, 로드 포트로부터 스토커 또는 FIMS 포트로 반송된다. 그리고, FIMS 포트 상의 캐리어로부터 웨이퍼가 취출되고, 웨이퍼를 각종 처리한 후에 다시 캐리어에 수납되어 다음 공정으로 반출된다.
특허문헌 1 : 일본 특허 공개 제2004-363363호 공보
최근, 칩 사이즈의 대형화나 생산성을 향상시키는 관점에서, 대구경 사이즈의 반도체 웨이퍼가 개발되고 있다. 반도체 웨이퍼의 대구경화에 따라, 그 중량도 증대되기 때문에, 캐리어의 반송시에 있어서, 반송 기구의 아암부 등의 휘어짐이 커진다. 그 때문에, 반송 기구의 강성을 증대시키기 위해, 반송 기구의 크기, 중량이 커진다고 하는 문제점을 갖고 있었다.
그래서, 본 발명은, 경량의 반송 기구에 의해, 기판이 수납된 캐리어를 반송할 수 있는 반송 방법을 제공한다.
기판 처리 장치 내에서, 기판을 밀폐 수납 가능하며 상부에 플랜지부가 형성된 수납 용기를, 플랜지 삽입부를 갖는 핸드부를 구비한 반송 기구를 이용하여, 제1 수평 방향으로 적어도 2개 이상 나란히 설치되며 각각이 상기 제1 수평 방향에 수직인 제2 수평 방향으로 수평 이동 가능한 배치대로부터, 상기 배치대로부터 상기 제2 수평 방향측으로 이격되어 설치된 반송 장소로 반송하는 반송 방법으로서,
반송 대상인 상기 수납 용기가 배치된 상기 배치대를, 상기 제2 수평 방향의 상기 반송 장소측으로 정해진 거리 이동시키는 제1 공정과,
상기 플랜지 삽입부 내에 상기 플랜지부가 삽입되도록, 상기 핸드부를, 반송 대상인 상기 수납 용기가 배치된 배치대와 이웃하는 상기 배치대측으로부터 상기 제1 수평 방향으로 슬라이드 이동시키는 제2 공정과,
상기 핸드부를 통해, 상기 수납 용기를 상기 배치대로부터 상기 반송 장소로 반송하는 제3 공정
을 포함하는 반송 방법.
경량의 반송 기구에 의해, 기판이 수납된 캐리어를 반송할 수 있는 반송 방법을 제공할 수 있다.
도 1은 본 실시형태에 따른 기판 처리 장치의 일례의 개략 구성도이다.
도 2는 본 실시형태에 따른 기판 처리 장치의 일례의 개략 평면도이다.
도 3은 본 실시형태에 따른 캐리어 반송 영역의 일례의 개략 사시도이다.
도 4는 캐리어의 일례의 개략 구성도이다.
도 5는 본 실시형태에 따른 반송 방법의 일례의 흐름도이다.
도 6은 본 실시형태에 따른 반송 방법의 일례를 설명하기 위한 캐리어 반송 영역의 개략 평면도이다.
도 7은 본 실시형태에 따른 반송 방법의 다른 예를 설명하기 위한 캐리어 반송 영역의 개략 평면도이다.
이하, 첨부 도면을 참조하여 본 발명의 실시형태에 관해 설명한다.
(기판 처리 장치)
우선, 본 실시형태에 따른 반송 방법을 실시할 수 있는 기판 처리 장치의 구성예에 관해 설명한다. 도 1에, 본 실시형태에 따른 기판 처리 장치의 일례의 개략 구성도를 나타낸다. 또한, 도 2에, 본 실시형태에 따른 기판 처리 장치의 일례의 개략 평면도를 나타낸다. 또한, 도 3에, 본 실시형태에 따른 캐리어 반송 영역의 일례의 개략 사시도를 나타낸다. 또, 도 2에 있어서는, 설명을 위해, 도 1의 로드 포트(14)의 한쪽과 FIMS 포트(24)에 캐리어(C)가 배치되어 있지 않은 상태를 나타낸다.
또, 본 실시형태에 따른 반송 방법은, 종형 열처리 장치 이외의 여러가지 기판 처리 장치에 적용할 수 있지만, 이해를 쉽게 하기 위해, 본 실시형태에 있어서는, 구체적인 기판 처리 장치의 하나로서 종형 열처리 장치를 이용하여 실시한 예를 들어 설명한다.
도 1에 나타낸 바와 같이, 기판 처리 장치(100)는, 장치의 외장체를 구성하는 케이스(2)에 수용되어 구성된다. 케이스(2) 내에는, 피처리체인 반도체 웨이퍼(W)(이하, 웨이퍼(W))를 수용한 용기인 캐리어(C)가 장치에 대하여 반입, 반출되는 캐리어 반송 영역(S1)과, 캐리어(C) 내의 웨이퍼(W)를 반송하여 후술하는 열처리로(26) 내에 반입하는 웨이퍼 반송 영역(S2)이 형성되어 있다.
캐리어(C)의 구성의 상세에 관해서는 후술하지만, 웨이퍼(W)를 반송할 때에는, 웨이퍼(W)의 표면에 대한 이물질의 부착이나 자연 산화막의 형성을 방지하기 위해, FOUP(Front-Opening Unified Pod)라고 불리는 기판 수납 용기에 반도체 웨이퍼가 수용되고, 용기 내의 청정도가 정해진 레벨로 유지된다.
캐리어 반송 영역(S1)과 웨이퍼 반송 영역(S2)은 격벽(4)에 의해 구획되어 있다. 캐리어 반송 영역(S1)은, 대기 분위기하에 있는 영역이며, 웨이퍼(W)가 수납된 캐리어(C)를 기판 처리 장치(100) 내의 후술하는 요소 사이에서 반송하는, 외부로부터 기판 처리 장치(100) 내에 반입하는 또는 기판 처리 장치로부터 외부로 반출하는 영역이다. 한편, 웨이퍼 반송 영역(S2)은, 캐리어(C)로부터 웨이퍼(W)를 취출하여 각종 처리를 실시하는 영역이며, 웨이퍼(W)에 산화막이 형성되는 것을 방지하기 위해, 불활성 가스 분위기, 예컨대 질소(N2) 가스 분위기로 되어 있다. 이후의 설명에서는, 캐리어 반송 영역(S1) 및 웨이퍼 반송 영역(S2)의 배열 방향을 전후 방향(후술하는 제2 수평 방향에 대응)으로 하고, 캐리어 반송 영역(S1)측을 전방향, 웨이퍼 반송 영역(S2)측을 후방향으로 한다. 그리고, 이 전후 방향에 수직인 수평 방향을 좌우 방향(후술하는 제1 수평 방향에 대응)으로 한다.
또, 웨이퍼 반송 영역(S2)의 천장부에는, 도시하지 않은 HEPA 필터 또는 ULPA 필터 등의 필터 유닛이 설치되고, 이들 필터에 의해 청정화된 에어가 공급되는 구성이어도 좋다.
격벽(4)에는, 캐리어 반송 영역(S1)과 웨이퍼 반송 영역(S2) 사이에서 웨이퍼(W)를 반송하기 위한 반송구(6)가 형성되어 있다. 이 반송구(6)는, FIMS(Front-Opening Interface Mechanical Standard) 규격에 따른 도어 기구(8)에 의해 개폐된다.
캐리어 반송 영역(S1)에 관해 설명한다. 캐리어 반송 영역(S1)은, 제1 반송 영역(10)과, 제1 반송 영역(10)의 후방측에 위치하는 제2 반송 영역(12)으로 구성된다.
도 1에 나타낸 바와 같이, 제1 반송 영역(10)에는, 일례로서 상하 2단이며 각 단에 좌우 2개(도 2 참조)의 로드 포트(14)가 구비되어 있다. 로드 포트(14)는, 캐리어(C)가 기판 처리 장치(100)에 반입되었을 때에, 캐리어(C)를 받아들이는 반입용 배치대이다.
로드 포트(14)는, 케이스(2)의 벽이 개방된 개소에 설치되며, 외부로부터 기판 처리 장치(100)로의 액세스가 가능하게 되어 있다. 구체적으로는, 본 실시형태에 따른 기판 처리 장치(100)의 외부에 설치된 도시하지 않은 반송 장치에 의해, 로드 포트(14) 상으로의 캐리어(C)의 반입 배치와, 로드 포트(14)로부터 외부로의 캐리어(C)의 반출이 가능하게 되어 있다. 또한, 로드 포트(14)는 예컨대 상하로 2단 존재하기 때문에, 양쪽에서의 캐리어(C)의 반입 및 반출이 가능하게 되어 있다.
또한, 제1 반송 영역(10)의 상하 2단의 로드 포트(14)가 존재하지 않는 위치에는, 캐리어(C)를 많이 보관할 수 있도록 하기 위해, 후술하는 스토커(16)가 구비되어 있어도 좋다.
도 2에 나타낸 바와 같이, 로드 포트(14)의 캐리어(C) 배치면에는, 캐리어(C)를 위치 결정하는 위치 결정 핀(18)이 예컨대 3개소에 설치되어 있다. 또한, 적어도 로드 포트(14) 상에 캐리어(C)를 배치한 상태에 있어서, 로드 포트(14)는 전후 방향으로 이동 가능하게 구성되어 있다.
로드 포트(14)에는, 도 2에 나타낸 바와 같이, 공급 노즐(20a)과 배기 노즐(20b)이 설치되어 있어도 좋다. 캐리어(C)의 바닥면에는 흡기구(22a) 및 배기구(22b)(후술하는 도 4의 (b) 참조)가 설치되어 있는 것이 일반적이며, 로드 포트(14)는, 캐리어(C)가 배치되었을 때에, 캐리어(C)의 흡기구(22a)에 대응하는 위치에 공급 노즐(20a)이, 캐리어(C)의 배기구(22b)에 대응하는 위치에 배기 노즐(20b)이 설치되도록 해도 좋다. 이러한 공급 노즐(20a), 배기 노즐(20b)을 설치함으로써, 캐리어(C)가 로드 포트(14) 상에 배치되었을 때, 캐리어(C)의 내부에 불활성 가스를 공급하여 캐리어(C) 내부의 질소 치환을 행할 수 있다. 이에 따라, 공간 내가 불활성 가스로 채워져 있지만, 불활성 가스의 공급이 없는 상태로 반송되어 온 캐리어(C)는, 로드 포트(14)에 반입된 단계에서 바로 불활성 가스의 공급을 재개할 수 있다.
제2 반송 영역(12)의 하부측에는, 좌우 방향으로 나란히 2개의 FIMS 포트(24)가 배치되어 있다. FIMS 포트(24)는, 캐리어(C) 내의 웨이퍼(W)를, 웨이퍼 반송 영역(S2) 내의 후술하는 열처리로(26)에 대하여 반입 및 반출할 때에 캐리어(C)를 유지하는 유지대이다. FIMS 포트(24)는, 전후 방향으로 이동 가능하게 구성되어 있다. 도 2에 나타낸 바와 같이, FIMS 포트(24)의 배치면에도, 로드 포트(14)와 마찬가지로, 캐리어(C)를 위치 결정하는 위치 결정 핀(18)이 3개소에 설치되어 있다. 또한, FIMS 포트(24)의 배치면에는, 캐리어(C)를 고정하기 위한 후크(28)가 설치되어 있다.
제2 반송 영역(12)의 상부측에는, 캐리어(C)를 보관하는 스토커(16)가 설치되어 있다. 스토커(16)는, 2단 이상(도 1에 나타내는 예에서는 3단)의 선반에 의해 구성되어 있고, 각각의 선반은 좌우 방향으로 2개 이상의 캐리어(C)를 배치할 수 있다. 또한, 제2 반송 영역(12)의 하부측으로서, 캐리어 배치대가 배치되어 있지 않은 영역에도, 스토커(16)를 배치하는 구성이어도 좋다.
스토커(16)의 바닥면에도, 로드 포트(14)와 동일하게, 전술한 공급 노즐(20a) 및 배기 노즐(20b)을 설치하고, 스토커(16) 상에 배치된 캐리어(C)의 내부를 불활성 가스로 치환 가능하게 하는 구성이어도 좋다.
제1 반송 영역(10)과 제2 반송 영역(12) 사이에는, 캐리어(C)를 로드 포트(14)와 FIMS 포트(24)와 스토커(16)와의 사이에서 반송하는 캐리어 반송 기구(30)가 설치되어 있다.
도 2에 나타낸 바와 같이, 캐리어 반송 기구(30)는, 상하 방향으로 신장되는 제1 가이드부(32)와, 이 제1 가이드부(32)에 접속되어 좌우 방향(제1 수평 방향)으로 신장되는 제2 가이드부(34)와, 이 제2 가이드부(34)에 가이드되면서 좌우 방향으로 이동하는 이동부(36)와, 이 이동부(36)에 설치되는 (다)관절 아암부(38)(도 2에 나타내는 예에서는 하나의 관절을 갖는 2개의 아암부)를 구비하고 있다.
또한, 도 1에 나타낸 바와 같이, 다관절 아암부(38)의 선단에는, 캐리어(C)의 후술하는 플랜지부(40)가 삽입 가능하며 플랜지부(40)를 계지(係止) 가능하게 구성된 플랜지 삽입부(42)가 형성된 핸드부(44)가 설치되어 있다. 보다 구체적으로는, 본 실시형태에 있어서, 핸드부(44)의 단면은, 도 1에 일례를 나타낸 바와 같이 대략 C자형으로 할 수 있다. 즉, 플랜지 삽입부(42)의 양측에는, 한쌍의 오목부(46)가 연장 형성되어 있다. 그리고, 핸드부(44)의 하단에는, 이 한쌍의 오목부(46)의 각각의 일측면을 이루며, 플랜지부(40)를 계지하는 한쌍의 계지부(48)가 형성되어 있다. 이 한쌍의 계지부(48)는, 상면이 플랜지부(40)의 하면을 지지함으로써, 플랜지부(40)를 지지 가능하게 구성되어 있다. 그 때문에, 대향하는 계지부(48) 사이의 거리는, 캐리어(C)의 후술하는 헤드부(76)의 폭보다 약간 크게 설계되고, 플랜지 삽입부(42)의 폭은, 캐리어(C)의 플랜지부(40)의 폭보다 약간 크게 설계되어 있다. 또, 계지부(48)에는, 캐리어(C)의 플랜지부(40)와의 위치 맞춤을 위해, 플랜지부(40)와 계합하는 구성이 설치되어 있어도 좋다.
전술한 바와 같이, 격벽(4)에는, 캐리어 반송 영역(S1)과 웨이퍼 반송 영역(S2)를 연통시키는 웨이퍼(W)의 반송구(6)가 형성되어 있다. 반송구(6)에는, 반송구(6)를 웨이퍼 반송 영역(S2)측으로부터 막는 도어 기구(8)가 설치되어 있다. 도어 기구(8)에는, 도시하지 않은 구동 기구가 접속되어 있고, 구동 기구에 의해 도어 기구(8)는 전후 방향 및 상하 방향으로 이동 가능하게 구성되어 반송구(6)가 개폐된다.
다음으로, 웨이퍼 반송 영역(S2)에 관해 설명한다.
웨이퍼 반송 영역(S2)에는, 하단이 로구(爐口)로서 개구된 종형의 열처리로(26)가 설치되어 있다. 이 열처리로(26)의 하방측에는, 다수매의 웨이퍼(W)를 선반형으로 유지하는 웨이퍼 보트(50)가, 보온통(52)을 통해 덮개(54) 위에 배치되어 있다. 환언하면, 덮개(54)는, 웨이퍼 보트(50)의 하방측에, 웨이퍼 보트(50)와 일체적으로 설치되어 있다.
덮개(54)는, 도시하지 않은 승강 기구 상에 지지되어 있고, 이 승강 기구에 의해 웨이퍼 보트(50)가 열처리로(26)에 대하여 반입 또는 반출된다.
웨이퍼 보트(50)는, 예컨대 석영제이며, 대구경 예컨대 직경 450 mm 또는 300 mm 등의 웨이퍼(W)를, 수평 상태로 상하 방향으로 정해진 간격으로 탑재하도록 구성되어 있다. 일반적으로, 웨이퍼 보트(50)에 수용되는 웨이퍼(W)의 매수는, 한정되지 않지만, 예컨대 50∼150장 정도이다.
또한, 웨이퍼 보트(50)와 격벽(4)의 반송구(6) 사이에는, 웨이퍼 반송 기구(56)가 설치되어 있다. 도 2에 나타낸 바와 같이, 이 웨이퍼 반송 기구(56)는, FIMS 포트(24) 상에 유지된 캐리어(C)와, 웨이퍼 보트(50) 사이에서 웨이퍼(W)의 반송을 행하기 위한 것이다. 웨이퍼 반송 기구(56)는, 좌우 방향으로 신장되는 가이드 기구(58)를 따라서 이동하며, 연직축 둘레에 회동하는 이동체(60)에, 5장의 진퇴 가능한 포크(62)(반송판)를 설치하여 구성된다.
(캐리어)
다음으로, 캐리어(C)의 구성에 관해, 도 4의 (a), 도 4의 (b)를 참조하여 설명한다.
도 4의 (a)에, 캐리어(C)의 일례의 개략 사시도를 나타낸다. 또한, 도 4의 (b)에, 캐리어(C)의 바닥면의 일례의 개략도를 나타낸다.
캐리어(C)는, 주로, 일측면에 개구부(64)가 형성된 수납 용기 본체(66)와, 이 개구부(64)를 밀봉하는 덮개(68)를 갖는다.
수납 용기 본체(66)에 형성되는 개구부(64)는, 웨이퍼(W)를 반출 반입하기 위한 것이며, 수납 용기 본체(66)의 측면이자 FIMS 포트(24)에 배치될 때에 반송구(6)와 대향하는 면에 설치되어 있다.
수납 용기 본체(66)의 내부의 좌우에는, 웨이퍼(W)의 이면측을 지지하는 지지부(70)(티스(teeth))가 복수단으로 설치되어 있다.
수납 용기 본체(66)의 개구부(64)측의 내주(內周)에는 계합 홈(72)이 형성되어 있고, 덮개(68)의 계합부(74)가 이 계합 홈(72)에 계합함으로써 덮개(68)가 수납 용기 본체(66)에 고정된다.
캐리어(C)의 정상면에는, 예컨대 직사각형의 헤드부(76)가 형성되고, 이 헤드부(76)의 상단에는 직사각형으로 돌출된 플랜지부(40)가 형성되어 있다. 전술한 캐리어 반송 기구(30)는, 이 플랜지부(40)의 바닥면을, 핸드부(44)의 계지부(48)가 지지함으로써 캐리어(C)를 반송한다. 또, 플랜지부(40)는, 핸드부(44)와의 위치 맞춤이 용이한 형상으로 이루어져 있어도 좋다.
캐리어(C)의 바닥면에는, 도 4의 (b)에 나타낸 바와 같이, 하나 또는 복수의 위치 결정 홈(78)이 형성된다. 전술한 바와 같이, 로드 포트(14), 스토커(16) 및 FIMS 포트(24)에는, 각각 위치 결정 홈(78)에 계합하는 위치 결정 핀(18)이 형성되어 있다. 이 위치 결정 핀(18) 및 위치 결정 홈(78)에 의해, 캐리어(C)를 로드 포트(14), 스토커(16) 또는 FIMS 포트(24)에 배치한 경우에, 캐리어(C)가 정해진 위치에 위치 결정된다. 또, 도 4의 (b)에는, 3개의 위치 결정 홈이 형성되는 예를 나타냈지만, 이 수는 한정되지 않는다.
또한, 캐리어(C)의 바닥면에는, FIMS 포트(24)의 배치면에 형성된, 캐리어(C)를 고정하기 위한 후크(28)에 대응하는 위치에, 홈부(80)가 형성되어 있다. 이 홈부(80)가 후크(28)와 계합함으로써, 캐리어(C)가 FIMS 포트(24)에 고정된다.
(반송 방법)
다음으로, 본 실시형태에 따른 기판 처리 장치(100)를 이용한 반송 방법, 보다 구체적으로는, 캐리어 반송 기구(30)에 의한 캐리어(C)의 반송 방법에 관해, 도면을 참조하여 설명한다.
도 5에, 본 실시형태에 따른 반송 방법의 일례의 흐름도를 나타낸다.
도 5의 (a)에 나타낸 바와 같이, 본 실시형태에 따른 반송 방법은, 웨이퍼(W)를 반입할 때의 반송 방법으로서,
기판 처리 장치(100) 내에서, 기판을 밀폐 수납 가능하며 상부에 플랜지부(40)가 형성된 수납 용기(예컨대, 전술한 FOUP)를, 플랜지 삽입부(42)를 갖는 핸드부(44)를 구비한 반송 기구(예컨대, 전술한 캐리어 반송 기구(30))를 이용하여, 제1 수평 방향(좌우 방향에 대응)으로 적어도 2개 이상 나란히 설치되며 각각이 상기 제1 수평 방향에 수직인 제2 수평 방향(전후 방향에 대응)으로 수평 이동 가능한 배치대(예컨대, 전술한 로드 포트(14))로부터, 상기 배치대로부터 상기 제2 수평 방향측으로 이격되어 설치된 반송 장소(예컨대, 전술한 FIMS 포트(24) 또는 스토커(16))로 반송하는 반송 방법으로서,
반송 대상인 상기 수납 용기가 배치된 상기 배치대를, 상기 제2 수평 방향의 상기 반송 장소측으로 정해진 거리 이동시키는 제1 공정(S100)과,
상기 플랜지 삽입부(42) 내에 상기 플랜지부(40)가 삽입되도록, 상기 핸드부(44)를, 반송 대상인 상기 수납 용기가 배치된 배치대와 이웃하는 상기 배치대측으로부터 상기 제1 수평 방향으로 슬라이드 이동시키는 제2 공정(S110)과,
상기 핸드부(44)를 통해, 상기 수납 용기를 상기 배치대로부터 상기 반송 장소로 반송하는 제3 공정(S120)
을 포함한다.
또한, 도 5의 (b)에 나타낸 바와 같이, 다른 실시형태에 따른 반송 방법은, 웨이퍼(W)를 반출할 때의 반송 방법으로서,
기판 처리 장치(100) 내에서, 기판을 밀폐 수납 가능하며 상부에 플랜지부(40)가 형성된 수납 용기를, 플랜지 삽입부(42)를 갖는 핸드부(44)를 구비한 반송 기구를 이용하여, 제1 배치대(예컨대, 전술한 FIMS 포트(24) 또는 스토커(16))로부터 제2 배치대(예컨대, 전술한 로드 포트(14))로 반송하는 반송 방법이며,
상기 제2 배치대는, 제1 수평 방향으로 적어도 2개 이상 나란히 설치되며 각각이 상기 제1 수평 방향에 수직인 제2 수평 방향으로 수평 이동 가능하고,
상기 제1 배치대는, 상기 제2 배치대로부터 상기 제2 수평 방향측으로 이격되어 설치되고,
상기 제1 배치대에 배치된 반송 대상인 상기 수납 용기에 대하여, 상기 플랜지 삽입부(42) 내에 상기 플랜지부(40)가 삽입되도록, 상기 핸드부(44)를 상기 제1 수평 방향으로 슬라이드 이동시키는 제1 공정(S1000)과,
상기 수납 용기를 반송하는 상기 제2 배치대를, 상기 제2 수평 방향의 상기 제1 배치대측으로 정해진 거리 이동시키는 제2 공정(S1100)과,
상기 핸드부(44)를 통해, 상기 수납 용기를, 상기 제1 배치대로부터, 이동시킨 상기 제2 배치대로 이동시키는 제3 공정(S1200)과,
상기 플랜지 삽입부로부터 상기 플랜지부가 빠져서 제거되도록, 상기 핸드부(44)를, 반송 대상인 상기 수납 용기가 배치된 상기 제2 배치대와 이웃하는 상기 제2 배치대측으로 상기 제1 수평 방향으로 슬라이드 이동시키는 제4 공정(S1300)과,
반송 대상인 상기 수납 용기가 배치된 상기 제2 배치대를, 상기 제2 수평 방향의 상기 제1 배치대와는 반대측의 방향으로 상기 정해진 거리 이동시키는 제5 공정(S1400)
을 포함한다.
각각의 공정의 구체적인 실시형태에 관해, 도 1 내지 도 3을 이용하여 설명한 종형 열처리 장치를 예를 들어, 도 6을 참조하여 설명한다. 그러나, 본 실시형태에 따른 반송 방법은, 다른 여러가지 기판 처리 장치(100)에 적용할 수 있다.
도 6의 (a)∼도 6의 (j)에, 본 실시형태에 따른 반송 방법의 일례를 설명하기 위한 캐리어 반송 영역의 개략 평면도를 나타낸다. 또, 도 6의 (a)에, 이해를 쉽게 하기 위해, 제1 수평 방향 및 제2 수평 방향을 나타내고 있고, 각각의 도면에서의 파선은, 직전의 도면에서의 캐리어(C)의 위치를 나타내고 있다.
도 6의 (a)는, 본 실시형태에 따른 반송 방법을 실시하기 전의 핸드부(44) 및 캐리어(C)의 초기 배치예이다. 설명의 일례로서, 제1 수평 방향(전술한 좌우 방향)으로 2개 나란히 로드 포트(14)가 설치되고, 이 2개의 로드 포트(14) 상에 캐리어(C)가 배치되어 있는 것으로 한다.
캐리어 반송 기구(30)는, 전술한 바와 같이, 상하 방향으로 신장되는 제1 가이드부(32)를 갖는다. 제1 가이드부(32)는, 도 6의 (a)에 나타내는 제2 수평 방향에서의 캐리어(C)의 반송에 있어서는, 캐리어(C)의 반송 경로를 방해하지 않도록, 케이스(2)의 측벽(2a)측에 치우쳐 배치된다. 본 실시형태에서는, 이 제1 가이드부(32)가 배치되어 있는 측벽(2a)측의 로드 포트(14)를 제1 로드 포트(14a)로 하고, 다른쪽의 로드 포트(14)를 제2 로드 포트(14b)라고 부른다. 그리고, 제1 로드 포트(14a) 상에 배치된 캐리어(C)를 FIMS 포트(24)로 반송하는 경우를 예를 들어, 하기에 설명한다. 그러나, 본 발명은 이 점에 있어서 한정되지 않고, 제1 로드 포트(14a) 상에 배치된 캐리어(C)를 스토커(16)로 반송하는 경우 등에도 적용할 수 있다.
우선, 도 6의 (b)의 화살표로 이동 방향을 나타낸 바와 같이, 캐리어(C)가 배치된 제1 로드 포트(14a)를, 제2 수평 방향의 FIMS 포트(24)측으로 정해진 거리 이동시킨다(S100).
제1 로드 포트(14a)의 이동 거리는, 캐리어 반송 기구(30)의 핸드부(44)가 제1 로드 포트(14a) 상의 캐리어(C)를 반송할 때에, 제2 로드 포트(14b)에 관한 SEMI 규격의 배제 영역에 침입하지 않을 정도이면 되고, 예컨대 도 6의 (b)에 나타낸 바와 같이, 캐리어(C) 1개분의 폭으로 할 수 있다.
다음으로, 도 6의 (c)의 화살표로 이동 방향을 나타낸 바와 같이, 핸드부(44)의 플랜지 삽입부(42)(도 1 참조)와 캐리어(C)의 플랜지부(40)의 높이가 대응하도록 핸드부(44)의 높이를 조정하며, 제1 로드 포트(14a)에 배치된 캐리어(C)의 플랜지부(40)에 대하여, 제1 수평 방향의 제2 로드 포트(14b)측으로 핸드부(44)를 이동시킨다. 핸드부(44)를, 제1 로드 포트(14a)에 배치된 캐리어(C)의 플랜지부(40)에 대하여, 제1 수평 방향의 제2 로드 포트(14b)와는 반대측으로 이동시킨 경우, 아암부(38)가, 케이스(2)의 측벽(2a) 또는 제1 가이드부(32)와 간섭하는 경우가 있다. 이 간섭은, 케이스(2)의 사이즈를 크게 함으로써 회피하는 것도 가능하지만, 이 경우, 기판 처리 장치(100)의 풋프린트가 증대되기 때문에 바람직하지 않다.
그리고, 도 6의 (d)의 화살표로 이동 방향을 나타낸 바와 같이, 플랜지 삽입부(42) 내에 플랜지부(40)가 삽입되도록 핸드부(44)를 슬라이드 이동시킨다(S110). 또, 전술한 바와 같이, 캐리어(C)의 플랜지부(40) 및 핸드부(44)에는, 서로의 위치 맞춤을 쉽게 하는 구성이 설치되어 있어도 좋다.
다음으로, 로드 포트(14)의 캐리어(C)에 대한 후크(28)를 해제한 후에 핸드부(44)를 상승시켜, 캐리어(C)를 제1 로드 포트(14a)로부터 해제한다. 이 때, 핸드부(44)의 계지부(48)가 플랜지부(40)의 바닥면을 지지하여, 캐리어(C)가 핸드부(44)에 계지된다. 그 후, 바람직하게는, 제1 수평 방향의 제2 로드 포트(14b)측으로 정해진 거리 슬라이드시킨다(도 6의 (e)의 화살표의 이동 방향을 참조). 전술한 바와 같이, 캐리어 반송 기구(30)의 아암부(38)는, 케이스(2)의 측벽(2a) 또는 제1 가이드부(32)와 간섭하는 경우가 있다. 그러나, 핸드부(44)를, 반송 대상의 캐리어가 배치된 로드 포트(14)(제1 로드 포트(14a))측으로부터 이웃하는 로드 포트(14)(제2 로드 포트(14b))측으로 정해진 거리 슬라이드 이동시킴으로써, 기판 처리 장치(100)의 풋프린트를 작게 한 경우라 하더라도 상기 간섭을 방지할 수 있다(도 6의 (f) 참조).
그리고, 도 6의 (f) 및 도 6의 (g)의 화살표로 이동 방향을 나타낸 바와 같이, 캐리어(C)를 지지한 핸드부(44)를, 제2 수평 방향의 로드 포트(14)측으로부터 반송 장소측(FIMS 포트(24) 또는 스토커(16)측이자, 도 6에 나타내는 예에서는 FIMS 포트(24))으로 반송한다. 다음으로, 도 6의 (h)의 화살표로 이동 방향을 나타낸 바와 같이, 캐리어(C)를 지지한 핸드부(44)를, 제1 수평 방향의 제2 로드 포트(14b)측으로부터 제1 로드 포트(14a)측으로 이동시켜, FIMS 포트(24)의 상방으로 이동시키며, 핸드부(44)를 하강시켜 FIMS 포트(24) 상으로 캐리어(C)를 배치한다.
FIMS 포트(24)의 후크(28)(도 2 참조)에 의해 캐리어(C)를 고정한 후, 도 6의 (i)의 화살표로 이동 방향을 나타낸 바와 같이, 핸드부(44)를, 제1 수평 방향의 제1 로드 포트(14a)측으로부터 제2 로드 포트(14b)측으로 정해진 거리 슬라이드 이동시켜, 핸드부(44)에 의한 플랜지부(40)의 계지를 해제한다. 마지막으로, 핸드부(44)를 초기 위치로 복귀시키고, 웨이퍼(W)를 반입할 때의 캐리어(C)의 반송을 완료한다.
또한, 웨이퍼(W)를 반출할 때의 캐리어(C)의 반송에 관해, 도 7의 (a)∼도 7의 (j)를 참조하여 설명한다.
도 7의 (a)∼도 7의 (j)에, 본 실시형태에 따른 반송 방법의 다른 예를 설명하기 위한, 캐리어 반송 영역의 개략 평면도를 나타낸다. 또, 도 7의 (a)에, 이해를 쉽게 하기 위해 제1 수평 방향 및 제2 수평 방향을 나타내고 있고, 도 7의 (a)∼도 7의 (f)에서의 파선은, 제1 로드 포트(14a)의 위치를 나타내고 있다. 또한, 웨이퍼(W)를 반출할 때의 캐리어(C)의 반송은, 기본적으로는, 도 6의 (a)∼도 6의 (j)와는 반대의 동작에 의해 행하기 때문에, 각각의 구성 요소에 관해서는, 도 6의 (a)∼도 6의 (j)와 동일한 것을 사용하여 설명한다.
도 7의 (a)는, 본 실시형태에 따른 반송 방법을 실시하기 전의 핸드부(44) 및 캐리어(C)의 초기 배치예이다. 설명의 일례로서, 제1 수평 방향으로 2개 나란히 로드 포트(14a, 14b)가 설치되고, 제2 로드 포트(14b)와, FIMS 포트(24)(스토커(16)이어도 좋음)와 캐리어(C)가 배치되어 있고, FIMS 포트(24) 상의 캐리어(C)를 제1 로드 포트(14a)로 반송하는 경우에 관해 설명한다.
우선, 도 7의 (b)의 화살표로 이동 방향을 나타낸 바와 같이, 핸드부(44)를 FIMS 포트(24)측으로 이동시킨다. 이 때, 핸드부(44)의 플랜지 삽입부(42)(도 1 참조)와 캐리어(C)의 플랜지부(40)의 높이가 대응하도록 핸드부(44)의 높이를 조정하고, FIMS 포트(24)에 배치된 캐리어(C)의 플랜지부(40)에 대하여, 제1 수평 방향으로 정해진 거리 이격시켜 핸드부(44)를 배치한다.
다음으로, 도 7의 (c)의 화살표로 이동 방향을 나타낸 바와 같이, 플랜지 삽입부(42) 내에 플랜지부(40)가 삽입되도록 핸드부(44)를 슬라이드 이동시킨다(S1000). 또한, 이 때, 제1 로드 포트(14a)를 제2 수평 방향의 FIMS 포트(24)측으로 정해진 거리 이동시킨다(S1100).
제1 로드 포트(14a)의 이동 거리는, 후술하는 도 7의 (f) 및 도 7의 (g)에 있어서 캐리어 반송 기구(30)의 핸드부(44)가 제1 로드 포트(14a) 상에 캐리어(C)를 배치할 때에, 제2 로드 포트(14b)에 관한 SEMI 규격의 배제 영역에 침입하지 않을 정도이면 되고, 예컨대 도 6의 (c)에 나타낸 바와 같이, 캐리어(C) 1개분의 폭으로 할 수 있다.
다음으로, FIMS 포트(24)의 캐리어(C)에 대한 후크(28)를 해제한 후에 핸드부(44)를 상승시켜, 캐리어(C)를 FIMS 포트(24)로부터 해제한다. 이 때, 핸드부(44)의 계지부(48)가 플랜지부(40)의 바닥면을 지지하여, 캐리어(C)가 핸드부(44)에 계지된다. 그 후, 바람직하게는, 제1 수평 방향의 제2 로드 포트(14b)측으로 정해진 거리 슬라이드시킨다(도 7의 (d)의 화살표의 이동 방향을 참조). 전술한 바와 같이, 캐리어 반송 기구(30)의 아암부(38)는, 케이스(2)의 측벽(2a) 또는 제1 가이드부(32)와 간섭하는 경우가 있다. 그러나, 핸드부(44)를, 반송 대상의 캐리어가 배치된 로드 포트(14)(제1 로드 포트(14a))측으로부터 이웃하는 로드 포트(14)(제2 로드 포트(14b))측으로 정해진 거리 슬라이드 이동시킴으로써, 기판 처리 장치(100)의 풋프린트를 작게 한 경우라 하더라도, 상기 간섭을 방지할 수 있다.
그리고, 도 7의 (e) 및 도 7의 (f)의 화살표로 이동 방향을 나타낸 바와 같이, 캐리어(C)를 지지한 핸드부(44)를, 제2 수평 방향의 FIMS 포트(24)측으로부터 제1 로드 포트(14a)측으로 반송한다(S1200). 다음으로, 도 7의 (g)의 화살표로 이동 방향을 나타낸 바와 같이, 캐리어(C)를 지지한 핸드부(44)를, 제1 수평 방향의 제2 로드 포트(14b)측으로부터 제1 로드 포트(14a)측으로 이동시켜 제1 로드 포트(14a)의 상방으로 이동시키고, 핸드부(44)를 하강시켜 제1 로드 포트(14a) 상에 캐리어(C)를 배치한다.
제1 로드 포트(14a)의 후크(28)에 의해 캐리어(C)를 고정한 후, 도 7의 (h)의 화살표로 이동 방향을 나타낸 바와 같이, 핸드부(44)를, 제1 수평 방향의 제1 로드 포트(14a)측으로부터 제2 로드 포트(14b)측으로 정해진 거리 슬라이드 이동시킨다(S1300). 이에 따라, 플랜지 삽입부(42)로부터 플랜지부가 빠져서 제거된다. 그리고, 도 7의 (i) 및 도 7의 (j)에 나타낸 바와 같이, 핸드부(44)를 초기 위치로 복귀시키고, 제1 로드 포트(14a)를 제2 수평 방향으로 이동시켜 초기 위치로 복귀시키고, 웨이퍼(W)를 반출할 때의 캐리어(C)의 반송을 완료한다.
이상, 본 실시형태에 따른 반송 방법은, 플랜지 삽입부(42)가 형성된 핸드부(44)를 구비한 반송 기구를 이용하여, 이 핸드부(44)를, 플랜지 삽입부(42) 내에 플랜지부(40)가 삽입되도록 수평 방향으로 슬라이드 이동시키고, 플랜지부(40)를 계지한 상태로 플랜지부(40)를 올려 캐리어를 반송한다. 이에 따라, 핸드부(44)에 필요한 부품수를 적게 할 수 있기 때문에, 핸드부(44)가 경량화되고, 반송시의 아암부(38)의 휘어짐을 억제할 수 있다. 또한, 본 실시형태에 따른 반송 방법은, 캐리어(C)의 반송전에, 반송 대상의 캐리어(C)가 배치된 배치대를 반송 장소측으로 이동시킨다. 이에 따라, 핸드부(44)를 슬라이드 이동시켜 플랜지 삽입부(42) 내에 플랜지부(40)를 삽입할 때에, 핸드부(44)가 제2 로드 포트(14b)에 관한 SEMI 규격의 배제 영역에 침입하는 것을 방지할 수 있다. 그 때문에, 본 실시형태에 따른 반송 방법은, 경량의 캐리어 반송 기구(30)에 의해, 기판이 수납된 캐리어(C)를 확실하게 반송할 수 있다.
2 : 케이스 4 : 격벽
6 : 반송구 8 : 도어 기구
10 : 제1 반송 영역 12 : 제2 반송 영역
14 : 로드 포트 16 : 스토커
18 : 핀 26 : 열처리로
28 : 후크 30 : 캐리어 반송 기구
32 : 제1 가이드부 34 : 제2 가이드부
36 : 이동부 38 : (다관절) 아암부
40 : 플랜지부
42 : 플랜지 삽입부 44 : 핸드부
46 : 오목부 48 : 계지부
50 : 웨이퍼 보트 52 : 보온통
54 : 덮개 56 : 웨이퍼 반송 기구
58 : 가이드 기구 60 : 이동체
62 : 포크 64 : 개구부
66 : 수납 용기 본체 68 : 덮개
70 : 지지부 72 : 계합 홈
74 : 계합부 76 : 헤드부
80 : 홈부 100 : 기판 처리 장치

Claims (11)

  1. 기판 처리 장치 내에서, 기판을 밀폐 수납 가능하며 상부에 플랜지부가 형성된 수납 용기를, 플랜지 삽입부를 갖는 핸드부를 구비한 반송 기구를 이용하여, 제1 수평 방향으로 적어도 2개 이상 나란히 설치되며 각각이 상기 제1 수평 방향에 수직인 제2 수평 방향으로 수평 이동 가능한 배치대로부터, 상기 배치대로부터 상기 제2 수평 방향측으로 이격되어 설치된 반송 장소로 반송하는 반송 방법으로서,
    반송 대상인 상기 수납 용기가 배치된 상기 배치대를, 상기 제2 수평 방향의 상기 반송 장소측으로 정해진 거리 이동시키는 제1 공정과,
    상기 플랜지 삽입부 내에 상기 플랜지부가 삽입되도록, 상기 핸드부를, 반송 대상인 상기 수납 용기가 배치된 배치대와 이웃하는 상기 배치대측으로부터 상기 제1 수평 방향으로 슬라이드 이동시키는 제2 공정과,
    상기 핸드부를 통해, 상기 수납 용기를 상기 배치대로부터 상기 반송 장소로 반송하는 제3 공정
    을 포함하고,
    상기 제3 공정은,
    상기 핸드부를 상승시켜, 상기 수납 용기를 상기 배치대의 상방으로 이동시키는 제4 공정과,
    상기 제4 공정 이후에, 상기 핸드부를, 상기 제1 수평 방향의, 반송 대상인 상기 수납 용기가 배치되어 있던 상기 배치대측으로부터 이웃하는 상기 배치대측으로 정해진 거리 슬라이드 이동시키는 제5 공정
    을 포함하는 것인 반송 방법.
  2. 제1항에 있어서, 상기 제3 공정 이후에, 상기 핸드부를, 상기 제1 수평 방향의, 반송 대상인 상기 수납 용기가 배치되어 있던 상기 배치대측으로부터 이웃하는 상기 배치대측으로 정해진 거리 슬라이드 이동시켜, 상기 핸드부의 상기 플랜지부에 대한 계지(係止)를 해제하는 제6 공정을 더 포함하는 반송 방법.
  3. 제1항 또는 제2항에 있어서, 상기 핸드부는 하면측에, 삽입되는 상기 플랜지부의 하면을 계지하는 계지부를 가지는 것인 반송 방법.
  4. 제1항 또는 제2항에 있어서, 상기 기판 처리 장치는, 상기 제1 수평 방향으로 1개 또는 2개 이상의 반송구를 구비한 격벽으로 구획된 기판 반송 영역과 용기 반송 영역을 가지며,
    상기 용기 반송 영역 내에 상기 반송구에 대응하여 설치되고, 상기 수납 용기에 수납된 상기 기판을, 상기 용기 반송 영역으로부터 상기 반송구를 통해 상기 기판 반송 영역으로 전달하기 위해, 상기 수납 용기를 유지하는 유지대와,
    상기 유지대의 상방에 설치된, 상기 수납 용기를 수납하는 수납 선반
    을 더 포함하는 반송 방법.
  5. 제4항에 있어서, 상기 반송 장소는, 상기 유지대 또는 상기 수납 선반 중 어느 한쪽인 것인 반송 방법.
  6. 제4항에 있어서, 상기 수납 용기는, 바닥부에 위치 결정용 홈부를 가지며,
    상기 배치대, 상기 유지대 및 상기 수납 선반은, 상기 위치 결정용 홈부에 계합하는 위치 결정용 핀을 가지는 것인 반송 방법.
  7. 제1항 또는 제2항에 있어서, 상기 반송 기구는, 상기 반송 장소와 상기 배치대 사이에 설치되며 연직 방향으로 신장되는 제1 가이드부와, 상기 제1 가이드부에 접속되어 연직 방향으로 이동 가능한, 상기 제1 수평 방향으로 신장되는 제2 가이드부와, 상기 제2 가이드부에 접속되어 상기 제1 수평 방향으로 이동 가능한 이동부와, 상기 이동부에 설치되는 아암부를 가지며,
    상기 핸드부는 상기 아암부의 선단에 설치되어 있는 것인 반송 방법.
  8. 제1 수평 방향으로 1 또는 2 이상의 반송구를 구비한 격벽으로 구획된 기판 반송 영역과 용기 반송 영역을 가지며,
    상기 용기 반송 영역 내에 상기 반송구에 대응하여 설치되고, 기판을 밀폐 수납 가능하며 상부에 플랜지부가 형성된 수납 용기에 수납된 상기 기판을, 상기 용기 반송 영역으로부터 상기 반송구를 통해 상기 기판 반송 영역으로 전달하기 위해, 상기 수납 용기를 유지하는 유지대와,
    상기 유지대의 상방에 설치된, 상기 수납 용기를 수납하는 수납 선반과,
    상기 용기 반송 영역에, 상기 유지대로부터 상기 제1 수평 방향 및 연직 방향에 수직인 제2 수평 방향으로 이격되어 설치되고, 상기 유지대측으로 수평 이동 가능하며 적어도 상기 제1 수평 방향으로 2개 이상 나란히 설치된 배치대와,
    상기 유지대와 상기 배치대 사이에 설치되며 연직 방향으로 신장되는 제1 가이드부와, 상기 제1 가이드부에 접속되어 연직 방향으로 이동 가능한, 상기 제1 수평 방향으로 신장되는 제2 가이드부와, 상기 제2 가이드부에 접속되어 상기 제1 수평 방향으로 이동 가능한 이동부와, 상기 이동부에 설치되며 상기 제2 수평 방향으로 신축 가능한 아암부와, 상기 아암부의 선단에 설치되며 플랜지 삽입부를 갖는 핸드부를 가지는 반송 기구와,
    상기 반송 기구의 작동을 제어하는 제어부
    를 가지며,
    상기 제어부는,
    반송 대상인 상기 수납 용기가 배치된 상기 배치대를, 상기 제2 수평 방향의 상기 유지대측으로 정해진 거리 이동시키는 제1 공정과,
    상기 플랜지 삽입부 내에 상기 플랜지부가 삽입되도록, 상기 핸드부를, 반송 대상인 상기 수납 용기가 배치된 배치대와 이웃하는 상기 배치대측으로부터 상기 제1 수평 방향으로 슬라이드 이동시켜, 상기 핸드부에 상기 플랜지부를 계지시키는 제2 공정과,
    상기 핸드부를 통해, 상기 수납 용기를 상기 배치대로부터 상기 유지대 또는 상기 수납 선반으로 반송하는 제3 공정
    을 실시하도록 상기 반송 기구의 작동을 제어하고,
    상기 제3 공정은,
    상기 핸드부를 상승시켜, 상기 수납 용기를 상기 배치대의 상방으로 이동시키는 제4 공정과,
    상기 제4 공정 이후에, 상기 핸드부를, 상기 제1 수평 방향의, 반송 대상인 상기 수납 용기가 배치되어 있던 상기 배치대측으로부터 이웃하는 상기 배치대측으로 정해진 거리 슬라이드 이동시키는 제5 공정
    을 포함하는 것인 기판 처리 장치.
  9. 기판 처리 장치 내에서, 기판을 밀폐 수납 가능하며 상부에 플랜지부가 형성된 수납 용기를, 플랜지 삽입부를 갖는 핸드부를 구비한 반송 기구를 이용하여, 제1 배치대로부터 제2 배치대로 반송하는 반송 방법이며,
    상기 제2 배치대는, 제1 수평 방향으로 적어도 2개 이상 나란히 설치되며 각각이 상기 제1 수평 방향에 수직인 제2 수평 방향으로 수평 이동 가능하고,
    상기 제1 배치대는, 상기 제2 배치대로부터 상기 제2 수평 방향측으로 이격되어 설치되고,
    상기 제1 배치대에 배치된 반송 대상인 상기 수납 용기에 대하여, 상기 플랜지 삽입부 내에 상기 플랜지부가 삽입되도록, 상기 핸드부를 상기 제1 수평 방향으로 슬라이드 이동시키는 제1 공정과,
    상기 수납 용기를 반송하는 상기 제2 배치대를, 상기 제2 수평 방향의 상기 제1 배치대측으로 정해진 거리 이동시키는 제2 공정과,
    상기 핸드부를 통해, 상기 수납 용기를, 상기 제1 배치대로부터, 이동시킨 상기 제2 배치대로 이동시키는 제3 공정과,
    상기 플랜지 삽입부로부터 상기 플랜지부가 빠져서 제거되도록, 상기 핸드부를, 반송 대상인 상기 수납 용기가 배치된 상기 제2 배치대와 이웃하는 상기 제2 배치대측으로 상기 제1 수평 방향으로 슬라이드 이동시키는 제4 공정과,
    반송 대상인 상기 수납 용기가 배치된 상기 제2 배치대를, 상기 제2 수평 방향의 상기 제1 배치대와는 반대측의 방향으로 상기 정해진 거리 이동시키는 제5 공정
    을 포함하는 반송 방법.
  10. 제1 수평 방향으로 1 또는 2 이상의 반송구를 구비한 격벽으로 구획된 기판 반송 영역과 용기 반송 영역을 가지며,
    상기 용기 반송 영역 내에 상기 반송구에 대응하여 설치되고, 기판을 밀폐 수납 가능하며 상부에 플랜지부가 형성된 수납 용기에 수납된 상기 기판을, 상기 용기 반송 영역으로부터 상기 반송구를 통해 상기 기판 반송 영역으로 전달하기 위해, 상기 수납 용기를 유지하는 유지대와,
    상기 유지대의 상방에 설치된, 상기 수납 용기를 수납하는 수납 선반과,
    상기 용기 반송 영역에, 상기 유지대로부터 상기 제1 수평 방향 및 연직 방향에 수직인 제2 수평 방향으로 이격되어 설치되고, 상기 유지대측으로 수평 이동 가능하며 적어도 상기 제1 수평 방향으로 2개 이상 나란히 설치된 배치대와,
    상기 유지대와 상기 배치대 사이에 설치되며 연직 방향으로 신장되는 제1 가이드부와, 상기 제1 가이드부에 접속되어 연직 방향으로 이동 가능한, 상기 제1 수평 방향으로 신장되는 제2 가이드부와, 상기 제2 가이드부에 접속되어 상기 제1 수평 방향으로 이동 가능한 이동부와, 상기 이동부에 설치되며 상기 제2 수평 방향으로 신축 가능한 아암부와, 상기 아암부의 선단에 설치되며 플랜지 삽입부를 갖는 핸드부를 가지는 반송 기구와,
    상기 반송 기구의 작동을 제어하는 제어부
    를 가지며,
    상기 제어부는,
    상기 수납 선반 또는 상기 유지대에 배치된 반송 대상인 상기 수납 용기에 대하여, 상기 플랜지 삽입부 내에 상기 플랜지부가 삽입되도록, 상기 핸드부를 상기 제1 수평 방향으로 슬라이드 이동시키는 제1 공정과,
    상기 수납 용기를 반송하는 상기 배치대를, 상기 제2 수평 방향의 상기 수납 선반 및 상기 유지대측으로 정해진 거리 이동시키는 제2 공정과,
    상기 핸드부를 통해, 상기 수납 용기를, 상기 수납 선반 또는 상기 유지대로부터, 이동시킨 상기 배치대로 이동시키는 제3 공정과,
    상기 플랜지 삽입부로부터 상기 플랜지부가 빠져서 제거되도록, 상기 핸드부를, 반송 대상인 상기 수납 용기가 배치된 상기 배치대와 이웃하는 상기 배치대측으로 상기 제1 수평 방향으로 슬라이드 이동시키는 제4 공정과,
    반송 대상인 상기 수납 용기가 배치된 상기 배치대를, 상기 제2 수평 방향의 상기 수납 선반 및 상기 유지대와는 반대측의 방향으로 상기 정해진 거리 이동시키는 제5 공정
    을 실시하도록 상기 반송 기구의 작동을 제어하는 것인 기판 처리 장치.
  11. 삭제
KR1020150029693A 2014-03-04 2015-03-03 반송 방법 및 기판 처리 장치 KR101879021B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2014-042136 2014-03-04
JP2014042136A JP6278751B2 (ja) 2014-03-04 2014-03-04 搬送方法及び基板処理装置

Publications (2)

Publication Number Publication Date
KR20150104047A KR20150104047A (ko) 2015-09-14
KR101879021B1 true KR101879021B1 (ko) 2018-07-16

Family

ID=54018079

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150029693A KR101879021B1 (ko) 2014-03-04 2015-03-03 반송 방법 및 기판 처리 장치

Country Status (4)

Country Link
US (1) US9899245B2 (ko)
JP (1) JP6278751B2 (ko)
KR (1) KR101879021B1 (ko)
TW (1) TWI593043B (ko)

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8888434B2 (en) * 2011-09-05 2014-11-18 Dynamic Micro System Container storage add-on for bare workpiece stocker
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN107851594B (zh) * 2015-08-28 2021-06-22 株式会社国际电气 基板处理装置以及半导体装置的制造方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6991243B2 (ja) 2017-04-20 2022-01-12 ダイフク アメリカ コーポレイション 高密度ストッカ
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7131901B2 (ja) * 2017-11-24 2022-09-06 浜松ホトニクス株式会社 運搬方法
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
JP6704423B2 (ja) 2018-01-17 2020-06-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) * 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7209503B2 (ja) * 2018-09-21 2023-01-20 株式会社Screenホールディングス 基板処理装置および基板処理方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) * 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI764620B (zh) * 2021-03-12 2022-05-11 旭東機械工業股份有限公司 晶圓盒底側面檢測機構及其方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002076089A (ja) * 2000-08-23 2002-03-15 Tokyo Electron Ltd 被処理体の処理システム
JP2002164406A (ja) * 2000-11-27 2002-06-07 Tokyo Electron Ltd 処理装置
JP2003309158A (ja) * 2002-04-12 2003-10-31 Tokyo Electron Ltd 処理装置
JP2004363363A (ja) * 2003-06-05 2004-12-24 Tokyo Electron Ltd 搬送装置及び処理装置並びに搬送方法
US20100215461A1 (en) * 2009-02-20 2010-08-26 Tokyo Electron Limited Substrate processing apparatus

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3177035B2 (ja) * 1992-11-26 2001-06-18 東京エレクトロン株式会社 縦型熱処理装置
JP3664897B2 (ja) * 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置
US6726429B2 (en) * 2002-02-19 2004-04-27 Vertical Solutions, Inc. Local store for a wafer processing station
JP5088468B2 (ja) * 2007-03-09 2012-12-05 村田機械株式会社 懸垂式搬送台車を用いた搬送システム
JP5284808B2 (ja) * 2009-01-26 2013-09-11 株式会社Sokudo ストッカー装置及び基板処理装置
JP5715904B2 (ja) * 2011-07-29 2015-05-13 東京エレクトロン株式会社 熱処理装置、及びこれに基板を搬送する基板搬送方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002076089A (ja) * 2000-08-23 2002-03-15 Tokyo Electron Ltd 被処理体の処理システム
JP2002164406A (ja) * 2000-11-27 2002-06-07 Tokyo Electron Ltd 処理装置
JP2003309158A (ja) * 2002-04-12 2003-10-31 Tokyo Electron Ltd 処理装置
JP2004363363A (ja) * 2003-06-05 2004-12-24 Tokyo Electron Ltd 搬送装置及び処理装置並びに搬送方法
US20100215461A1 (en) * 2009-02-20 2010-08-26 Tokyo Electron Limited Substrate processing apparatus
JP2010192855A (ja) * 2009-02-20 2010-09-02 Tokyo Electron Ltd 基板処理装置

Also Published As

Publication number Publication date
KR20150104047A (ko) 2015-09-14
US9899245B2 (en) 2018-02-20
TW201601238A (zh) 2016-01-01
TWI593043B (zh) 2017-07-21
JP6278751B2 (ja) 2018-02-14
US20150255319A1 (en) 2015-09-10
JP2015170623A (ja) 2015-09-28

Similar Documents

Publication Publication Date Title
KR101879021B1 (ko) 반송 방법 및 기판 처리 장치
KR101840552B1 (ko) 로드 로크 장치 및 기판 처리 시스템
JP5617708B2 (ja) 蓋体開閉装置
TWI385748B (zh) 真空處理裝置、真空處理方法、及電腦可讀取記憶媒體
KR101705932B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
JP2008222346A (ja) 懸垂式軌道搬送台車及び搬送システム
US9412634B2 (en) Atmosphere replacement apparatus, substrate transport apparatus, substrate transport system, and EFEM
JP3971601B2 (ja) 基板受渡装置および基板処理装置
TWI592495B (zh) 磁性退火裝置(一)
US7134826B2 (en) Substrate transfer apparatus, substrate processing apparatus and holding table
TW201348100A (zh) 搬送系統
US9966286B2 (en) Substrate processing apparatus
US20160086835A1 (en) Cover opening/closing apparatus and cover opening/closing method
JP2018093087A (ja) 基板処理装置
JP7125591B2 (ja) ロードポート及びefem
KR100985723B1 (ko) 멀티 챔버 방식의 기판 처리 장치 및 방법
JP5164416B2 (ja) 基板処理装置、収納容器の搬送方法および半導体装置の製造方法
US20120051873A1 (en) Substrate processing apparatus and method of manufacturing a semiconductor device
KR20210100184A (ko) 기상 성장 장치
KR102189288B1 (ko) 다이 본딩 장치
JP2008100802A (ja) 基板保管庫
KR102247041B1 (ko) 크레인 어셈블리 및 이를 포함하는 스토커
CN107710396B (zh) 基板处理系统
JP2000223547A (ja) 基板処理装置
KR20220057012A (ko) 타워 리프트 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant