KR101878240B1 - 실록산 수지의 제거제, 그것을 사용한 실록산 수지의 제거 방법, 및 반도체 기판 제품 및 반도체 소자의 제조 방법 - Google Patents

실록산 수지의 제거제, 그것을 사용한 실록산 수지의 제거 방법, 및 반도체 기판 제품 및 반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR101878240B1
KR101878240B1 KR1020157012142A KR20157012142A KR101878240B1 KR 101878240 B1 KR101878240 B1 KR 101878240B1 KR 1020157012142 A KR1020157012142 A KR 1020157012142A KR 20157012142 A KR20157012142 A KR 20157012142A KR 101878240 B1 KR101878240 B1 KR 101878240B1
Authority
KR
South Korea
Prior art keywords
resin
siloxane resin
semiconductor substrate
group
carbon atoms
Prior art date
Application number
KR1020157012142A
Other languages
English (en)
Other versions
KR20150071022A (ko
Inventor
야스오 스기시마
아츠시 미즈타니
Original Assignee
후지필름 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지필름 가부시키가이샤 filed Critical 후지필름 가부시키가이샤
Publication of KR20150071022A publication Critical patent/KR20150071022A/ko
Application granted granted Critical
Publication of KR101878240B1 publication Critical patent/KR101878240B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J5/00Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D9/00Chemical paint or ink removers
    • C09D9/04Chemical paint or ink removers with surface-active agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2203/00Applications of adhesives in processes or use of adhesives in the form of films or foils
    • C09J2203/326Applications of adhesives in processes or use of adhesives in the form of films or foils for bonding electronic components such as wafers, chips or semiconductors
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2301/00Additional features of adhesives in the form of films or foils
    • C09J2301/50Additional features of adhesives in the form of films or foils characterized by process specific features
    • C09J2301/502Additional features of adhesives in the form of films or foils characterized by process specific features process for debonding adherents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2483/00Presence of polysiloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • H01L2221/68386Separation by peeling

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Paints Or Removers (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

본 발명은 반도체 기판에 부착된 실록산 수지를 제거하기 위한 제거제로서, 극성 비프로톤성 용제와 제 4 급 암모늄 수산화물을 포함하는 실록산 수지의 제거제이다.

Description

실록산 수지의 제거제, 그것을 사용한 실록산 수지의 제거 방법, 및 반도체 기판 제품 및 반도체 소자의 제조 방법{SILOXANE RESIN REMOVER, SILOXANE RESIN REMOVAL METHOD USING SILOXANE RESIN REMOVER, SEMICONDUCTOR SUBSTRATE PRODUCT AND SEMICONDUCTOR ELEMENT MANUFACTURING METHOD}
본 발명은 실록산 수지의 제거제, 그것을 사용한 실록산 수지의 제거 방법 및 반도체 기판 제품 및 반도체 소자의 제조 방법에 관한 것이다.
최근, 반도체 기판의 고성능화 및 집적화가 점점 진행되고 있다. 그것에 따라, 반도체 기판 또는 이것을 사용한 소자의 대형화나 배선의 미세화, 다층화 등이 더욱 가속적으로 진행되고 있다. 한편, 고밀도 실장화 때문에는 패키지 사이즈의 소형화 및 초박형화가 필요하다. 이러한 요구에 대하여, MCP(Multi Chip Package)라고 불리는 기술이 제안되고 있다. 거기에는 1개의 배선 기판 상에, 복수의 반도체 소자가 높은 밀도로 실장된다. 그 중에서도, TSV(Through Silicon Via)라고 불리는 관통 전극을 갖는 반도체칩 적층체를 배선 기판의 일면에 실장하는 기술이 주목받고 있다(예를 들면, 특허문헌 1∼3 참조).
상기 TSV를 이용한 반도체 기판의 제조에 있어서는 실리콘 기판을 얇게 하는 공정이 채용되고, 이 때 얇은 실리콘 기판을 파손 없이 가공하기 위해서 지지 기판에 접착하여 연삭하는 가공법이 채용되고 있다. 이 지지 기판과 반도체 기판은 접착층을 통하여 접합되고, 기판의 박화를 위한 가공에 적합한 형태가 된다. 따라서, 그 접착제에는 양호한 평탄성, 양 기판을 접착하는 접착성, 실리콘 기판의 이면 연삭시의 연삭 내성이 요구된다. 또한, 생산성 및 제조 품질의 관점에서 내열성, 내약품성, 박리성 등이 필요하다. 이러한 용도에 사용되는 재료로서, 특허문헌 4에서는 실리콘계의 수지가 제안되고 있다.
일본 특허 공개 2007-251145호 공보 일본 특허 공개 2012-212786호 공보 일본 특허 공개 2010-056139호 공보 일본 특허 공개 2012-004200호 공보
상기 지지 기판과의 가접합에 사용되는 접착층은 가공 후에 제거되지 않으면 안된다. 그 관점에서는 접착력이 억제되어 있고, 분해 또는 용해성이 높은 것이 바람직하다. 한편으로, 충분한 접착력과 내약품성이 유지되지 않으면, 연삭 가공 등에 견딜 수 없고, 양자는 상반하는 성능 항목이 된다. 이에 대하여, 본 발명자는 상기 반도체의 TSV 형성 기술에 있어서 충분한 성능을 발휘하는 실록산 수지(실리콘 수지)의 이용을 전제로 하여, 그 기본 성능을 손상시키지 않고 상기 수지를 적합하게 제거하는 기술을 검토했다. 한편, 해당 수지를 제거하는 공정에 있어서는 이미 알루미늄 등에 의한 전극이 형성되어 있는 것이 있다. 거기서, 이러한 전극 부재를 최대한 손상시키지 않고, 상기 실록산 수지를 효과적으로 제거할 수 있는 재료 및 그 배합을 탐색했다.
본 발명은 실록산 수지를 적합하게 제거할 수 있고, 또한 필요에 의해 알루미늄 등의 전극 보호성(내손상성)이 우수한 제거제, 그것을 사용한 실록산 수지의 제거 방법 및 반도체 기판 제품 및 반도체 소자의 제조 방법의 제공을 목적으로 한다.
상기 과제는 이하의 수단에 의해 해결되었다.
〔1〕반도체 기판에 부착된 실록산 수지를 제거하기 위한 제거제로서, 극성 비프로톤성 용제와 제 4 급 암모늄 수산화물을 포함하는 것을 특징으로 하는 실록산 수지의 제거제.
〔2〕〔1〕에 있어서, 알콜 화합물을 더 함유하는 것을 특징으로 하는 실록산 수지의 제거제.
〔3〕〔1〕 또는 〔2〕에 있어서, 극성 비프로톤성 용제는 디메틸술폭시드(DMSO)인 것을 특징으로 하는 실록산 수지의 제거제.
〔4〕〔1〕∼〔3〕중 어느 하나에 있어서, 상기 제 4 급 암모늄 수산화물은 테트라메틸암모늄 수산화물(TMAH), 테트라에틸암모늄 수산화물(TEAH), 테트라부틸암모늄 수산화물(TBAH), 또는 벤질트리메틸 암모늄 수산화물인 것을 특징으로 하는 실록산 수지의 제거제.
〔5〕〔2〕∼〔4〕중 어느 하나에 있어서, 상기 알콜 화합물은 2-에틸헥산올, 벤질알콜, 또는 모노에탄올아민인 것을 특징으로 하는 실록산 수지의 제거제.
〔6〕〔1〕∼〔5〕중 어느 하나에 있어서, 금속 부식 방지제로서 인산, 붕산, 유기산, 또는 규소 화합물을 더 함유시킨 것을 특징으로 하는 실록산 수지의 제거제.
〔7〕〔1〕∼〔6〕중 어느 하나에 있어서, 상기 극성 비프로톤성 용제를 40∼95질량%로 포함하고, 상기 제 4 급 암모늄 수산화물을 0.1∼10질량%로 포함하는 것을 특징으로 하는 실록산 수지의 제거제.
〔8〕〔1〕∼〔7〕중 어느 하나에 있어서, 상기 극성 비프로톤성 용제를 70∼95질량%로 포함하고, 상기 제 4 급 암모늄 수산화물을 1∼8질량%로 포함하는 것을 특징으로 하는 실록산 수지의 제거제.
〔9〕〔2〕∼〔8〕중 어느 하나에 있어서, 알콜 화합물을 1∼50질량%로 포함하는 것을 특징으로 하는 실록산 수지의 제거제.
〔10〕〔2〕∼〔9〕중 어느 하나에 있어서, 금속 부식 방지제를 0.1∼30질량%로 포함하는 것을 특징으로 하는 실록산 수지의 제거제.
〔11〕〔1〕∼〔10〕중 어느 하나에 있어서, 실록산 수지는 폴리오르가노실록산을 포함하여 이루어지는 것을 특징으로 하는 실록산 수지의 제거제.
〔12〕〔1〕∼〔10〕중 어느 하나에 있어서, 실록산 수지는 폴리오르가노실록산과 에폭시기를 갖는 수지의 혼합물 또는 실리콘 변성 에폭시 수지인 것을 특징으로 하는 실록산 수지의 제거제.
〔13〕〔1〕∼〔12〕중 어느 하나에 있어서, 실록산 수지는 접착제인 것을 특징으로 하는 실록산 수지의 제거제.
〔14〕〔2〕∼〔13〕중 어느 하나에 있어서, 상기 알콜 화합물은 하기 식(O-1), (A-1) 또는 (A-2)로 나타내어지는 화합물인 것을 특징으로 하는 실록산 수지의 제거제.
R11-(-O-R12-)n-O-H···(O-1)
[R11은 탄소수 1개 이상 5개 이하의 알킬기, 탄소수 6∼10개의 아릴기, 탄소수 7∼11개의 아랄킬기이다. R12는 직쇄상 또는 분기상의 탄소수 1개 이상 4개 이하의 알킬렌 쇄이다. 복수의 R12가 존재할 때 그 각각은 달라도 좋다. n은 0 이상 20 이하의 정수이다]
NRN1 2-LN1-OH···(A-1)
NRN2 2-(LN2-Y)m-LN3-OH···(A-2)
[RN1 및 RN2는 각각 독립적으로 수소 원자, 알킬기, 아릴기, 또는 아랄킬기이다. LN1∼LN3은 각각 독립적으로 알킬렌기이다. Y는 산소 원자 또는 이미노기(-NRN-)이고, RN은 RN1과 동일하다. m은 1∼20의 정수이다]
〔15〕〔6〕∼〔14〕중 어느 하나에 있어서, 상기 금속 방식제는 하기 식(S1)으로 나타내어지는 화합물인 것을 특징으로 하는 방식제.
RS1 4Si···(S1)
[식 중, RS1은 탄소수 1∼10개의 알킬기, 탄소수 1∼10개의 알콕시기, 탄소수 6∼22개의 아릴기, 또는 탄소수 6∼22개의 아릴옥시기, 탄소수 2∼10개의 알케닐기, 탄소수 1∼10개의 아실옥시기, 탄소수 7∼25개의 아릴로일옥시기, 탄소수 2∼10개의 옥심기, 수소 원자를 나타낸다. 단, RS1의 전부가 수소 원자인 경우는 없다]
〔16〕〔1〕∼〔15〕중 어느 하나에 기재된 실록산 수지의 제거제를 반도체 기판 상에 부착된 실록산 수지에 접촉시켜 수지를 제거하는 것을 특징으로 하는 실록산 수지의 제거 방법.
〔17〕반도체 기판에 실록산 수지의 층을 형성하는 공정과, 반도체 기판을 실록산 수지층을 통하여 지지 기판에 접착시키는 공정과, 반도체 기판의 지지 기판과 반대측을 연삭하여 박화하는 공정과, 박화한 반도체 기판으로부터 지지 기판을 박리하는 공정과, 박화한 반도체 기판에 부착된 실록산 수지에 〔1〕∼〔15〕중 어느 하나에 기재된 실록산 수지의 제거제를 접촉시켜 실록산 수지를 제거하는 공정을 갖는 것을 특징으로 하는 반도체 기판 제품의 제조 방법.
〔18〕〔17〕에 있어서, 실록산 수지층의 반도체 기판과 반대측에 박리층을 배치하고, 박리층을 통하여 지지 기판과 접착시키는 것을 특징으로 하는 반도체 기판 제품의 제조 방법.
〔19〕〔17〕 또는 〔18〕에 기재된 반도체 기판 제품의 제조 방법으로 얻은 반도체 기판 제품을 이용하여 반도체 소자를 제조하는 것을 특징으로 하는 반도체 소자의 제조 방법.
(발명의 효과)
본 발명에 의하면, 실록산 수지를 적합하게 제거할 수 있고, 또한 필요에 의해 알루미늄 등의 전극에 대한 보호(손상의 억제)를 실현할 수 있다.
본 발명의 상기 및 다른 특징 및 이점은 하기 기재 및 첨부의 도면에서 보다 명백해질 것이다.
도 1은 본 발명의 일 실시형태에 있어서의 반도체 기판의 제작 공정예(TSV 형성)를 모식적으로 나타내는 단면도이다.
도 2는 본 발명의 바람직한 실시형태에 따른 제거 장치의 일부를 나타내는 장치 구성도이다.
도 3은 본 발명의 일 실시형태에 있어서의 반도체 기판에 대한 노즐의 이동 궤적선을 모식적으로 나타내는 평면도이다.
본 발명의 제거제는 극성 비프로톤성 용제 및 제 4 급 암모늄 수산화물을 함유한다. 이 성분 조성 등의 상세에 대해서 설명하기 전에, 이 제거제를 적합하게 적용할 수 있는 TSV의 형성 공정(실시형태)에 대해서 첨부의 도면에 기초하여 설명한다.
[TSV 형성 공정]
도 1은 TSV(실리콘 관통 전극: Through Silicon Via)를 형성한 반도체 기판(1a)을 가공하여 반도체 기판 제품(실리콘 관통 전극칩)(1b)으로 하는 과정을 나타낸 공정 설명도이다. 본 실시예의 제조예에 있어서는 실리콘 웨이퍼에 비아(9a)가 형성되어 있다(공정 a). 이 때, 실리콘 웨이퍼에는 TSV 이외에 소정의 회로 배선이나 디바이스 구조가 부여되어 있어도 좋다. 이어서, 상기 기판(1a)의 비아가 형성된 면측에 수지층(2) 및 박리층(3)이 그 순서로 설치된다(공정 b, c). 그 후에, 상기 기판(1a), 수지층(2), 박리층(3)의 복합체는 회전(flip)되어 지지 기판(4)과 박리층을 통하여 접합된다(공정 d). 이 지지 기판을 포함하는 복합체는, 예를 들면 가공 장치에 접속되고 또는 적재되어, 반도체 기판(1a)의 이면(S)측에서 연삭 가공이 실시된다(공정 e). 이 연삭 가공은 회전 연마 등의 상법에 의해 행해지면 좋고, 이 연삭에 의해 피연삭부(p)가 제거되어 비아(9a)는 관통한 형태의 스루홀(9b)이 된다. 이어서, 이 4층의 복합체를 회전(flip)시켜(공정 f), 지지 기판(4)을 박리한다(공정 g). 이 때, 수지층(2) 및 박리층(3)이 반도체 기판 제품(1b)측에 남겨진 상태가 되고, 이것을 제거제에 의해 제거한다(공정 h). 본 발명의 제거제는 이 수지층 및 박리층의 제거 공정에 있어서 우수한 효과를 발휘한다.
본 발명은 상기 설명에 의해 한정하여 해석되는 것은 아니다. 예를 들면, 박리층을 사용하지 않는 형태나, 비아에 금속을 충전한 비아 플러그로부터 연삭 가공을 실시하는 형태, 지지 기판의 박리 후에 수지층 및 박리층의 일부만이 반도체 기판측에 남겨지는 형태, 접착층을 박리하는 공정에서 연삭면측에 다이싱 테이프 등의 지지체를 갖는 형태 등을 들 수 있다.
또한, 실리콘 기판 또는 반도체 기판, 또는 간단히 기판이라고 할 때에는 실리콘 웨이퍼뿐만 아니라 거기에 회로 구조가 실시된 기판 구조체를 포함하는 의미이다. 기판의 부재란 상기에서 정의되는 실리콘 기판을 구성하는 부재를 가리키고, 1개의 재료로 이루어져 있어도 복수의 재료로 이루어져 있어도 좋다. 가공 완료 반도체 기판을 반도체 기판 제품으로서 구별하여 부를 수 있다. 이것에 필요에 의해 가공을 더 추가하여, 다이싱하여 취출한 칩 및 그 가공·조립 제품을 반도체 소자 또는 반도체 장치라고 한다. 기판의 방향에 대해서는 특별히 언급하지 않는 한, 도 1에서 말하면, 실리콘 웨이퍼와 반대측(박리층측)을 「위」 또는 「상단」이라고 말하고, 지지 기판측을 「아래」 또는 「하단」이라고 한다.
[제거제]
이어서, 본 발명의 바람직한 실시형태에 따른 제거제에 관하여 설명한다.
(극성 비프로톤성 용제)
제거제의 함유 성분이 되는 극성 비프로톤성 용제로서는 디메틸술폭시드, 술포란, 에틸렌글리콜디메틸에테르, 디에틸렌글리콜디메틸에테르, 프로필렌글리콜디메틸에테르, 프로필렌글리콜디메틸에테르, 프로필렌글리콜-n-디부틸에테르, 디프로필렌글리콜디메틸에테르, 디프로필렌글리콜디메틸에테르, 디프로필렌글리콜-n-디부틸에테르 등을 들 수 있고, 디메틸술폭시드, 에틸렌글리콜디메틸에테르, 디에틸렌글리콜디메틸에테르, 프로필렌글리콜-n-디부틸에테르가 바람직하고, 그 중에서도 디메틸술폭시드(DMSO)가 특히 바람직하다.
극성 비프로톤성 용제는 본 실시예의 제거제의 전체 질량에 대하여 40질량% 이상 함유시키는 것이 바람직하고, 50질량% 이상이 보다 바람직하고, 60질량% 이상이 더욱 바람직하고, 70질량% 이상이 보다 더욱 바람직하고, 80질량% 이상 함유시키는 것이 특히 바람직하다. 상한으로서는 95질량% 이하인 것이 바람직하고, 90질량% 이하가 더욱 바람직하다. 상기 상한치 이하로 함으로써, 금속 배선(예를 들면, 알루미늄, 구리)의 부식을 억제할 수 있기 때문에 바람직하다. 상기 하한치 이상이로 하는 경우가 실록산 수지를 빠르게 제거할 수 있기 때문에 바람직하다.
상기 극성 비프로톤성 용제는 1종을 단독으로 사용해도, 2종 이상을 조합시켜 사용해도 좋다.
(제 4 급 암모늄 수산화물)
제 4 급 암모늄 수산화물로서는 테트라알킬암모늄 수산화물(바람직하게는 탄소수 4∼25개)이 바람직하다. 이 때, 알킬기에는 본 발명의 효과를 손상시키지 않는 범위에서 임의의 치환기(예를 들면, 히드록실기, 알릴기, 아릴기)가 치환되어 있어도 좋다. 또한, 알킬기는 직쇄이어도 분기이어도 좋고, 환상이어도 좋다. 구체적으로는 테트라메틸암모늄 수산화물(TMAH), 테트라에틸암모늄 수산화물(TEAH), 벤질트리메틸암모늄 수산화물, 에틸트리메틸암모늄 수산화물, 2-히드록시에틸트리메틸암모늄 수산화물, 벤질트리에틸암모늄 수산화물, 헥사데실트리메틸암모늄 수산화물, 테트라부틸암모늄 수산화물(TBAH), 테트라헥실암모늄 수산화물, 테트라프로필암모늄 수산화물 등을 들 수 있다.
그 중에서도, 메틸기 및/또는 에틸기를 3개 이상 갖는 테트라알킬암모늄 수산화물이 보다 바람직하다. 가장 바람직하게는 테트라메틸암모늄 수산화물, 또는 에틸트리메틸암모늄 수산화물이다.
제 4 급 암모늄 수산화물은 본 실시예의 제거제의 전체 질량에 대하여 0.1질량% 이상 함유시키는 것이 바람직하고, 0.5질량% 이상 함유시키는 것이 보다 바람직하고, 1질량% 이상 함유시키는 것이 더욱 바람직하고, 1.5질량% 이상이 더욱 바람직하고, 2질량% 이상 함유시키는 것이 특히 바람직하다. 상한으로서는 10질량% 이하로 함유시키는 것이 바람직하고, 9질량% 이하가 보다 바람직하고, 8질량% 이하가 더욱 바람직하다. 상기 상한치 이하로 함으로써, 제 4 급 암모늄 수산화물의 석출을 억제할 수 있기 때문에 바람직하다. 상기 하한치 이상으로 하는 경우가 실록산 수지를 더욱 빠르게 제거할 수 있기 때문에 바람직하다.
상기 제 4 급 암모늄 수산화물은 1종을 단독으로 사용해도, 2종 이상을 조합시켜 사용해도 좋다.
(알콜 화합물)
본 발명의 제거제는 알콜 화합물을 함유하는 것이 바람직하다. 알콜 화합물은 특별히 한정되지 않고, 모노올 화합물이어도, 폴리올 화합물이어도 좋고, 그 중에서도 모노올 화합물이 바람직하다. 구체적으로는 하기 식(1)으로 나타내어지는 화합물이 바람직하다.
R-OH···( 1)
R은 알킬기(바람직하게는 탄소수 1∼10개, 보다 바람직하게는 탄소수 1∼8개, 더욱 바람직하게는 탄소수 3∼8개), 아릴기(바람직하게는 탄소수 6∼22개, 보다 바람직하게는 6∼10개), 또는 아랄킬기(바람직하게는 탄소수 7∼23개, 보다 바람직하게는 7∼11개)이다. 상기 알킬기, 아릴기, 아랄킬기는 치환기를 가지고 있어도 좋고, 해당 치환기로서는 아미노기(바람직하게는 탄소수 0∼6개, 더욱 바람직하게는 탄소수 0∼3개), 알릴기, 할로겐기, 카르복실기, 술포기 등을 들 수 있다. 이 때, 알킬기에는 에테르 결합(-O-), 에스테르 결합(-COO-), 이미노 결합(-NRN-: RN은 탄소수 1∼6개의 알킬기 또는 탄소수 6∼10개의 아릴기)이 개재되어 있어도 좋다. R로서 바람직하게는, 직쇄 또는 분기의 무치환의 알킬기(바람직하게는 탄소수 1∼10개, 보다 바람직하게는 탄소수 1∼8개, 더욱 바람직하게는 탄소수 3∼8개), 아랄킬기(바람직하게는 탄소수 7∼23개, 보다 바람직하게는 7∼11개), 또는 아미노기로 치환된 알킬기(바람직하게는 탄소수 1∼10개, 보다 바람직하게는 탄소수 1∼6개, 더욱 바람직하게는 탄소수 3∼6개)이고, 보다 바람직하게는 분기의 무치환의 알킬기 또는 -NH2로 치환된 알킬기(바람직하게는 탄소수 1∼10개, 보다 바람직하게는 탄소수 3∼8개)이다.
알콜 화합물은 하기 식(O-1)의 화합물인 것이 더욱 바람직하다.
R11-(-O-R12-)n-O-H···(O-1)
·R11
R11은 탄소수 1개 이상 5개 이하(바람직하게는 1개 이상 3개 이하)의 알킬기, 탄소수 6∼10개의 아릴기, 탄소수 7∼11개의 아랄킬기이다.
·R12
R12는 직쇄상 또는 분기상의 탄소수 1개 이상 4개 이하의 알킬렌 쇄이다. 복수의 R12가 존재할 때 그 각각은 달라도 좋다.
·n
n은 0 이상 20 이하의 정수이고, 0 이상 10 이하가 바람직하고, 0 이상 5 이하가 보다 바람직하다.
알콜 화합물은 하기 식(A-1) 또는 (A-2)으로 나타내어지는 화합물인 것도 바람직하다.
NRN1 2-LN1-OH···(A-1)
NRN2 2-(LN2-Y)m-LN3-OH···(A-2)
RN1 및 RN2는 각각 독립적으로 수소 원자 또는 알킬기(바람직하게는 탄소수 1∼10개, 보다 바람직하게는 탄소수 1∼6개, 더욱 바람직하게는 탄소수 1∼3개) 또는 아릴기(바람직하게는 탄소수 6∼22개, 보다 바람직하게는 6∼10개), 또는 아랄킬기(바람직하게는 탄소수 7∼23개, 보다 바람직하게는 7∼11개)이다. LN1∼LN3은 알킬렌기(바람직하게는 탄소수 1∼10개, 보다 바람직하게는 탄소수 1∼6개, 더욱 바람직하게는 탄소수 1∼3개)이다. Y는 산소 원자 또는 이미노기(-NRN-)이고, RN은 RN1과 동일하다. 식(A-1) 및 (A-2)에 있어서의 알킬기 및 알킬렌기는 직쇄이어도 분기이어도 좋고, 환 구조이어도 좋다. m은 1∼20의 정수이고, 1∼10의 정수인 것이 바람직하고, 1∼3의 정수인 것이 보다 바람직하다.
알콜 화합물은 인화점으로 하면, 50℃ 이상인 것이 바람직하고, 60℃ 이상인 것이 보다 바람직하다. 상한은 특별히 제한되지 않지만, 150℃ 이하인 것이 실제적이다.
알콜 화합물의 함유량은 특별히 한정되지 않지만, 제거제 중에서 1질량% 이상 함유시키는 것이 바람직하고, 2질량% 이상이 보다 바람직하고, 3질량% 이상 함유시키는 것이 특히 바람직하다. 상한은 특별히 제한되지 않지만, 50질량% 이하인 것이 바람직하고, 40질량% 이하가 보다 바람직하고, 30질량% 이하가 특히 바람직하다. 상기 상한치 이하로 함으로써, 실록산 수지를 재빨리 제거할 수 있기 때문에 바람직하다. 상기 하한치 이상으로 하는 경우가 제 4 급 암모늄 수산화물을 용해하는 관점에서 바람직하다.
상기 알콜 화합물은 1종을 단독으로 사용해도, 2종 이상을 조합시켜 사용해도 좋다.
(수 매체)
본 발명의 제거제에는 물을 함유시켜도 좋고, 증류수나 이온교환수, 또는 초순수로 한 정화 처리수를 사용하는 것이 바람직하다. 단, 물의 양이 제한되는 것이 바람직하고, 20질량% 이하로 억제할 수 있는 것이 바람직하고, 10질량% 이하가 보다 바람직하고, 8질량% 이하가 보다 바람직하고, 6질량% 이하가 보다 바람직하고, 5질량% 이하가 특히 바람직하다. 하한으로서는 1% 이상인 것이 실제적이다. 상기 상한치 이하로 함으로써 금속 배선(예를 들면, 알루미늄, 구리)의 부식을 효과적으로 억제할 수 있기 때문에 바람직하다. 본 발명의 제거제 중의 수분량은 JIS 규격에 기재된 칼 피셔(Karl Fischer)법으로 적합하게 측정할 수 있다(JISK0113).
(pH)
본 발명에 있어서는 제거제의 pH를 10 이상으로 조정하는 것이 바람직하고, 12 이상으로 하는 것이 보다 바람직하다. 상기 하한치 이상으로 하는 경우가 실록산 수지를 재빨리 제거하는 관점에서 바람직하다.
(금속 부식 방지제)
또한, 금속 배선의 부식 방지에 첨가제를 더 첨가해도 좋다. 구체적으로는 인산, 붕산, 유기산(예를 들면, 탄소수 2∼8개의 유기산이 바람직하고, 프탈산, 아스코르브산, 아디프산, 말산, 옥살산, 살리실산이 보다 바람직함), 규소 화합물을 들 수 있다. 그 중에서도, 규소 화합물이 부식 방지제로서 적합하게 사용할 수 있고, 테트라에톡시실란, 테트라메톡시실란, 메틸트리메톡시실란, 메틸트리에톡시실란, 에틸트리메톡시실란, 에틸트리에톡시실란, 페닐트리메톡시실란, 디페닐디메톡시실란이 바람직하다. 그 중에서도, 메틸트리메톡시실란이 바람직하다.
규소 화합물에 대해서 더욱 상세하게 설명하면, 하기 식(S1)으로 나타내어지는 화합물인 것이 바람직하다.
RS1 4Si···(S1)
식 중, RS1은 탄소수 1∼10개(바람직하게는 1∼3개)의 알킬기, 탄소수 1∼10개(바람직하게는 1∼3개)의 알콕시기, 탄소수 6∼22개(바람직하게는 6∼10개)의 아릴기, 또는 탄소수 6∼22개(바람직하게는 6∼10개)의 아릴옥시기, 탄소수 2∼10개(바람직하게는 2∼4개)의 알케닐기(바람직하게는 비닐기, 알릴기), 탄소수 1∼10개(바람직하게는 1∼3개)의 아실옥시기, 탄소수 7∼25개(바람직하게는 7∼11)의 아릴로일옥시기, 탄소수 2∼10개(바람직하게는 2∼4개)의 옥심기, 수소 원자를 나타낸다. 단, RS1의 전부가 수소 원자인 경우는 없다.
상기 RS1은 치환기를 더 가지고 있어도 좋고, 그 치환기로서는 아미노기(바람직하게는 탄소수 0개의 아미노기, 탄소수 1∼10개의 알킬아미노기, 탄소수 6∼18개의 아릴아미노기), 히드록실기, 카르복실기, 글리시딜기, 옥세탄기 등이 바람직하다. 이들의 치환기는 후기 임의의 연결기(L)를 개재하여 연결해도 좋다.
또한, 이와 같이 치환기를 더 가지고 있어도 좋은 경우는 후기 RS2∼RS3에 있어서도 동일하고, 그 범위도 동일하다. 또한, 이들 치환기의 알킬기, 알케닐기는 직쇄이어도 분기이어도 좋고, 또는 환상이어도 좋다.
·알콕시실란
그 중에서도, 유기 규소 화합물로서는 알킬(모노, 디, 트리)알콕시실란 또는 테트라알콕시실란(이하, 특정 알콕시실란류라고 함)인 것이 바람직하다. 특정 알콕시실란류로서는 하기 식(S2)으로 나타내지는 것이 바람직하다.
RS2 m1Si(ORS3)m2···(S2)
RS2는 탄소수 1∼10개(바람직하게는 1∼3개)의 알킬기, 탄소수 2∼10개(바람직하게는 2∼4개)의 알케닐기, 탄소수 6∼22개(바람직하게는 6∼10개)의 아릴기를 나타낸다. RS2가 복수일 때, 서로 같거나 달라도 좋다. 그 중에서도, 알킬기가 바람직하고, 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기 등을 들 수 있다. 또한, 그 중에서 메틸기 또는 에틸기가 바람직하고, 특히 바람직한 것은 메틸기이다.
RS3은 탄소수 1∼10개의 알킬기 또는 탄소수 6∼22개(바람직하게는 6∼10)의 아릴기를 나타낸다. RS3이 복수일 때, 서로 같거나 달라도 좋다. 그 중에서도, 탄소수 1∼4개(보다 바람직하게는 1∼3개)의 알킬기가 보다 바람직하다.
m1, m2는 1∼3의 정수이고, m1+m2는 4이다. 그 중에서도, m1=1, m2=3인 트리알콕시실란 화합물이 바람직하다.
금속 부식 방지제는 제거제 중에서 0.1질량% 이상 함유시키는 것이 바람직하고, 0.5질량% 이상이 보다 바람직하고, 1질량% 이상 함유시키는 것이 특히 바람직하다. 상한은 특별히 제한되지 않지만, 30질량% 이하인 것이 바람직하고, 20질량% 이하가 보다 바람직하고, 10질량% 이하가 특히 바람직하다. 상기 상한치 이하로 함으로써 수지의 제거성을 지나치게 저하시키지 않아 바람직하다. 상기 하한치 이상으로 하는 경우가 양호한 금속 전극의 방식성을 얻을 수 있기 때문에 바람직하다.
본 발명의 제거제가 실록산 수지에 대하여 양호한 제거성을 발휘한 이유는 미해명의 점을 포함하지만, 이하와 같이 생각된다. 즉, 제 4 급 암모늄 수산화물로부터 공급되는 수산화물 이온(OH-)이 실록산 수지의 Si-O 결합에 작용하고, 여기에 수지 화합물을 분해한다고 해석된다. 이 분해물의 용해 등, 그 반응장으로서 극성 비프로톤성 용제가 적합하게 작용한 것 것이라고 추정된다. 또한, 본 발명의 바람직한 실시형태에 있어서는 알콜 화합물이 상기 분해물의 용해 등을 더욱 효과적으로 촉진하고, 높은 제거 작용을 보이는 것이라고 생각된다. 또한, 다른 바람직한 실시형태로서, 상기 금속 부식 방지제를 함유시킴으로써 이것이 금속 전극에 대하여 보호제로서 작용하고, 실록산 수지에 대한 충분한 제거성을 유지하면서 금속 전극의 내손상성을 높인다고 생각된다.
상기 금속 부식 방지제는 1종을 단독으로 사용해도, 2종 이상을 조합시켜 사용해도 좋다.
(계면활성제)
본 발명에 있어서의 제거제에는 계면활성제를 함유시켜도 좋다. 계면활성제로서는 음이온 계면활성제, 양이온 계면활성제, 비이온 계면활성제 등 특별히 한정되지 않는다.
음이온 계면활성제란 특별히 한정되지 않지만, 전형적으로는 친수기와 친유기를 분자 내에 갖고, 친수기의 부분이 수용액 중에서 분해하여 음이온이 되는, 또는 음이온성을 띠는 화합물을 의미한다. 여기서, 음이온 계면활성제는 수소 원자를 따르는 산으로서 존재해도, 그것이 분해한 음이온이어도, 그 염이어도 좋다. 음이온성을 띠고 있으면, 비해리성의 것이어도 좋고, 산 에스테르 등도 포함된다.
상기 음이온 계면활성제는, 바람직하게는 탄소수 3개 이상이고, 탄소수 5개 이상이 보다 바람직하고, 탄소수 10개 이상의 음이온 계면활성제가 특히 바람직하다. 상한은 특별히 없지만, 탄소수 40개 이하인 것이 실제적이다.
탄소수 10개 이상 40개 이하의 음이온 계면활성제의 구체예로서, 탄소수 10개 이상 40개 이하의 카르복실산 화합물, 탄소수 10개 이상 40개 이하의 포스폰산 화합물, 탄소수 10개 이상 40개 이하의 술폰산 화합물을 들 수 있다. 그 중에서도, 알킬술폰산, 알킬벤젠술폰산, 알킬나프탈렌술폰산, 알킬디페닐에테르술폰산(바람직하게는 모노술폰산 또는 디술폰산), 지방산 아미드술폰산, 폴리옥시에틸렌알킬에테르카르복실산, 폴리옥시에틸렌알킬에테르아세트산, 폴리옥시에틸렌알킬에테르프로피온산, 알킬포스폰산, 지방산 및 그들의 염이 바람직하다. 그 중에서도, 알킬디페닐에테르모노술폰산, 알킬디페닐에테르디술폰산 또는 그 염, 또는 그들의 혼합물이 바람직하다. 상기 「염」으로는 암모늄염, 나트륨염, 칼륨염, 테트라메틸암모늄염을 들 수 있다.
양이온성 계면활성제로서는 세틸피리디늄클로라이드 등의 알킬피리디늄계 계면활성제를 들 수 있다.
비이온 계면활성제로서는 탄소수가 8개 이상의 소수성기와 1개 이상의 친수성기를 갖는다. 소수성기로서 바람직하게는 각각 탄소수 14개 이상의 알킬기, 알케닐기, 알키닐기, 시클로알킬기, 아릴기, 복소환기, 및 이들 기를 2개 이상 조합하여 이루어지는 기로부터 선택된 것이다. 친수성기로서는 1개 이상의 O, N, S 원자를 포함하는 것이 바람직하다. 또한, 친수성기의 바람직한 것으로서 에틸렌옥시드기 또는 프로필렌옥시드기를 갖는 것을 들 수 있고, 상기 양 기로 이루어지는 반복단위를 합계로 6개 이상(바람직하게는 6개 이상 100개 이하) 가지는 친수성기를 갖는 비이온성 계면활성제를 들 수 있다. 이 때, 소수성기의 탄소수는 14∼50개인 것이 바람직하고, 16∼30개인 것이 보다 바람직하다. 에틸렌옥시드기 또는 프로필렌옥시드기의 탄소수의 합계는 12∼1000의 정수인 것이 바람직하고, 12∼200의 정수인 것이 보다 바람직하다.
계면활성제는 하기 일반식으로 나타내어지는 것도 바람직하다.
식(A) R-(CH2CH2O)nH
식(B) R-(CH2CH2CH2O)mH
식(C) R-(CH2CH2O)n(CH2CH2CH2O)mH
R은 탄소수 10개 이상 50개 이하의 직쇄 또는 분기가 있는 탄화수소기, n, m은 1 이상 100 이하의 정수를 의미한다.
R로서는 직쇄, 분기쇄, 또는 환식의 치환 또는 비치환 알킬기, 알케닐기, 알키닐기, 시클로알킬기, 아릴기, 복소환기, 또는 이들 기를 2개 이상 조합하여 이루어지는 기를 들 수 있고, 직쇄 및 분기 알킬기가 특히 바람직하다. 또한, 본 명세서에 있어서 치환기에 관해서 「기」라고 하는 단어를 말미에 붙여 부를 때에는 그 기에 임의의 치환기를 가지고 있어도 좋은 의미이다.
n은 6∼500의 정수인 것이 바람직하고, 6∼100의 정수인 것이 보다 바람직하다.
m은 6∼500의 정수인 것이 바람직하고, 6∼100의 정수인 것이 보다 바람직하다.
계면활성의 금속 방식제 중의 함유량은 특별히 한정되지 않지만, 금속 방식제의 전체 질량에 대하여 0.001∼5질량%의 범위 내로 함유시키는 것이 바람직하고, 0.01∼3질량% 함유시키는 것이 보다 바람직하고, 0.05∼1질량% 함유시키는 것이 더욱 바람직하다.
상기 계면활성제는 1종을 단독으로 사용해도, 2종 이상을 조합시켜 사용해도 좋다.
본 명세서에 있어서, 화합물의 치환기나 연결기의 선택지를 비롯하여, 온도, 두께로 한 각 기술사항은 그 리스트가 각각 독립적으로 기재되어 있어도 서로 조합시킬 수 있다.
(용기)
본 발명의 제거제는 대부식성 등이 문제가 없는 한, 임의의 용기에 충전하여 보관, 운반, 그리고 사용할 수 있다. 또한, 반도체 용도 대상에 용기의 클린도가 높고, 불순물의 용출이 적은 것이 바람직하다. 사용가능한 용기로서는 Aicello Corporation 제작의 「클린보틀」시리즈, Kodama Plastics Co., Ltd. 제작의 「퓨어보틀」 등을 들 수 있지만, 이들로 한정되는 것은 아니다.
본 발명의 제거제는 그 사용 용도를 감안하여, 액 중의 불순물, 예를 들면 메탈 분말 등이 적은 것이 바람직하다.
[처리 조건]
본 실시예에 있어서 제거 처리를 행하는 조건은 특별히 한정되지 않지만, 매엽식의 처리이어도 침지식(배치식)의 처리이어도 좋다. 매엽식의 처리에 있어서는 반도체 기판을 소정의 방향으로 반송 또는 회전시켜 그 공간에 제거제를 부여(분사, 유하, 적하 등)하여 상기 반도체 기판에 접촉시킨다. 한편, 배치식의 처리에 있어서는 제거제로 이루어지는 액욕에 반도체 기판을 침지시켜, 상기 액욕 내에서 반도체 기판과 접촉시킨다. 이들의 방식은 기판의 구조나 제거되는 수지 재료 등에 의해 적당하게 구분되면 좋다.
도 2는 본 발명에 적합하게 사용될 수 있는 매엽식 장치의 예를 나타낸 장치 구성도이다. 본 실시예의 제거 처리에 대해서, 동 도를 이용하여 설명하면 조제된 제거제(액 조성물)가 공급부(A)로부터 공급되어 그 후 유로(fc)를 통하여 토출구(13)로 이행되도록 되어 있다. 그 후, 제거제는 토출구(13)로부터 분사되어 반응 용기(11) 내의 반도체 기판(S)의 상면에 적용된다. 유로(fd)는 약액을 재사용하기 위한 반려 경로를 나타내고 있다. 본 실시예에 있어서 반도체 기판(S)은 회전 테이블(12) 상에 있고, 회전 구동부(M)에 의해 회전 테이블과 함께 회전되고 있다.
처리를 행하는 환경 온도는 40℃ 이상인 것이 바람직하고, 50℃ 이상인 것이 보다 바람직하고, 60℃ 이상인 것이 특히 바람직하다. 상한으로서는 100℃ 이하인 것이 바람직하고, 90℃ 이하인 것이 보다 바람직하다. 상기 상한치 이하로 함으로써, 약액에서의 인화의 가능성이 낮아져 안전하게 사용할 수 있기 때문에 바람직하다. 상기 하한치 이상으로 하는 경우가 실록산 수지를 빠르게 제거하는 관점에서 바람직하다.
제거제의 공급 속도는 특별히 한정되지 않지만, 0.5∼3L/min으로 하는 것이 바람직하고, 1∼2L/min으로 하는 것이 보다 바람직하다. 상기 상한치 이하로 함으로써, 약액의 사용량을 저감시킬 수 있기 때문에 바람직하다. 상기 하한치 이상으로 하는 경우가 면 내의 처리 균일성을 향상시키는 관점에서 바람직하다. 반도체 기판을 회전시킬 때에는 그 크기 등에도 의하지만, 상기와 동일한 관점에서 50∼500rpm으로 회전시키는 것이 바람직하다.
배치식의 경우도, 상기와 동일한 이유에 의해 액욕을 상기 온도 범위로 하는 것이 바람직하다. 반도체 기판의 침지 시간은 특별히 한정되지 않지만, 0.5∼30분으로 하는 것이 바람직하다, 1∼10분으로 하는 것이 보다 바람직하다.
본 발명의 바람직한 실시 형태에 따른 매엽식의 처리에 있어서는 반도체 기판을 소정의 방향으로 반송 또는 회전시켜, 그 공간에 제거제를 분사하여 상기 반도체 기판에 상기 제거제를 접촉시키는 것이 바람직하다. 제거제의 공급 속도나 기판의 회전 속도에 대해서는 이미 설명한 것과 동일하다.
본 발명의 바람직한 실시형태에 따른 매엽식의 장치 구성에 있어서는 도 3에 나타낸 바와 같이 토출구(노즐)를 이동시키면서, 제거제를 부여하는 것이 바람직하다. 구체적으로, 본 실시예에 있어서는 반도체 기판(S)에 대하여 제거제를 적용할 때에 기판을 r 방향으로 회전시킬 수 있다. 한편, 상기 반도체 기판의 중심부로부터 단부로 연장되는 이동 궤적선(t)에 따라 토출구가 이동하도록 되어 있다. 이와 같이 하여, 본 실시예에 있어서는 기판의 회전 방향과 토출구의 이동 방향이 다른 방향으로 설정되어 있고, 이에 따라 양자가 서로 상대 운동하도록 되어 있다. 그 결과, 반도체 기판의 전면에 남김없이 제거제를 부여할 수 있고, 처리의 균일성이 적합하게 확보되는 구성으로 하고 있다.
토출구(노즐)의 이동 속도는 특별히 한정되지 않지만, 0.1cm/s 이상인 것이 바람직하고, 1cm/s 이상인 것이 보다 바람직하다. 한편, 그 상한으로서는 30cm/s 이하인 것이 바람직하고, 15cm/s 이하인 것이 보다 바람직하다. 이동 궤적선은 직선이어도 곡선(예를 들면, 원호상)이어도 좋다. 어느 경우에도 이동 속도는 실제의 궤적선의 거리와 그 이동에 소비된 시간으로부터 산출할 수 있다.
[실록산 수지]
본 실시예의 제거제를 적용함으로써 제거되는 재료는 실록산 수지이면 어떤 것이어도 좋지만, 폴리오르가노실록산을 포함하여 이루어지는 것이 바람직하고, 실리콘 변성 에폭시 수지 또는 에폭시기를 갖는 수지와 폴리오르가노실록산(에폭시기를 포함하지 않는 실리콘 수지)의 혼합물인 것이 바람직하다.
실리콘 수지는 하기 식(1)으로 나타내어지는 실록산 단위를 포함하는 것이 바람직하다.
-Si(R1)2O-···(1)
R1은 서로 독립적으로 탄소수 1∼10개의 1가의 탄화수소기이고, 예를 들면 메틸기, 에틸기, n-프로필기, 이소프로필기, n-부틸기, 이소부틸기, tert-부틸기, 펜틸기, 네오펜틸기, 헥실기, 시클로헥실기, 옥틸기, 데실기 등의 알킬기, 비닐기, 알릴기, 프로페닐기, 부테닐기 등의 알케닐기, 페닐기, 톨릴기 등의 아릴기, 벤질기, 페닐에틸기 등의 아랄킬기, 이들 탄화수소기의 수소 원자의 일부 또는 전부를 할로겐 원자 등으로 치환한 할로겐 치환 1가 탄화수소기를 들 수 있다. 바람직하게는 메틸기 또는 페닐기이다. R1의 일부가 -O- 연결기로 되어 수지 매트릭스를 형성하고 있어도 좋다.
실리콘 변성 에폭시 수지는 방향족 중합체와 오르가노하이드로젠 폴리실록산을 부가 반응시켜 얻어지는 실리콘 변성 에폭시 수지를 포함하는 실리콘 변성 에폭시 수지인 것이 바람직하다.
상기 방향족 중합체로서는 하기 식(2)으로 나타내어지는 알케닐기 함유 화합물을 들 수 있다.
Figure 112015044335526-pct00001
R2는 글리시딜기 함유기(바람직하게는 탄소수 2∼10개)이다. R3은 말단 비닐기 함유 알킬렌옥시기(바람직하게는 탄소수 2∼10개)이다. X는 할로겐 원자(브롬 원자 등)이다. m은 0∼3의 정수이다.
상기 오르가노하이드로젠 폴리실록산은 하기 식(3)으로 나타내어지는 화합물인 것이 바람직하다.
HSi(R1)2-O-[Si(R1)2O]n-Si(R1)2H···(3)
R1은 상기 식(1)과 동일하다. n은 0∼200의 정수이고, 5∼100이 바람직하다.
실록산 수지는 기타 경화제나 기타 오르가노폴리실록산 등을 함유시킨 수지 조성물로서 있어도 좋다. 이 실록산 수지 또는 수지 조성물에 대해서는, 예를 들면 일본 특허 공개 2011-132337호 공보, 일본 특허 공개 2012-004200호 공보 등을 참조할 수 있다. 또한, 본 명세서에 있어서는 「수지」라고 할 때, 특정 수지와 다른 제의 조성물 이외에, 그 수지와 다른 수지의 혼합물을 포함하는 의미로 사용된다.
실록산 수지의 조성물은 경화제를 포함하는 것이 바람직하고, 특별히 한정되지 않고 공지의 것을 사용할 수 있다. 구체적으로는, 페놀 수지계 경화제, 아민계 경화제, 산무수물계 경화제 등을 들 수 있고, 그 중에서도 페놀 수지계 경화제가 적합하게 사용될 수 있다.
페놀 수지계 경화제로서는 1분자 중에 페놀성 수산기를 적어도 2개 이상 갖는 페놀 수지를 사용하는 것이 좋다. 이러한 경화제로서 구체적으로는 페놀 노볼락 수지, 크레졸 노볼락 수지 등의 노볼락형 페놀 수지, 파라크실릴렌 변성 노볼락 수지, 메타크실릴렌 변성 노볼락 수지, 오쏘크실릴렌 변성 노볼락 수지, 비스페놀A, 비스페놀F 등의 비스페놀형 수지, 비페닐형 페놀 수지, 레졸형 페놀 수지, 페놀아랄킬 수지, 비페닐 골격 함유 아랄킬형 페놀 수지, 트리페놀 알칸형 수지 및 그 중합체 등의 페놀 수지, 나프탈렌환 함유 페놀 수지, 디시클로펜탄디엔 변성 페놀 수지, 지환식 페놀 수지, 복소환형 페놀 수지 등이 예시되고, 이들은 1종 단독으로도 2종 이상을 조합시켜 사용할 수 있다.
상기 페놀 수지계 경화제는 연화점이 60∼150℃, 특히 70∼130℃인 것이 바람직하다. 또한 수산기 당량으로서는 90∼250의 것이 바람직하다. 또한, 페놀 수지계 경화제는 그 정제 과정에서 잔존한 나트륨, 칼륨이 10ppm(질량 기준) 이하인 페놀 수지를 사용하는 것이 바람직하다. 10ppm을 초과한 것을 이용하여 반도체 장치를 밀봉하고 장시간 고온고습 하에서 반도체 장치를 방치했을 경우, 내습성의 열화가 촉진되는 경우가 있다.
경화제의 배합량은 에폭시 수지를 사용하는 경우, 경화하는 유효량이면 좋고 특별히 제한되지 않지만, 바람직하게는 에폭시 수지 중에 포함되는 에폭시기 1mol에 대하여 경화제 중에 포함되는 반응성 관능기(예를 들면, 페놀성 수산기)의 몰비가 0.4∼2.0, 특히 0.6∼1.8인 것이 바람직하다.
실록산 수지의 분자량은, 바람직하게는 5,000∼200,000, 보다 바람직하게는 8,000∼100,000이다. 분자량이 상기 하한값 이상이면, 얻어지는 피막의 강도가 높아져 바람직하다. 한편, 분자량이 상기 상한치 이하인 것은 용제에 대한 용해성이 풍부하고, 취급이 용이한 것이 있다. 또한, 본 명세서에 있어서 실록산 수지의 분자량은 특별히 언급하지 않는 한, 수 평균 분자량이고 겔 침투 크로마토그래피(GPC)에 의한 폴리스티렌 환산의 수 평균 분자량을 말한다. 캐리어는 측정 대상 수지가 용해하는 임의의 것을 사용하면 좋지만, 예를 들면 THF(테트라히드로푸란)를 적합하게 사용할 수 있다.
본 발명에 있어서는 실록산 수지로서, 에폭시기를 갖는 수지(에폭시 수지)의 혼합물을 사용하는 것도 바람직하다. 실록산 수지로서는 상기 식(1)을 갖는 수지를 들 수 있다. 에폭시 수지로서는 레지스트 용도 등에 적용되고 있는 일반적인 수지를 적합하게 사용할 수 있다. 실록산 수지와 에폭시 수지의 혼합비는 특별히 한정되지 않는다.
에폭시 수지는 특별히 한정되지 않고, 종래 공지의 각종의 것을 사용할 수 있지만, 1분자 중에 에폭시기를 적어도 2개 갖는 것이 바람직하다.
에폭시 수지로서는 비스(4-히드록시페닐)메탄, 2,2'-비스(4-히드록시페닐)프로판 또는 그들의 할로겐화물의 디글리시딜에테르 및 이들의 축중합물(소위, 비스페놀F형 에폭시 수지, 비스페놀A형 에폭시 수지 등); 부타디엔디에폭시드; 비닐시클로헥센디옥시드; 1,2-디히드록시벤젠의 디글리시딜에테르, 레조르시놀의 디글리시딜에테르, 1,4-비스(2,3-에폭시프로폭시)벤젠, 4,4'-비스(2,3-에폭시프로폭시)디페닐에테르, 1,4-비스(2,3-에폭시프로폭시)시클로헥센 등의 디글리시딜에테르; 비스(3,4-에폭시-6-메틸시클로헥실메틸)아디페이트; 다가 페놀 또는 다가 알콜과 에피클로로히드린을 축합시켜 얻어지는 폴리글리시딜에테르; 페놀 노볼락, 크레졸 노볼락 등의 노볼락형 페놀 수지 또는 할로겐화 노볼락형 페놀 수지와 에피클로로히드린을 축합시켜 얻어지는 에폭시 노볼락(즉, 페놀 노볼락형 에폭시 수지, 크레졸 노볼락형 에폭시 수지 등의 노볼락형 에폭시 수지); 과산화법에 의해 에폭시화한 에폭시화 폴리올레핀 또는 에폭시화 폴리부타디엔; 나프탈렌환 함유 에폭시 수지; 비페닐형 에폭시 수지; 페놀 아랄킬형 에폭시 수지; 비페닐 아랄킬형 에폭시 수지; 디시클로펜타디엔형 에폭시 수지(즉, 디시클로펜타디엔 골격을 갖는 에폭시 수지) 등을 들 수 있다.
상기 수지층(2) 및 박리층(3)(도 1)은 상기 실록산 수지 또는 그 조성물을 사용하는 것이 바람직하다. 본 명세서에 있어서는 상기 실록산 수지로 이루어지는 층, 이것을 포함하는 수지 조성물로 이루어지는 층, 그들의 경화물의 층을 총칭하여 실록산 수지층이라고 한다. 수지층(2)으로서는 보다 내약품성, 접착성, 파괴 내성(연삭 내성)을 고려하여 실리콘 변성 에폭시 수지 또는 실록산 수지와 에폭시 수지의 혼합물을 사용하는 것이 바람직하다. 박리층(3)에는 박리성을 보다 우선하여, 에폭시기가 유입되지 않고 있는 실리콘 수지를 사용하는 예를 들 수 있다. 수지층(2)의 두께는 특별히 한정되지 않지만, 10∼200㎛인 것이 바람직하다. 박리층의 두께는 1∼50㎛인 것이 바람직하다.
[전극]
전극에 사용되는 재료는 특별히 한정되지 않지만, 최근 프로세스에서 적용되고 있는 것을 들면, 알루미늄(Al), 구리(Cu), 텅스텐(W), 니켈(Ni), 주석 은 합금(Sn/Ag), 티타늄(Ti), 납(Pb), 폴리실리콘 등을 들 수 있다. 그 중에서도, 본 발명의 제거제의 효과가 적합하게 발현되어지는 관점에서 알루미늄, 텅스텐, 폴리실리콘을 사용하는 것이 바람직하다. 또한, 전극의 재료는 합금이어도 좋고, 예를 들면 알루미늄과 구리의 합금을 들 수 있다. 전극의 노출면의 원 상당 직경(도 1의 스루홀(9b)의 폭에 상당)은 2∼100㎛ 정도인 것이 실제적이다.
[반도체 기판 제품의 제조]
본 실시예에 있어서는 실리콘 웨이퍼 내에, 스루홀(9b) 또는 거기에 형성한 전극을 갖는 반도체 기판 제품 및 이것을 사용한 반도체 소자 또는 반도체 제품을 제조하는 것이 바람직하다. 구체적으로는 (1) 반도체 기판에 실록산 수지층을 형성하는 공정과, (2) 상기 반도체 기판을 상기 실록산 수지층을 통하여 지지 기판에 접착시키는 공정과, (3) 상기 반도체 기판의 상기 지지 기판과 반대측을 연마하여 박화하는 공정과, (4) 상기 박화한 반도체 기판으로부터 상기 지지 기판을 박리하는 공정과, (5) 상기 박화한 반도체 기판에 부착된 상기 실록산 수지에 상기한 제거제를 접촉시켜 상기 실록산 수지층을 제거하는 공정을 포함하는 제조 과정을 들 수 있다. 이 때, 상기 수지층의 상기 기판과 반대측에 박리층을 배치하고, 상기 박리층을 통하여 상기 기판과 접합시키도록 하는 것도 바람직하다. 또한, 상기 각 공정의 순서는 특별히 한정되지 않고, 소망의 효과를 나타내는 범위에서 적당히 공정순서를 변경하거나 공정간에 다른 공정을 개재시켜도 좋다. 예를 들면, 상기 공정(4)과 (5)은 동시에 행해도 좋고, 지지 기판을 접착제의 제거와 함께 행해도 좋다.
(실시예)
이하, 본 발명의 실시예에 대해서 상세하게 설명하지만, 이들의 실시예에 의해 본 발명을 한정하여 해석되는 것은 아니다. 또한, 실시예에서 나타낸 양이나 비율의 규정은 특별히 언급되지 않는 한 질량 기준이다.
실록산 수지로서 폴리디메틸실록산(Mw.10000)과 비스페놀A 디글리시딜에테르를 혼합한 것을 실록산 수지 A, 폴리디메틸실록산(Mw.40000)을 실록산 수지 B로서 사용했다. 실록산 수지 A, B를 각각 12inch 웨이퍼에 스핀코트하여 핫플레이트에서 200℃ 30min 가열함으로써 실록산층 A(도 1의 수지층(2)) 및 실록산층 B(도 1의 박리층(3))를 얻었다. 상기 웨이퍼 및 Al의 블랭킷 웨이퍼를 1×2cm로 잘라 테스트 웨이퍼를 얻었다.
하기 조성의 약액을 작성하고 비커에서 70℃에서 가온한 후, 상기 테스트 웨이퍼를 침지하여 에칭 속도를 산출했다. 또한, 막 두께의 측정에는, 실록산층 A는 촉침형 막 두께 측정계(ULVAC, Inc. 제작, 상품명 Dektak), 실록산층 B는 광학식 막 두께 측정기(Filmetrics Inc. 제작, 상품명 F-20), Al은 4단자형 전류계(Kokusai Denki Alpha Co., Ltd. 제작, 상품명 VR200)를 사용했다. 또한, Al은 전류치로부터 막 두께를 산출했다.
Figure 112015044335526-pct00002
성분의 배합량의 단위는 (질량%)
DMSO: 디메틸술폭시드
TMAH: 테트라메틸암모늄 수산화물
ER: 에칭 레이트
1Å=0.1nm
C에서 개시되는 것은 비교예
Figure 112015044335526-pct00003
Figure 112015044335526-pct00004
Figure 112015044335526-pct00005
Figure 112015044335526-pct00006
이상과 같이, 본 발명의 제거제에 의하면 실록산 수지를 적합하게 제거할 수 있다. 또한, 그 바람직한 실시형태에 있어서는 알루미늄 전극에 대한 보호성(내에칭성)이 우수한 것을 알았다.
본 발명을 그 실시형태와 함께 설명했지만, 본 발명자는 특별히 지정하지 않는 한 본 발명자의 발명을 설명 중 어느 세부에 있어서도 한정하려는 것은 아니고, 첨부된 청구범위에 나타낸 발명의 정신과 범위에 반하지 않고 폭넓게 해석되어야 한다고 생각한다.
본 발명은 2012년 12월 11일에 일본국에서 특허 출원된 특허 출원 2012-270787, 및 2013년 2월 4일에 일본국에서 특허 출원된 특허 출원 2013-019764에 근거하는 우선권을 주장하는 것이고, 이들은 여기에 참조하여 그 내용을 본 명세서의 기재의 일부로서 포함된다.
1a: 반도체 기판 1b: 반도체 기판 제품
2: 수지층 3: 박리층
4: 지지 기판 9a: 비아
9b: 스루홀 11: 반응 용기
12: 회전 테이블 13: 토출구
S: 기판

Claims (21)

  1. 반도체 기판에 부착된 폴리오르가노실록산과 에폭시기를 갖는 수지의 혼합물 또는 실리콘 변성 에폭시 수지인 실록산 수지를 제거하기 위한 제거제로서,
    상기 반도체 기판은 알루미늄 전극을 갖고,
    극성 비프로톤성 용제 80∼95질량% 및 제 4 급 암모늄 수산화물 2∼8질량%를 포함하는 것을 특징으로 하는 실록산 수지의 제거제.
  2. 반도체 기판에 부착된 폴리오르가노실록산과 에폭시기를 갖는 수지의 혼합물 또는 실리콘 변성 에폭시 수지인 실록산 수지를 제거하기 위한 제거제로서,
    극성 비프로톤성 용제 80∼95질량%, 제 4 급 암모늄 수산화물 2∼8질량% 및 물 1∼10질량%를 포함하는 것을 특징으로 하는 실록산 수지의 제거제.
  3. 반도체 기판에 부착된 폴리오르가노실록산과 에폭시기를 갖는 수지의 혼합물 또는 실리콘 변성 에폭시 수지인 실록산 수지를 제거하기 위한 제거제로서,
    극성 비프로톤성 용제 80∼95질량%, 제 4 급 암모늄 수산화물 2∼8질량% 및알콜 화합물 1∼10질량%를 포함하는 것을 특징으로 하는 실록산 수지의 제거제.
  4. 제 1 항 또는 제 2 항에 있어서,
    알콜 화합물을 더 함유하는 것을 특징으로 하는 실록산 수지의 제거제.
  5. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 극성 비프로톤성 용제는 디메틸술폭시드(DMSO)인 것을 특징으로 하는 실록산 수지의 제거제.
  6. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 4 급 암모늄 수산화물은 테트라메틸암모늄 수산화물(TMAH), 테트라에틸암모늄 수산화물(TEAH), 테트라부틸암모늄 수산화물(TBAH), 또는 벤질트리메틸 암모늄 수산화물인 것을 특징으로 하는 실록산 수지의 제거제.
  7. 제 4 항에 있어서,
    상기 알콜 화합물은 2-에틸헥산올, 벤질알콜, 또는 모노에탄올아민인 것을 특징으로 하는 실록산 수지의 제거제.
  8. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    금속 부식 방지제로서 인산, 붕산, 유기산, 또는 규소 화합물을 더 함유시킨 것을 특징으로 하는 실록산 수지의 제거제.
  9. 제 4 항에 있어서,
    상기 알콜 화합물을 1∼10질량%로 포함하는 것을 특징으로 하는 실록산 수지의 제거제.
  10. 제 8 항에 있어서,
    상기 금속 부식 방지제를 0.1∼5질량%로 포함하는 것을 특징으로 하는 실록산 수지의 제거제.
  11. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 실록산 수지는 폴리오르가노실록산을 포함하여 이루어지는 것을 특징으로 하는 실록산 수지의 제거제.
  12. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 실록산 수지는 접착제인 것을 특징으로 하는 실록산 수지의 제거제.
  13. 제 4 항에 있어서,
    상기 알콜 화합물은 하기 식(O-1), (A-1) 또는 (A-2)로 나타내어지는 화합물인 것을 특징으로 하는 실록산 수지의 제거제.
    R11-(-O-R12-)n-O-H···(O-1)
    [R11은 탄소수 1개 이상 5개 이하의 알킬기, 탄소수 6∼10개의 아릴기, 또는 탄소수 7∼11개의 아랄킬기이다. R12는 직쇄상 또는 분기상의 탄소수 1개 이상 4개 이하의 알킬렌 쇄이다. 복수의 R12가 존재할 때 그 각각은 달라도 좋다. n은 0 이상 20 이하의 정수이다]
    NRN1 2-LN1-OH···(A-1)
    NRN2 2-(LN2-Y)m-LN3-OH···(A-2)
    [RN1 및 RN2는 각각 독립적으로 수소 원자, 알킬기, 아릴기, 또는 아랄킬기이다. LN1∼LN3은 각각 독립적으로 알킬렌기이다. Y는 산소 원자 또는 이미노기(-NRN-)이고, RN은 RN1과 동일하다. m은 1∼20의 정수이다]
  14. 제 1 항 또는 제 3 항에 있어서,
    상기 실록산 수지 제거제의 수분량은 10질량% 이하인 것을 특징으로 하는 실록산 수지의 제거제.
  15. 제 8 항에 있어서,
    상기 금속 부식 방지제는 하기 식(S1)으로 나타내어지는 화합물인 것을 특징으로 하는 실록산 수지의 제거제.
    RS1 4Si···(S1)
    [식 중, RS1은 탄소수 1∼10개의 알킬기, 탄소수 1∼10개의 알콕시기, 탄소수 6∼22개의 아릴기, 탄소수 6∼22개의 아릴옥시기, 탄소수 2∼10개의 알케닐기, 탄소수 1∼10개의 아실옥시기, 탄소수 7∼25개의 아릴로일옥시기, 탄소수 2∼10개의 옥심기, 또는 수소 원자를 나타낸다. 단, RS1의 전부가 수소 원자인 경우는 없다]
  16. 제 2 항 또는 제 3 항에 기재된 실록산 수지의 제거제를 반도체 기판 상에 부착된 폴리오르가노실록산과 에폭시기를 갖는 수지의 혼합물 또는 실리콘 변성 에폭시 수지인 실록산 수지에 접촉시켜 상기 수지를 제거하는 것을 특징으로 하는 실록산 수지의 제거 방법.
  17. 반도체 기판에 폴리오르가노실록산과 에폭시기를 갖는 수지의 혼합물 또는 실리콘 변성 에폭시 수지인 실록산 수지의 층을 형성하는 공정과, 상기 반도체 기판을 상기 실록산 수지층을 통하여 지지 기판에 접착시키는 공정과, 상기 반도체 기판의 상기 지지 기판과 반대측을 연삭하여 박화하는 공정과, 상기 박화한 반도체 기판으로부터 상기 지지 기판을 박리하는 공정과, 상기 박화한 반도체 기판에 부착된 상기 실록산 수지에 제 2 항 또는 제 3 항에 기재된 실록산 수지의 제거제를 접촉시켜 상기 실록산 수지를 제거하는 공정을 갖는 것을 특징으로 하는 반도체 기판 제품의 제조 방법.
  18. 제 17 항에 있어서,
    상기 실록산 수지층의 상기 반도체 기판과 반대측에 박리층을 배치하고, 상기 박리층을 통하여 상기 지지 기판과 접착시키는 것을 특징으로 하는 반도체 기판 제품의 제조 방법.
  19. 반도체 기판에 폴리오르가노실록산과 에폭시기를 갖는 수지의 혼합물 또는 실리콘 변성 에폭시 수지인 실록산 수지의 층을 형성하는 공정과,
    상기 반도체 기판을 상기 실록산 수지층을 통하여 지지 기판에 접착시키는 공정과,
    상기 반도체 기판의 상기 지지 기판과 반대측을 연삭하여 박화하는 공정과,
    상기 박화한 반도체 기판으로부터 상기 지지 기판을 박리하는 공정과,
    상기 박화한 반도체 기판에 부착된 상기 실록산 수지에 제 2 항 또는 제 3 항에 기재된 실록산 수지의 제거제를 접촉시켜 상기 실록산 수지를 제거하는 공정과,
    상기 실록산 수지가 제거된 반도체 기판을 사용하여 반도체 소자를 제조하는 공정을 갖는 것을 특징으로 하는 반도체 소자의 제조 방법.
  20. 제 1 항에 기재된 실록산 수지의 제거제를 알루미늄 전극을 갖는 반도체 기판 상에 부착된 폴리오르가노실록산과 에폭시기를 갖는 수지의 혼합물 또는 실리콘 변성 에폭시 수지인 실록산 수지에 접촉시켜 상기 수지를 제거하는 것을 특징으로 하는 실록산 수지의 제거 방법.
  21. 알루미늄 전극을 갖는 반도체 기판에 폴리오르가노실록산과 에폭시기를 갖는 수지의 혼합물 또는 실리콘 변성 에폭시 수지인 실록산 수지의 층을 형성하는 공정과, 상기 반도체 기판을 상기 실록산 수지층을 통하여 지지 기판에 접착시키는 공정과, 상기 반도체 기판의 상기 지지 기판과 반대측을 연삭하여 박화하는 공정과, 상기 박화한 반도체 기판으로부터 상기 지지 기판을 박리하는 공정과, 상기 박화한 반도체 기판에 부착된 상기 실록산 수지에 제 1 항에 기재된 실록산 수지의 제거제를 접촉시켜 상기 실록산 수지를 제거하는 공정을 갖는 것을 특징으로 하는 반도체 기판 제품의 제조 방법.
KR1020157012142A 2012-12-11 2013-12-06 실록산 수지의 제거제, 그것을 사용한 실록산 수지의 제거 방법, 및 반도체 기판 제품 및 반도체 소자의 제조 방법 KR101878240B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2012-270787 2012-12-11
JP2012270787 2012-12-11
JP2013019764A JP2014133855A (ja) 2012-12-11 2013-02-04 シロキサン樹脂の除去剤、それを用いたシロキサン樹脂の除去方法並びに半導体基板製品及び半導体素子の製造方法
JPJP-P-2013-019764 2013-02-04
PCT/JP2013/082861 WO2014092022A1 (ja) 2012-12-11 2013-12-06 シロキサン樹脂の除去剤、それを用いたシロキサン樹脂の除去方法並びに半導体基板製品及び半導体素子の製造方法

Publications (2)

Publication Number Publication Date
KR20150071022A KR20150071022A (ko) 2015-06-25
KR101878240B1 true KR101878240B1 (ko) 2018-07-13

Family

ID=50934313

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157012142A KR101878240B1 (ko) 2012-12-11 2013-12-06 실록산 수지의 제거제, 그것을 사용한 실록산 수지의 제거 방법, 및 반도체 기판 제품 및 반도체 소자의 제조 방법

Country Status (4)

Country Link
JP (1) JP2014133855A (ko)
KR (1) KR101878240B1 (ko)
TW (1) TWI610996B (ko)
WO (1) WO2014092022A1 (ko)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6165665B2 (ja) * 2013-05-30 2017-07-19 信越化学工業株式会社 基板の洗浄方法
JP6329889B2 (ja) * 2014-12-11 2018-05-23 信越化学工業株式会社 洗浄液及び塗布成膜装置配管の洗浄方法
FR3030880B1 (fr) 2014-12-19 2018-05-11 Commissariat Energie Atomique Procede de transformation d'un dispositif electronique
JP6193425B2 (ja) * 2016-03-04 2017-09-06 株式会社トウペ 水性塗膜剥離剤
JP6536464B2 (ja) * 2016-04-26 2019-07-03 信越化学工業株式会社 洗浄剤組成物及び薄型基板の製造方法
TWI732005B (zh) * 2016-07-29 2021-07-01 日商富士軟片股份有限公司 套組、洗淨劑組成物及半導體元件的製造方法
US10332740B2 (en) 2016-12-14 2019-06-25 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device and a cleaning composition for an adhesive layer
TW201831667A (zh) * 2017-01-13 2018-09-01 日商富士軟片股份有限公司 試劑盒、半導體元件的製造方法及半導體元件的基板的清洗方法
US20220119739A1 (en) * 2019-01-15 2022-04-21 Showa Denko K.K. Decomposing/cleaning composition, method for cleaning adhesive polymer, and method for producing device wafer
WO2020166703A1 (ja) 2019-02-15 2020-08-20 日産化学株式会社 洗浄剤組成物及び洗浄方法
WO2020166704A1 (ja) 2019-02-15 2020-08-20 日産化学株式会社 洗浄剤組成物及び洗浄方法
US11866676B2 (en) 2019-02-15 2024-01-09 Nissan Chemical Corporation Cleaning agent composition and cleaning method
JP7495672B2 (ja) * 2019-03-05 2024-06-05 日産化学株式会社 洗浄剤組成物及び洗浄方法
JP7323870B2 (ja) 2019-03-14 2023-08-09 日産化学株式会社 洗浄剤組成物及び洗浄方法
JP7220119B2 (ja) * 2019-05-22 2023-02-09 信越化学工業株式会社 基板用仮接着剤の洗浄液、基板の洗浄方法および支持体または基板の洗浄方法
US20220186152A1 (en) * 2019-05-22 2022-06-16 Shin-Etsu Chemical Co., Ltd. Detergent composition, substrate cleaning method, and cleaning method for support or substrate
WO2021033654A1 (ja) * 2019-08-19 2021-02-25 富士フイルム株式会社 洗浄用組成物、リンス液、洗浄キット、洗浄体の製造方法および半導体素子の製造方法
US11781092B2 (en) 2019-08-27 2023-10-10 Resonac Corporation Composition, and method for cleaning adhesive polymer
KR102453332B1 (ko) 2019-11-20 2022-10-11 닛산 가가쿠 가부시키가이샤 세정제 조성물 및 세정 방법
US20230151306A1 (en) 2020-03-23 2023-05-18 Nissan Chemical Corporation Method for cleaning semiconductor substrate, method for producing processed semiconductor substrate, and stripping composition
KR20220157423A (ko) 2020-03-23 2022-11-29 닛산 가가쿠 가부시키가이샤 반도체 기판의 세정 방법, 가공된 반도체 기판의 제조 방법 및 박리용 조성물
KR20220161345A (ko) 2020-03-23 2022-12-06 닛산 가가쿠 가부시키가이샤 반도체 기판의 세정 방법, 가공된 반도체 기판의 제조 방법 및 박리용 조성물
US20230125907A1 (en) 2020-03-23 2023-04-27 Nissan Chemical Corporation Method for cleaning semiconductor substrate, method for producing processed semiconductor substrate, and stripping composition
JP7345725B2 (ja) 2020-03-23 2023-09-19 日産化学株式会社 半導体基板の洗浄方法、加工された半導体基板の製造方法及び剥離用組成物
WO2021193519A1 (ja) 2020-03-23 2021-09-30 日産化学株式会社 半導体基板の洗浄方法、加工された半導体基板の製造方法及び剥離用組成物
JPWO2021201047A1 (ko) 2020-03-31 2021-10-07
JP7498427B2 (ja) 2020-03-31 2024-06-12 日産化学株式会社 洗浄剤組成物及び加工された半導体基板の製造方法
CN115427547A (zh) 2020-04-09 2022-12-02 昭和电工株式会社 组合物、及粘接性聚合物的洗涤方法
JP7468787B2 (ja) 2021-09-16 2024-04-16 日産化学株式会社 半導体基板の洗浄方法、加工された半導体基板の製造方法、及び、剥離及び溶解用組成物
KR20240070529A (ko) 2021-09-16 2024-05-21 닛산 가가쿠 가부시키가이샤 반도체 기판의 세정 방법, 가공된 반도체 기판의 제조 방법, 및 박리 및 용해용 조성물
JP7353439B1 (ja) 2022-08-03 2023-09-29 信越化学工業株式会社 シリコーン樹脂溶解剤及びシリコーンコーティングと基布の分離方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0728254A (ja) * 1993-07-08 1995-01-31 Kanto Chem Co Inc レジスト用剥離液
JP2000019744A (ja) * 1998-04-28 2000-01-21 Kao Corp 剥離剤組成物
JP2004177669A (ja) * 2002-11-27 2004-06-24 Tokyo Ohka Kogyo Co Ltd シリコン含有2層レジストの剥離除去方法及びこれに用いる洗浄液
JP2012004200A (ja) * 2010-06-15 2012-01-05 Shin Etsu Chem Co Ltd 薄型ウエハの製造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1138726B1 (en) * 2000-03-27 2005-01-12 Shipley Company LLC Polymer remover
JP3792620B2 (ja) * 2001-08-03 2006-07-05 日本電気株式会社 剥離剤組成物
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
JP5040252B2 (ja) * 2006-10-13 2012-10-03 東レ株式会社 半導体用接着組成物、それを用いた半導体装置および半導体装置の製造方法。
JP5238927B2 (ja) * 2007-03-14 2013-07-17 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー 半導体装置の製造方法
JP2009094205A (ja) * 2007-10-05 2009-04-30 Renesas Technology Corp デバイスウエハの研磨パッド及び研磨装置
JP2009094335A (ja) * 2007-10-10 2009-04-30 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
JP5546134B2 (ja) * 2009-01-27 2014-07-09 古河電気工業株式会社 表面保護用粘着テープ
JP5320619B2 (ja) * 2009-09-08 2013-10-23 三菱電機株式会社 半導体装置の製造方法
JP5601922B2 (ja) * 2010-07-29 2014-10-08 富士フイルム株式会社 研磨液及び研磨方法
JP2012060050A (ja) * 2010-09-13 2012-03-22 Fujifilm Corp 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
JP5735774B2 (ja) * 2010-09-30 2015-06-17 芝浦メカトロニクス株式会社 保護体、基板積層体、貼り合わせ装置、剥離装置、および基板の製造方法
CN102959691A (zh) * 2010-11-19 2013-03-06 三菱瓦斯化学株式会社 半导体基板的洗涤用液体组合物以及使用其的半导体基板的洗涤方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0728254A (ja) * 1993-07-08 1995-01-31 Kanto Chem Co Inc レジスト用剥離液
JP2000019744A (ja) * 1998-04-28 2000-01-21 Kao Corp 剥離剤組成物
JP2004177669A (ja) * 2002-11-27 2004-06-24 Tokyo Ohka Kogyo Co Ltd シリコン含有2層レジストの剥離除去方法及びこれに用いる洗浄液
JP2012004200A (ja) * 2010-06-15 2012-01-05 Shin Etsu Chem Co Ltd 薄型ウエハの製造方法

Also Published As

Publication number Publication date
TWI610996B (zh) 2018-01-11
WO2014092022A1 (ja) 2014-06-19
JP2014133855A (ja) 2014-07-24
KR20150071022A (ko) 2015-06-25
TW201431987A (zh) 2014-08-16

Similar Documents

Publication Publication Date Title
KR101878240B1 (ko) 실록산 수지의 제거제, 그것을 사용한 실록산 수지의 제거 방법, 및 반도체 기판 제품 및 반도체 소자의 제조 방법
US10490417B2 (en) Etching composition
KR20170062404A (ko) 웨이퍼 가공체 및 웨이퍼 가공 방법
EP2634794B1 (en) Adhesive layer for use in temporarily supporting a wafer during processing, wafer support with adhesive layer for processing wafer, wafer-adhesive-support composite and method of manufacturing a thin wafer
EP3133641A1 (en) Wafer processing bonding arrangement, wafer laminate, and thin wafer manufacturing method
KR101506517B1 (ko) 기재의 처리 방법, 적층체 및 반도체 장치
KR20130137606A (ko) 구리 또는 구리 합금용 에칭 용액
TWI690579B (zh) 晶圓加工用暫時接著材、晶圓加工體、及薄型晶圓之製造方法
US10370623B2 (en) Cleaner composition and preparation of thin substrate
EP3418340A1 (en) Temporary adhesive film roll for substrate processing, method for manufacturing thin wafer
KR102347599B1 (ko) 실리콘계 수지 제거용 조성물
EP3240016B1 (en) Cleaner composition and preparation of thin substrate
US8012922B2 (en) Wet cleaning solution
WO2022210238A1 (ja) 積層体、剥離剤組成物及び加工された半導体基板の製造方法
KR102192954B1 (ko) 고분자 세정용 조성물
JP2022143089A (ja) 積層体、積層体の製造方法、及び半導体基板の製造方法
WO2015112330A1 (en) Temporary-bonded wafer systems and methods of making the same
WO2024062974A1 (ja) 光照射剥離用の剥離剤組成物、積層体、及び加工された半導体基板の製造方法
JP7367103B2 (ja) 高分子処理用工程液組成物
WO2022210262A1 (ja) 積層体、剥離剤組成物及び加工された半導体基板の製造方法
KR20160112401A (ko) 실리콘계 수지 제거용 조성물
CN117083694A (zh) 层叠体、层叠体的制造方法以及半导体基板的制造方法
KR20160059633A (ko) 레지스트 박리액 조성물 및 이를 이용한 레지스트의 박리방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant