KR101448284B1 - 후면 조명된 이미지 센서들 - Google Patents

후면 조명된 이미지 센서들 Download PDF

Info

Publication number
KR101448284B1
KR101448284B1 KR1020137029880A KR20137029880A KR101448284B1 KR 101448284 B1 KR101448284 B1 KR 101448284B1 KR 1020137029880 A KR1020137029880 A KR 1020137029880A KR 20137029880 A KR20137029880 A KR 20137029880A KR 101448284 B1 KR101448284 B1 KR 101448284B1
Authority
KR
South Korea
Prior art keywords
layer
forming
image sensor
fabricating
illuminated image
Prior art date
Application number
KR1020137029880A
Other languages
English (en)
Other versions
KR20130131496A (ko
Inventor
자로슬라브 하이네섹
레오나르드 포베스
호마윤 해드대드
토마스 조이
Original Assignee
인텔렉츄얼 벤처스 투 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔렉츄얼 벤처스 투 엘엘씨 filed Critical 인텔렉츄얼 벤처스 투 엘엘씨
Publication of KR20130131496A publication Critical patent/KR20130131496A/ko
Application granted granted Critical
Publication of KR101448284B1 publication Critical patent/KR101448284B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14698Post-treatment for the devices, e.g. annealing, impurity-gettering, shor-circuit elimination, recrystallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

후면 조명된 이미지 센서는 기판, 기판의 후면상에 배치되는 후면 패시베이션층, 및 후면 패시베이션층상에 배치되는 투과성 도전층을 포함한다.

Description

후면 조명된 이미지 센서들 {BACKSIDE ILLUMINATED IMAGE SENSOR}
본 발명은 이미지 센서, 특히, 후면 조명된 이미지 센서의 조명된 후면과 관련된다.
일반적으로, 이하에서는 CMOS 이미지 센서로서 지칭될 수 있는 상보성 금속 산화물 반도체 능동 픽셀 센서(CMOS APS: Complementary Metal Oxide Semiconductor Active Pixel Sensor)에서, 광 수용 엘리먼트, 디지털 제어 블록, 및 아날로그-대-디지털 변환기와 같은 주변 회로는 칩 내의 제한된 영역에 정렬된다. 따라서, 칩 영역당 픽셀 어레이의 영역 비율은 약 40%로 제한된다. 또한, 픽셀 크기는 고품질 이미지들의 구현을 위해 크게 감소되기 때문에, 하나의 광 수용 엘리먼트가 수집할 수 있는 광의 양은 감소되고, 노이즈는 증가되어, 노이즈로부터 초래되는 이미지 손실과 같은 다양한 문제점들이 증가되게 된다.
본 발명의 실시예들은 후면-조명된 이미지 센서와 관련되며, 여기서 광은 (웨이퍼인) 기판의 후면을 조명한다.
본 발명의 일 양상에 따라, 기판, 상기 기판의 후면상에 배치되는 후면 패시베이션층, 및 상기 후면 패시베이션층상에 배치되는 투과성 도전층을 포함하는, 후면 조명된 이미지 센서가 제공된다.
본 발명의 다른 양상에 따라, 제1 기판에 배치되는 광 수용 엘리먼트, 상기 광 수용 엘리먼트를 갖는 상기 제1 기판상에 배치되는 층간 절연층, 상기 광 수용 엘리먼트로부터 이격되고 상기 층간 절연층 및 상기 제1 기판을 통과하는 정렬 키(key), 상기 다중층 구조물의 상기 층간 절연층상에 배치되는 다수의 상호접속층들 ― 상기 최하위 상호접속층의 후면이 상기 정렬 키에 연결됨 ― , 상기 상호접속층들을 커버하는 전면 패시베이션층, 상기 제1 기판의 후면상에 배치되는 후면 패시베이션층, 상기 후면 패시베이션층상에 배치되고 상기 정렬 키에 연결되는 투과성 도전층, 및 상기 광 수용 엘리먼트에 면하도록 상기 투과성 도전층상에 배치되는 컬러 필터 및 마이크로렌즈를 포함하는, 후면 조명된 이미지 센서가 제공된다.
도 1은 본 발명의 일 실시예에 따른 후면 조명된 이미지 센서를 예시하는 횡단면도이다.
도 2a 내지 2j는 본 발명의 일 실시예에 따른 후면 조명된 이미지 센서를 제작하기 위한 방법을 개시하는 횡단면도들이다.
도 3은 음의 전압이 투과성 도전층에 인가될 때의 에너지 대역을 도시한다.
도 4는 후면 패시베이션층이 실리콘 질화물층일 때의 에너지 대역을 보여준다.
본 발명의 실시예들은 본 발명의 일 실시예에 따른 후면 조명된 이미지 센서와 관련된다.
도면들을 참고하여, 층들 및 영역들의 조명된 두께는 설명을 용이하게 하기 위하여 과장된다. 제1 층이 제2 층"상에(on)" 또는 기판"상에" 있는 것으로 참조될 때, 이것은 제1층이 제2층 또는 기판상에 직접 형성되는 것을 의미할 수 있거나, 또는 제1층과 기판 사이에 제3 층이 존재할 수 있음을 또한 의미할 수 있다. 추가로, 본 발명의 다양한 실시예들 전반을 통한 동일하거나 유사한 참조 번호들은 상이한 도면들에서 동일하거나 유사한 엘리먼트들을 나타낸다.
도 1은 본 발명의 일 실시예에 따른 후면 조명된 이미지 센서를 예증하는 횡단면도이다.
편의를 위해 CMOS 이미지 센서의 단위 픽셀의 구동 트랜지스터의 게이트 전극 및 포토다이오드만이 도 1에 예시된다.
도 1을 참고하여, 본 발명의 실시예에 따른 후면 조명된 이미지 센서는 제2 반도체 패턴(100-3A), 제 반도체 패턴(100-3A)의 후면상에 배치되는 후면 패시베이션층(125), 및 후면 패시베이션층(125)상에 배치되는 투과성 도전층(326)을 포함한다.
제2 반도체 패턴(100-3A)은 p-타입 도전성 물질(이하에서, 제1 도전성 물질)을 포함한다. 제2 반도체 패턴(100-3A)은 주기율표에서 3족의 멤버인 붕소(B)와 같은 p-타입 불순물 이온들로 도핑된다. 제2 반도체 패턴(100-3A)은 실리콘(Si)층, 게르마늄(Ge)층, 실리콘 게르마늄(SiGe)층, 갈륨 인화물(GaP)층, 갈륨 비화물(GaAs)층, 실리콘 탄화물(SiC)층, 실리콘 게르마늄 탄소(SiGeC)층, 인듐 비화물(InAs)층, 및 이들의 적층 구조물로 이루어진 그룹으로부터 선택되는 하나의 층을 포함할 수 있다. 바람직하게, 제2 반도체 패턴(100-3A)은 Si 층을 포함할 수 있다. 제2 반도체 패턴(100-3A)은 실리콘 온 절연체(SOI) 층의 매립 절연층 위에 형성되는 기판 또는 벌크 기판일 수 있다. 또한, 제2 반도체 패턴(100-3A)은 SOI 기판상에 배치되는 에피택셜층일 수 있다. 본 실시예에서, 기판은 SOI 기판의 매립 절연층 위에 형성되는 SOI 기판이다.
후면 패시베이션층(125)은 반사방지층으로서 기능한다. 후면 패시베이션층(125)은 광학 표면 위에 형성되는 유전체 코팅층이다. 반사방지층은 미리 결정된 범위의 광학 표면의 광 반사 전력을 감소시킨다. 일반적으로, 광 반사 전력의 감소의 동작 원리는 다른 인터페이스들로부터 반사하는 파장들이 파괴적 간섭에 의하여 제거된다는 것이다. 가장 단순한 경우에, 수직 입사를 위해 설계되는 반사방지층은 1/4-파장 층을 갖는 물질을 포함한다. 물질의 굴절률은 2개의 이웃 매체의 지리학적 평균에 근접한다. 이러한 경우에, 동일한 각도(degree)의 2개의 반사물(reflection)들이 2개의 매체의 인터페이스상에 생성되고, 그 후, 이들 사이에 파괴적 간섭에 의해 제거된다.
후면 패시베이션층(125)은 상이한 굴절률들을 갖는 물질들이 적층되는 다중층 구조물을 갖는다. 다중층 구조물을 위한 층들의 개수는 제한되지 않고, 층들은 후면 패시베이션층(125)의 반사 특성들을 개선하는 범위에서 선택될 수 있다. 후면 패시베이션층(125)은 제2 반도체 패턴(100-3A)보다 낮은 굴절률을 갖는 층을 포하한다. 또한, 후면 패시베이션층(125)이 적층 구조를 가질 때, 층이 제2 반도체 패턴(100-3A)의 후면에 더 근접함에 따라, 층들은 낮은 굴절률을 갖기 시작한다.
예를 들어, 후면 패시베이션층(125)은 제1 절연층 및 제2 절연층을 포함한다. 제1 절연층은 제2 절연층과 제2 반도체 패턴(100-3A) 사이에 형성된다. 제2 절연층은 질화물층을 포함한다. 바람직하게, 제2 절연층은 실리콘 질화물 화합물을 포함한다. 보다 바람직하게, 제2 절연층은 실리콘 질화물층 또는 실리콘 산-질화물층을 포함한다. 여기서, 질화물층은 대략 50 nm 내지 대략 500 nm의 두께를 갖도록 형성된다. 제1 절연층은 제2 절연층보다 낮고 제2 반도체 패턴(100-3A)보다 낮은 굴절률을 갖는 물질을 포함한다. 바람직하게, 제1 절연층은 산화물층을 포함한다. 보다 바람직하게, 제1 절연층은 실리콘 산화물층을 포함한다. 실리콘 산화물층은 자연적 실리콘 산화물층, 성장된 실리콘 산화물층, 및 증착된 실리콘 산화물층으로 이루어진 그룹으로부터 선택된 것일 수 있다. 여기서, 실리콘 산화물층은 대략 2 nm 내지 대략 50 nm의 두께를 갖도록 형성된다.
투과성 도전층(126)은 투과성 도전성 산화물(TCO: Transparent Conductive Oxide)들을 포함한다. 투과성 도전층(126)은 인듐 주석(ITO)층, 아연 산화물(ZnO, ZO 포함)층, 주석 산화물(SnO, TO 포함)층 및 아연 주석 산화물(ZTO)층으로 이루어진 그룹으로부터 선택되는 것을 포함할 수 있다. ITO층은 코발트(CO), 티타늄(Ti), 텅스텐(W), 몰리브덴(Mo) 및 크롬(Cr)으로 이루어진 그룹으로부터 선택된 것으로 도핑될 수 있다. ZO층은 마그네슘(Mg), 지르코늄(Zr) 및 리튬(Li)으로 이루어진 그룹으로부터 선택된 것으로 도핑된다. TCO층은 대략 10 nm 내지 대략 500 nm의 두께를 갖도록 형성된다. 투과성 도전층(126)은 폴리실리콘층 또는 금속층을 포함할 수 있다. 폴리실리콘층 및 금속층은 제2 반도체 패턴(100-3A)에 입사광을 전달하기 위한 얇은 두께를 갖도록 형성된다. 예를 들어, 폴리실리콘층은 대략 40 nm 이하의 두께를 갖도록 형성된다. 바람직하게, 폴리실리콘층은 대략 1 nm 내지 대략 40 nm의 두께를 갖도록 형성된다. 금속층은 귀금속을 포함한다. 예를 들어, 귀금속은 금(Au) 또는 백금(Pt)을 포함한다. 귀금속은 대략 1 nm 이하의 두께를 갖도록 형성된다. 귀금속은 대략 0.1 nm 내지 대략 1 nm의 두께를 갖도록 형성될 수 있다.
본 발명의 제1 실시예에 따른 후면 조명된 이미지 센서는, 제1 기판, 이를테면 제2 반도체 패턴(100-3A)에 형성되는 광 수용 엘리먼트(106), 제2 기판 패턴(100-3A) 위에 형성되는 제1 층간 절연 패턴(108A), 광 수용 엘리먼트(106)로부터 이격되고 제1 층간 절연 패턴(108A) 및 제1 반도체 패턴(100-1A)을 통과하는 정렬 키(112), 다중층 구조의 제1 층간 절연 패턴(108A) 위에 형성되는 제1 내지 제4 상호접속층들(113, 116, 119 및 122) ― 제1 내지 제4 상호접속층들(113, 116, 119 및 122) 중에서 제1 상호접속층(113)의 후면은 정렬 키(112)에 연결됨 ― , 상호접속층들(113, 116, 119 및 122)을 커버하는 패시베이션층(124), 제1 기판의 후면상에 배치되는 후면 패시베이션층(125), 정렬 키(112)에 접속되도록 제1 기판의 후면상에 형성되는 투과성 도전층(126), 및 투과성 도전층(126)상에 배치되는 광 수용 엘리먼트(106)에 중첩하는 컬러 필터(128) 및 마이크로렌즈(130)를 포함한다.
제1 기판(100)(도 2a 참고)은 벌크 기판, 에피택셜 기판, 또는 실리콘-온-절연체(SOI) 기판일 수 있다. 소자 특징들을 고려하여, 제1 반도체층, 매립 절연층, 제2 반도체층이 적층되는 SOI 기판이 제1 기판(100)으로서 사용되고, 상대적으로 저렴한 벌크 기판이 제2 기판(200)으로서 사용된다(도 2e 참고). 본 발명에서, 제1 및 제2 기판들(100 및 200)은 SOI 기판들이다.
정렬 키(112)는 컬러 필터(128) 및 마이크로렌즈(130) 형성 프로세스 동안에 정렬 마크로서 기능한다. 다수의 정렬 키(112)가 제공된다. 다수의 정렬 키들(112)의 후면은 투과성 도전층(126)에 연결된다. 정렬 키(112)의 최상부 표면은 제1 내지 제4 상호접속층들(113, 116, 119 및 122) 중에 제1 상호접속층(113)에 연결되고, 정렬 키(112)는 음의 전압 인가 유닛(300)(도 3 참조)으로부터 인가되는 음의 전압을 투과성 도전층(126)에 전달한다. 정렬 키(112)는 도전성 물질, 예를 들어, 금속 또는 합금으로 형성될 수 있다. 또한, 정렬 키(112)는 원형, 타원형, 또는 다각형(삼각형, 직사각형, 팔각형 등과 같은) 형태로 형성될 수 있다. 정렬 키(112)의 개수 및 크기(폭)에 대한 제한이 존재하지 않는다.
본 발명의 제1 실시예에 따른 후면 조명된 이미지 센서는 제1 기판(100)의 후면을 반전시키기 위하여 음의 전압 인가 유닛(300) 대신에 정렬 키(112) 또는 투과성 도전층(126)에 양의 전압(+)을 인가하는 양의 전압 인가 유닛(미도시)을 포함할 수 있다.
또한, 본 발명의 제1 실시예에 따른 후면 조명된 이미지 센서는 정렬 키(112)의 외벽을 둘러싸는 배리어층(미도시)을 더 포함한다. 배리어층(미도시)은 금속층 또는 절연체층을 포함할 수 있다. 특히, 금속층은 Ti/TiN층을 포함할 수 있고, 절연체층은 질화물층, 예를 들어 실리콘 질화물층, 산화물층, 예를 들어 실리콘 산화물층, 또는 이들의 적층 구조물, 예를 들어, 산화물/질화물층을 포함할 수 있다.
또한, 본 발명의 실시예에 따른 후면 조명된 이미지 센서는 광 수용 엘리먼트(106)의 광학 신호들을 전달하고 증폭시키기 위하여 다수의 트랜지스터들을 더 포함한다. 예를 들어, 구동 트랜지스터는 제1 기판 패턴(100A), 제 기판 패턴(100A)과 제1 층간 절연 패턴(108A) 사이에 형성되는 게이트 전극(104), 게이트 전극(104)의 양쪽 측면들 모두상에 노출되는 제1 기판(100)에 형성된 소스 및 드레인 영역들(107)을 포함한다.
본 발명의 실시예에 따른 후면 조명된 이미지 센서는 음의 전압 인가 유닛(300)을 더 포함한다. 음의 전압 인가 유닛(300)은 투과성 도전층(126)에 음의 전압을 직접 제공한다. 음의 전압 인가 유닛(300)은 또한 투과성 도전층(126)에 연결되는 정렬 키(112)를 통해 투과성 도전층(126)에 음의 전압을 제공한다. 음의 전압 인가 유닛(300)은 제2 기판(200)에 형성될 수 있다.
도 4는 후면 패시베이션층이 실리콘 질화물층일 때 에너지 대역을 도시한다.
도 4를 참고하여, 실리콘 질화물층은 음의 전하를 포함한다. 양의 전하들을 포함하는 실리콘 질화물층은 노출된 반도체층의 후면을 반전시킨다. 기판의 반전된 후면은 표면 재결합 및 표면 생성을 감소시킨다. 표면 재결합의 감소는 양자 효율을 증가시키고, 표면 생성의 감소는 음전하 누설(dark current leakage)을 감소시킨다.
실리콘 질화물층이 평형 상태에서 기판(또는 실리콘 산화물층 및 기판)에 연결될 때, (전자들인) 전하는 실리콘 질화물층의 양의 전하로 인하여 실리콘 질화물층과 기판 사이에 인터페이스에서 축적된다. 따라서, 원자가 전자대(Ev)는 실리콘 질화물층과 기판 사이의 인터페이스에서 페르미 준위(Ef)로부터 추가로 떨어지게 된다. 즉, 반전 상태가 달성된다. 반전 상태는 페르미 준위(Ef)에 가까워지는 전도대(Ec)를 초래한다. 광이 반전 상태에서 조명될 때, 전하(전자들), 즉, 광전류가 생성된다. 이것은 광 수용 엘리먼트를 향해 확산할 수 있는 반전층에 훨씬 많은 전자들을 초래하고, 전하(전자들)는 광 수용 엘리먼트로 용이하게 흐른다. 즉, 인터페이스에서 생성되는 광전류는 광 수용 엘리먼트로 용이하게 흐른다. 대안적으로, 양의 전압이 후면을 반전시키기 위하여 투과성 도전층(126)에 인가될 수 있다.
도 3은 음의 전압이 투과성 도전층에 인가될 때 에너지 대역을 도시한다.
도 3을 참고하여, 평형 상태에서, 원자가 전자대(Ev)는 페르미 준위(Ef)에 가까워진다. 음의 전압이 투과성 도전층에 인가될 때, 페르미 준위(Ef)와 전도대 사이의 전위차는 높아진다. 전하(전자들), 즉, 음전류를 생성하는 것을 어려워지고, 따라서, 음전류는 광 수용 엘리먼트로 흐를 수 없다. 광이 이러한 상태에서 조명될 때, 전하(전자들), 즉, 광전류가 생성되고, 광 수용 엘리먼트를 향해 확산할 수 있다. 즉, 인터페이스에서 생성되는 광전류는 광 수용 엘리먼트로 용이하게 흐른다. 도 2a 내지 2j는 본 발명의 제2 실시예에 따른 후면 조명된 이미지 센서를 제작하기 위한 방법의 횡단면도들이다. 이러한 실시예에서, 기판은 SOI 기판이다.
본 발명의 제2 실시예에 따른 후면 조명된 이미지 센서는 소자 웨이퍼 및 핸들 웨이퍼(200)가 함께 부착되는 구조를 갖는다. 소자 웨이퍼는 포토다이오드와 같은 광 수용 엘리먼트가 형성되고, 디지털 블록 및 아날로그-대-디지털 변기와 같은 주변 회로들이 형성되는 웨이퍼이다. 다음의 설명에서, 소자 웨이퍼 및 핸들 웨이퍼는 각각 제1 기판 및 제2 기판으로서 지칭될 것이다.
도 2a를 참고하여, 제1 기판(100)은 SOI 기판이다. SOI 기판은 제1 반도체층(100-1), 매립 절연층(100-2), 및 제2 반도체층(100-3)을 포함한다. 제2 반도체층(100-3)은 제1 도전성 타입 및 제2 도전성 타입으로 도핑될 수 있다. 예를 들어, 제2 반도체층(100-3)은 제1 도전성 타입으로 도핑된다. 또한, 매립 절연층(100-2)은 대략 500 Å 내지 대략 10,000 Å의 두께를 갖도록 형성될 수 있으며, 제2 반도체층(100-3)은 대략 1 μm 내지 대략 10 μm의 두께를 갖도록 형성될 수 있다.
절연층(101)은 제1 기판(100)에 국부적으로 형성된다. 절연층(101)은 얕은 트렌치 절연(STI: Shallow Trench Isolation) 프로세스 또는 실리콘의 로컬 산화(LOCOS: LOCaI Oxidation of Silicon) 프로세스를 통해 형성될 수 있으나, 절연층(101)은 도 2a에 예시되는 바와 같이 고 직접도의 실현에 바람직한 STI 프로세스를 사용하여 형성되는 것이 바람직하다. STI 프로세스가 수행되는 경우, 절연층(101)은 고 종횡비를 위한 우수한 충진 특성, 또는 HDP층 또는 스핀 온 절연체(SOD:spin on insulation)층의 적층 구조를 갖는 고밀도 플라즈마(HDP: High Density Plasma)층을 포함할 수 있다.
게이트 절연층(102) 및 게이트 도전층(103)이 제1 기판(100) 위에 형성되고, 그 후 구동 트랜지스터의 게이트 전극(104)을 형성하기 위하여 에칭된다. 동시에, 도시되지 않았으나, CMOS 이미지 센서의 단위 픽셀을 구성하는 전송 트랜지스터, 리셋 트랜지스터, 및 선택 트랜지스터의 게이트 전극들이 형성될 수 있다.
스페이서(105)는 게이트 전극(104)의 양 측벽들상에 형성될 수 있다. 스페이서들(105)은 산화물층, 질화물층, 또는 이들의 적층 구조를 포함할 수 있다.
스페이서들(105)이 형성되기 이전에, 게이트 전극(104)이 형성된다. n-타입(이하에서, 제2 도전성 타입)으로 도핑되는 약하게 도핑된 드레인(LDD: lightly doped drain) 영역(미도시)이 게이트 전극(104)의 양 측면들상에 노출되어 제1 기판(100)에 형성된다.
광 수용 엘리먼트(106)로서 기능하는 포토다이오드가 이온 주입 프로세스를 통해 제1 기판(100)에 형성된다. 이러한 경우에, 광 수용 엘리먼트(106)는 제2 도전성 타입으로 도핑된다. 포토다이오드는 도 2a의 상대적으로 얇은 도핑 프로파일을 갖는다. 그러나, 이것은 편의를 위한 것이며, 도핑 프로파일(깊이, 폭)은 적절히 변화될 수 있다.
제2 도전성 타입으로 도핑되는 소스 및 드레인 영역들(107)은 스페이서들(105)의 양쪽 측면들상에 노출되어 제1 기판(100)에 형성된다. 소스 및 드레인 영역들(107)은 LDD 영역 및 광 수용 엘리먼트(105)보다 더 높은 도핑 농도를 갖는다.
광 수용 엘리먼트(106)의 표면 노이즈를 방지하기 위하여, 제1 도전성 타입으로 도핑되는 도핑 영역(미도시)은 광 수용 엘리먼트(106)의 최상부 표면을 커버하기 위하여 추가로 형성될 수 있다.
게이트 전극(104), 스페이서들(105), 광 수용 엘리먼트(106) 및 소스 및 드레인 영역들(107)이 순차적으로 형성되는 것으로 상기 설명되었으나, 이들의 형성 순서는 상기 실시예로 제한되지 않고, 제작 프로세스들에 따라 적절히 변화될 수 있다.
제1 층간 절연층(108)은 게이트 전극(104), 스페이서들(105), 포토다이오드(106), 및 소스 및 드레인 영역(107)를 포함하는 제1 기판(100)을 커버하도록 형성된다. 제1 층간 절연층(108)은 산화물층, 예를 들어, 실리콘 산화물층(SiO2)을 포함할 수 있다. 특히, 제1 층간 절연층(108)은 보로포스포실리케이트 글래스(BPSG: BoroPhosphoSilicate Glass)층, 포스포실리케이트 글래스(PSG:PhosphoSilicate Glass)층, 보로실리케이트 글래스(BSG: BoroSilicate Glass)층, 비-도핑된 실리케이트 글래스(USG:Un-doped Silicate Glass)층, 테트라 에틸 오르토 실리케이트(TEOS: Tetra Ethyle Ortho Silicate)층, HDP 층, 이들의 적층된 층으로 이루어진 그룹으로부터 선택되는 하나의 층을 포함할 수 있다. 또한, 제1 층간 절연층(108)은 스핀 코팅 프로세스에 의하여 증착되는 스핀 온 유전체(SOD: Spin On Dielectric)층과 같은 층을 포함할 수 있다.
도 2b를 참고하여, 소스 및 드레인 영역들(107)을 노출시키는 콘택 홀(109)을 형성하기 위하여 제1 층간 절연층(108)을 국부적으로 에칭하기 위해 에치 프로세스가 수행된다. 에치 프로세스는 건식 에치 프로세스 또는 습식 에치 프로세스를 사용하여 수행될 수 있다. 수직으로 에칭된 표면이 획득될 수 있도록 건식 에칭 프로세스를 수행하는 것이 바람직하다.
제1 층간 절연층(108) 및 제1 기판(100)은 국부적으로 에칭된다. 이하에서, 에칭된 제1 층간 절연층(108) 및 제1 기판(100)은 각각 제1 층간 절연 패턴(108A) 및 제1 기판 패턴(100A)으로 불린다. 따라서, 제1 층간 절연 패턴(108A)으로부터 제1 반도체 패턴(100-1A)으로 연장되는 비아 홀(110)이 형성된다. 이때에, 다수의 비아 홀들(110)이 매트릭스 구성으로 형성될 수 있다.
특히, 비아 홀(110)은 대략 88 도 내지 대략 90 도의 수직각 및 제1 층간 절연 패턴(108A)의 최상부 표면으로부터 대략 20,000 Å, 바람직하게는 대략 4,000 Å 내지 대략 20,000 Å의 깊이를 갖는다. 보다 바람직하게, 비아 홀(110)은 제2 반도체 패턴(100-3A)의 최상부 표면으로부터 대략 1,000 Å 내지 대략 10,000 Å의 깊이로 형성된다. 또한, 비아 홀(110)은 대략 0.1 μm 내지 대략 2.0 μm의 임계 치수(CD)를 갖는다. 비아 홀(110)은 대략 1.6 μm 미만, 바람직하게는 대략 1.0 μm 내지 대략 1.6 μm의 바닥부 폭을 갖는다. 다수의 비아 홀들(110)이 형성될 때, 그들의 각도들, 깊이들 및 폭들의 편차는 4% 미만인 것이 바람직하다. 추가로, 비아 홀(110)의 개수 및 형태에 대한 제한은 없다. 특히, 비아 홀(110)은 다양한 형태들, 예를 들어, 원형 또는 다각(삼각, 직사각, 오각, 팔각 등) 형태로 형성될 수 있다.
한편, 콘택 홀(109) 및 비아 홀(110)의 형성 순서에 대한 제한은 없다. 콘택 홀(109)은 비아 홀(110)을 형성한 이후에 형성될 수 있다. 또한, 콘택 홀(109) 및 비아 홀(110)은 동일한 플라즈마 에칭 장치 내에 인-시튜로 형성될 수 있다.
예를 들어, 비아 홀(110)은 2 단계로 건식 에칭 프로세스를 사용하여 형성된다.
제1 단계는 제1 층간 절연층(108)을 에칭하는 것이다. 에칭 프로세스는 제1 층간 절연층(108) 대 포토레지스트 패턴(미도시)의 에치 선택도가 5 : 1 내지 2 : 1, 바람직하게는 2.4 : 1의 범위인 조건들 하에서 수행된다. 또한, 에치 레이트는 대략 7,000 Λ/min 내지 대략 8,000 Å/min, 바람직하게는 7,200 Å/min의 범위에 있다. 에칭 조건들로서, 압력은 대략 100 mTorr 내지 대략 200 mTorr의 범위에 있고, 소스 전력은 대략 100 W 내지 대략 2,000 W의 범위에 있다. 탄소 불소 화합물, 예를 들어, 플루오로포름(CHF3) 또는 테트라플루오로메탄(CF4)은 소스 가스로서 사용되고, 아르곤(Ar)이 에칭 속도 및 비등방성을 증가시키기 위하여 소스 가스에 추가로 부가된다. CHF3의 유량은 대략 5 sccm 내지 대략 200 sccm의 범위이고, CF4의 유량은 대략 20 sccm 내지 대략 200 sccm의 범위이며, Ar의 유량은 대략 100 sccm 내지 대략 2,000 sccm의 범위이다.
제2 단계는 제1 기판(100)을 에칭하는 것이다. 제2 단계에서, 에치 레이트는 대략 1,000 λ/min 내지 대략 3,000 Å/min, 바람직하게는 2,000 Å/min의 범위이다. 에칭 조건들로서, 압력은 대략 15 mTorr 내지 대략 30 mTorr의 범위이다. 소스 전력(예를 들어, RF 전력)은 대략 400 W 내지 대략 600 W의 범위이고, 이온들의 직진도(straightness)를 개선하기 위한 바이어스 전력은 대략 80 W 내지 대략 120 W의 범위이다. 황 헥사플루오르화물(SF6) 및 O2가 소스 가스로서 사용된다. SF6의 유량은 대략 5 sccm 내지 대략 200 sccm의 범위이고, O2의 유량은 대략 1 sccm 내지 대략 100 sccm의 범위이다.
제2 단계에서, 에치 프로세스는 매립 절연층(100-2)의 일부를 에칭하거나 또는 매립 절연층(100-2) 및 제1 반도체층(100-1)의 일부를 에칭하기 위하여 수행될 수 있다. 전자의 경우에, 매립 절연층(100-2)은 대략 100 Å 내지 대략 4,000 Å만큼 과도하게 에칭될 수 있다. 이하에서, 에칭된 매립 절연층(100-2) 및 에칭된 제1 반도체층(100-1)은 각각 매립 절연 패턴(100-2A) 및 제1 반도체 패턴(100-1A)으로 불린다.
도 2c를 참고하여, 배리어층들(미도시)은 비아 홀(110)(도 2b 참고) 및 콘택 홀(109)(도 2b 참고)의 내부 표면들상에 형성될 수 있다. 배리어층은 티타늄(Ti)층, 티타늄 질화물(TiN)층, 탄탈룸(Ta)층, 탄탈룸 질화물(TaN)층, 알루미늄 실리콘 티타늄 질화물(AlSiTiN)층, 니켈 티타늄(Niti)층, 티타늄 붕소 질화물(TiBN)층, 지르코늄 붕소 질화물(ZrBN)층, 티타늄 알루미늄 질화물(TiAlN)층, 티타늄 다이보라이드(TiB2)층, 및 이들의 적층 구조물, 예를 들어, Ti/TiN 층 및 Ta/Tan 층으로 이루어진 그룹으로부터 선택되는 하나의 층을 포함할 수 있다. 콘택 홀(109), 특히 비아 홀(110)의 폭의 감소를 최소화시키기 위하여, 배리어층은 우수한 단계 커버리지를 갖는 원자층 증착(ALD) 프로세스를 사용하여 100 Å 미만, 바람직하게는 대략 50 Å 내지 대략 100 Å의 두께로 형성된다. 또한, 배리어층은 금속 유기 화학 기상 증착(MOCVD: metal organic chemical vapor deposition) 프로세스 또는 물리 기상 증착(PVD: physical vapor deposition) 프로세스를 통해 형성될 수 있다.
추가로, 배리어층은 배리어층은 산화물층, 예컨대 실리콘 산화물층, 질화물층, 예컨대 실리콘 질화물층, 및 이들의 적층 구조물, 예컨대 질화물/산화물층을 포함할 수 있다. 질화물/산화물층의 경우에, 산화물층 및 질화물층은 질화물/산화물층이 200 Å 미만의 전체 두께를 갖도록 라이너에 형성된다. 이러한 방식으로, 비아 홀(110)의 폭의 감소는 최소화된다.
콘택 홀(109) 및 비아 홀(110)은 제1 콘택 플러그(111) 및 정렬 키(112)를 형성하기 위하여 도전성 물질로 충진된다. 도전성 물질은 구리(Cu), 백금(Pt), 텅스틴(W), 알루미늄(Al) 및 이들의 합금으로 이루어진 그룹으로부터 선택되는 하나의 물질을 포함할 수 있다. 도전성 물질은 상기 열거된 물질들로 제한되지 않으나, 도전성 특성들을 갖는 임의의 금속 또는 금속 합금을 포함한다. W가 도전성 물질로서 사용될 때, 화학 기상 증착(CVD) 프로세스 또는 ALD 프로세스가 수행된다. Al이 도전성 물질로서 사용될 때, CVD 프로세스가 사용된다. 구리(Cu)가 도전성 물질로서 사용될 때, 전기도금 프로세스 또는 CVD 프로세스가 수행된다.
상기 개시되는 바와 같이, 제1 콘택 플러그(111) 및 정렬 키(112)는 동시에 형성될 수 있다. 또한, 정렬 키(112)는 제1 콘택 플러그(111)를 형성한 이후에 형성될 수 있으며, 또는 반대로 형성하기 이전에 형성될 수도 있다. 제1 콘택 플러그(111) 및 정렬 키(112)가 동시에 형성되지 않을 대, 이들은 상이한 물질들로 형성될 수 있다. 예를 들어, 제1 콘택 플러그(111)는 불순물-도핑된 폴리실리콘으로 형성되고, 정렬 키(112)는 상기 개시되는 물질로 형성된다.
도 2d를 참고하여, 제1 내지 제4 상호접속층들(113, 116, 119 및 122), 제2 내지 제4 콘택 플러그들(115, 118 및 121), 제2 내지 제5 층간 절연층들(114, 117, 120 및 123)이 형성된다. 예를 들어, 제1 내지 제4 상호접속층들(113, 116, 119 및 122) 중에서 제1 상호접속층(113)의 일부가 제1 콘택 플러그(111)로부터 전기적으로 분리되고 제1 콘택 플러그(111)에 연결되며, 제1 상호접속층(113)의 다른 부분이 정렬 키(112)에 연결된다.
제1 내지 제4 상호접속층들(113, 116, 119 및 122)은 증착 프로세스 및 에칭 프로세스를 통해 형성된다. 제1 내지 제4 상호접속층들(113, 116, 119 및 122)은 도전성 물질, 예를 들어, 금속 또는 적어도 2개의 금속들을 함유하는 합금으로 형성된다. 바람직하게, 제1 내지 제4 상호접속층들(113, 116, 119 및 122)은 알루미늄(Al)으로 형성된다. 제2 내지 제4 콘택 플러그들(115, 118 및 121)은 다마신 프로세스를 통해 제2 내지 제5 층간 절연층들(114, 117, 120 및 123)에 형성된다. 수직으로 적층되는 제1 내지 제4 상호접속층들(113, 116, 119 및 122)을 전기적으로 접속시키기 위하여, 제2 내지 제4 콘택 플러그들(115, 118 및 121)은 도전성 물질, 예를 들어, 불순물-도핑된 폴리실리콘 및 금속, 또는 적어도 2개의 금속들을 함유하는 합금으로 형성된다. 바람직하게, 제2 내지 제4 콘택 플러그들(115, 118 및 121)은 텅스텐(W)으로 형성된다. 제2 내지 제5 층간 절연층들(114, 117, 120 및 123)은 BPSG층, PSG층, BSG층, USG층, TEOS층, HDP층, 및 이들의 적층 구조물로 이루어진 그룹으로부터 선택되는 산화물층을 포함할 수 있다. 또한, 제2 내지 제4 층간 절연층들(114, 117 및 120)은 CMP 프로세스를 사용하여 평탄화될 수 있다.
제1 내지 제4 상호접속층들(113, 116, 119 및 122) 및 제2 내지 제4 콘택 플러그들(115, 118 및 121)의 층 개수 및 구조에 대한 제한은 존재하지 않는다. 상호접속층들 및 콘택 플러그들의 층 개수 및 구조는 소자 설계에 따라 다양하게 변화될 수 있다.
전면 패시베이션층(124)은 제5 층간 절연층(123) 위에 형성된다. 전면 패시베이션층(124)은 BPSG층, PSG층, BSG층, USG층, TEOS층, 및 HDP층으로 이루어진 그룹으로부터 선택되는 하나의 층을 포함할 수 있다. 바람직하게, 전면 패시베이션층(124)은 TEOS층 또는 HDP층을 사용하여 대략 1,000 Å 내지 대략 40,000 Å의 두께로 형성된다. 또한, 전면 패시베이션층(124)은 질화물층 또는 산화물층 및 질화물층의 적층 구조물을 포함할 수 있다.
전면 패시베이션층(124)은 평탄화된다. 평탄화 프로세스는 화학 기계적 연마(CMP) 프로세스를 통해 수행될 수 있다.
열적 처리는 전면 패시베이션층(124)을 치밀화(densify)하기 위하여 수행될 수 있다. 열적 처리는 퍼니스를 사용하여 어닐링 프로세스를 통해 수행될 수 있다.
도 2e를 참고하여, 도 2a 내지 2d의 프로세스들을 통해 제작되는 제1 기판 패턴(100A)은 제2 기판(200)에 결합된다. 결합 프로세스는 산화물/산화물 결합, 산화물/실리콘 결합, 산화물/금속 결합, 산화몰/접착제/산화물 결합, 및 산화물/접착제/실리콘 결합으로 이루어진 그룹으로부터 선택되는 하나의 방법을 사용하여 수행된다.
예를 들어, 산화물/산화물(제2 기판(200) 위에 형성됨) 결합 및 산화물/실리콘(실리콘 기판) 결합은 O2 또는 N2를 사용하는 플라즈마 처리 및 수 처리(water treatment) 이후에 2개의 기판들을 결합하는 것이다. 수 처리 이후에 2개의 기판들을 결합하는 방법 이외에, 2개의 기판들은 아민을 사용하는 화학 처리 이후에 함께 결합될 수 있다. 산화물/금속(제2 기판(200) 위에 형성됨) 결합에서, 금속층은 티타늄(Ti), 알루미늄(Al) 또는 구리(Cu)와 같은 금속으로 형성될 수 있다. 산화물/접착제/산화물 결합 및 산화물/접착제/실리콘 결합에서, 벤조 시클로 부틴(BCB)이 접착 부재로서 사용될 수 있다.
도 2f를 참고하여, 백 그라인딩(back grinding) 프로세스는 제1 기판 패턴(100A)의 후면을 그라인딩하기 위하여 수행된다(도 2e 참고). 이러한 경우에, 정렬 키(112)기 매립 절연 패턴(100-2A)을 통과하도록 형성된다면, 정렬 키(112)는 매립 절연 패턴(100-2A)이 노출될 때가지 백 그라인딩 프로세스를 수행함으로써 노출된다. 이러한 프로세스 동안에, 매립 절연 패턴(100-2A)은 미리 결정된 두께만큼 제거될 수 있다. 한편, 정렬 키(112)가 매립 절연 패턴(100-2A)을 통과하지 않도록 형성된다면, 즉, 정렬 키(112)가 미리 결정된 깊이만큼 매립 절연 패턴(100-2A)으로 연장된다면, 매립 절연 패턴(100-2A)은 정렬 키(112)를 노출시키기 위하여 부분적으로 또는 완전히 제거될 수 있다. 대안적으로, 매립 절연 패턴(100-2A)은 개별적인 에치 프로세스를 통해 에칭될 수 있다.
도 2g를 참고하여, 제2 반도체 패턴(100-3A)상에 남아있는 매립 절연 패턴(100-2A)(도 2f 참고)은 국부적으로 제거된다. 제거 프로세스는 습식 에치 프로세스를 통해 수행된다. 예를 들어, 매립 절연 패턴(100-2A)이 실리콘 질화물층을 포함할 때, 습식 에치 프로세스는 버퍼링된 산화물 에칭제(BOE: Buffered Oxide Etchant) 또는 희석된 HF(DHF)를 사용하여 수행된다.
도 2h를 참고하여, 후면 패시베이션층(125)은 매립 절연 패턴(100-2A)(도 2f 참고)이 제거되는 제2 반도체 패턴(100-3A) 위에 형성된다. 후면 패시베이션층(125)은 상이한 굴절률들을 갖는 제1 절연층 및 제2 절연층의 적층 구조를 갖는다. 실리콘 산화물층은 자연적 산화물층, 성장된 산화물층, 및 증착 산화물층으로 이루어진 그룹으로부터 선택된 것일 수 있다. 성장된 산화물층은 건식 산화 프로세스, 습식 산화 프로세스, 및 방사 이온 산화 프로세스 중 하나를 통해 형성된다. 증착 산화층은 화학 기상 증착(CVD) 프로세스를 통해 형성된다. 실리콘 산화물층 및 실리콘 질화물층은 각각 대략 2 nm 내지 대략 50 nm 및 대략 100 nm 내지 대략 500 nm의 두께를 갖도록 형성된다.
한편, 다중층 구조를 갖는 후면 패시베이션층(125)의 증착 프로세스는 제작 프로세스의 증가된 안정성 및 감소된 프로세싱 시간을 획득하기 위하여 동일한 챔버 내에서 인-시튜로 수행될 수 있다. 인-시튜 프로세스가 불가능하다면, 증착 프로세스는 상이한 챔버에서 엑스-시튜(ex-situ)로 수행될 수 있다.
후면 패시베이션층(125)에서, 실리콘 질화물층은 정렬 키(112)의 후면상에 증착된다. 그러나, 에치-백 프로세스 또는 CMP 프로세스는 정렬 키(112)의 후면상에 증착되는 일부분을 선택적으로 제거하기 위하여 부가적으로 수행된다. 따라서, 정렬 키(112)의 후면이 노출된다.
*도 2i를 참고하여, 투과성 도전층(126)이 후면 패시베이션층(125) 위에 형성된다. 투과성 층(126)은 TCO층이다. 투과성 층(126)은 ITO층, ZO층, SnO 및 ZTO층으로 이루어진 그룹으로부터 선택된 것을 포함할 수 있다. ITO층은 CO, Ti, W, Mo, 및 Cr로 이루어진 그룹으로부터 선택된 것으로 도핑된다. ZO층은 Mg, Zr, 및 Li로 이루어진 그룹으로부터 선택된 것으로 도핑될 수 있다. TCO층은 대략 10 nm 내지 대략 500 nm의 두께를 갖도록 형성된다. 투과성 도전층(126)은 폴리실리콘층 또는 금속층을 포함할 수 있다. 폴리실리콘층은 광 투과를 위해 대략 1 nm 내지 대략 40 nm의 두께를 갖도록 형성된다. 금속층은 금(Au) 또는 백금(Pt)일 수 있다. 금속층은 대략 0.1 nm 내지 대략 1 nm의 두께를 갖도록 형성된다.
도 2j를 참고하여, 제1 평탄화층(127)은 투과성 도전층(126) 위에 형성될 수 있다. 제1 평탄화층(127)은 유기 물질로 형성될 수 있다.
컬러 필터(128) 및 마이크로렌즈(130)는 제1 평탄화층(127) 위에 형성된다. 제2 평탄화층(129)은 컬러 필터(128)와 마이크로렌즈(130) 사이에 형성될 수 있다. 제2 평탄화층(129)은 유기 물질로 형성될 수 있다.
그 후, 저온 산화물(LTO: low temperature oxide)층(130)이 마이크로렌즈(130)를 커버하기 위하여 형성된다.
제1 기판 패턴(100A) 및 제2 기판(200)은 패키징 프로세스에 의하여 패키징된다. 패키징 프로세스는 와이어 결합 프로세스 및 쏘잉(sawing) 프로세스를 포함한다. 와이어 결합은 와이어를 통해 외부 칩에 패드를 결합함으로써 달성된다. 투과성 도전성 산화물보다는 결합 패드로의 상호접속부(112)를 통한 실리콘 관통 연결이 종래의 기술들에 의하여 달성된다.
예를 들어, 복수의 상호접속층들(113, 116, 119 및 122) 중 적어도 하나로부터 정렬 키(112)로의 경로를 의미하기 위해 제 1 전기적 도전 경로가 사용될 수 있고, 복수의 상호접속층들(113, 116, 119 및 122) 중 적어도 하나로부터 전압 인가 유닛(300)으로의 경로를 의미하기 위해 제 2 전기적 도전 경로가 사용될 수 있고, 복수의 상호접속층들(113, 116, 119 및 122) 중 적어도 하나로부터 전압 인가 유닛(300)의 음의 전압 출력으로의 경로를 의미하기 위해 제 2 전기적 결합 경로가 사용될 수 있고, 제 1 전기적 도전 경로, 제 2 전기적 도전 경로, 및 경로 키(110)를 포함하는 경로를 의미하기 위해 제 3 전기적 도전 경로가 사용될 수 있다.
본 발명의 실시예들은 하기의 효과들을 달성할 수 있다.
첫째로, 통상적인 CMOS 이미지 센서(전면 조명된 이미지 센서)와 비교하여, 기판(예를 들어, 반도체 소자)의 후면으로부터 광이 조명되는 후면 조명된 이미지 센서는 광 수용 엘리먼트로 입사하는 광의 손실을 최소화시킬 수 있어, 광 수용 효과를 증가시킨다.
둘째로, 후면 패시베이션층은 기판의 후면으로 입사하는 광의 반사를 방지하기 위하여 형성된다. 따라서, 광 수용 엘리먼트의 광 수집 효율은 광 수용 효율을 개선하기 위하여 증가될 수 있다.
셋째로, 투과성 도전층은 기판(예를 들어, 반도체층)의 후면 패시베이션층상에 형성된다. 음의 전압 (-)은 투과성 도전층에 인가된다. 따라서, 암전류의 생성을 최소화하고 기판의 후면으로부터의 암전류가 광 수용 엘리먼트로 흐르는 것을 방지하는 것이 가능하다. 대안적으로 양의 전압 (+)은 기판의 후면으로부터의 암전류를 방지하기 위하여 후면을 반전시키기 위해 투과성 도전층에 인가된다.
넷째로, 백 그라인딩 프로세스를 사용하여 후면 조명된 이미지 센서를 제작하기 위한 방법에서, 비아 홀 형태를 갖는 정렬 키가 기판의 후면을 그라인딩하는 백 그라인딩 프로세스 이전에 기판에 형성되고, 기판의 후면 그라인딩 타겟이 백 그라인딩 프로세스 동안에 제어된다. 따라서, 백 그라인딩 프로세스의 제어가 용이해진다.
다섯번째로, 정렬 키의 후면이 투과성 도전층에 연결된다. 따라서, 음의 인가 유닛에 의하여 인가되는 음의 전압이 정렬 키를 통해 투과성 도전층에 전달된다. 음의 전압 인가 유닛은 제1 기판이 아닌 제2 기판상에 배치될 수 있다. 다양한 설계들이 패키징 프로세스에서 가능하다.
특정 실시예들에 관련하여 본 발명이 설명되었으나, 본 발명의 상기 실시예들은 제한이 아닌 예시를 위한 것이다. 특히, 본 발명이 실시예에서 CMOS 이미지에 적용되었으나, 본 발명은 임의의 다른 전하 결합 소자(CCD), 후면조명된 이미지 센서들, 또는 3D 구조 집적 소자들로 적용될 수 있다.
본 기술분야의 당업자들에게는 하기의 청구항들에 정의되는 바와 같은 본 발명의 정신 및 범위를 벗어나지 않고 다양한 변화들 및 변형들이 이루어질 수 있다는 것이 명백할 것이다.

Claims (20)

  1. 후면 조명된 이미지 센서를 제작하기 위한 방법으로서,
    기판에 광 수용 엘리먼트를 형성하는 단계;
    상기 기판 위에 패터닝된 층 구성을 형성하는 단계 ― 상기 패터닝된 층 구성은 상호접속층 및 층간 절연층을 포함함 ―;
    상기 광 수용 엘리먼트로부터 이격되고 상기 기판 및 상기 층간 절연층을 통과하는 정렬 키를 형성하는 단계 ― 상기 상호접속층은 상기 정렬 키와 전기적으로 결합됨 ―;
    상기 기판 위에 후면 패시베이션층을 형성하는 단계; 및
    상기 광 수용 엘리먼트에 근접하여 상기 후면 패시베이션층 위에 투과성 도전층을 형성하는 단계 ― 상기 투과성 도전층은 상기 정렬 키와 전기적으로 결합됨 ― 를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 광 수용 엘리먼트에 근접하여 상기 투과성 도전층 위에 컬러 필터와 마이크로렌즈 모두를 형성하는 단계를 더 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 패터닝된 층 구성의 전면(front side) 위에 전면 패시베이션층을 형성하는 단계; 및
    상기 전면 패시베이션층 위에 추가 기판을 형성하는 단계를 더 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 전면 패시베이션층 위에 추가 기판을 형성하는 단계는 상기 전면 패시베이션층 위에 실리콘-온-절연체(silicon-on-insulator) 기판을 접착시키는 단계를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 후면 패시베이션층을 형성하는 단계는 상이한 굴절률을 갖는 층들을 포함하는 다중층(multi-layered) 구조를 갖는 후면 패시베이션층을 형성하는 단계를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 후면 패시베이션층을 형성하는 단계는 상기 기판보다 낮은 굴절률을 갖는 층을 포함하는 후면 패시베이션층을 형성하는 단계를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 투과성 도전층을 형성하는 단계는 ITO, ZO, SnO 및 ZTO 로 이루어진 그룹으로부터 선택되는 물질로 투과성 도전층을 형성하는 단계를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  8. 제 7 항에 있어서,
    상기 투과성 도전층을 형성하는 단계는 ITO 층을 포함하는 투과성 도전층을 형성하는 단계를 포함하고,
    상기 방법은, 상기 ITO 층을 Co, Ti, W, Mo 및 Cr 로 이루어진 불순물들의 그룹으로부터 선택된 불순물로 도핑하는 단계를 더 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  9. 제 7 항에 있어서,
    상기 투과성 도전층을 형성하는 단계는 ZO 층을 포함하는 투과성 도전층을 형성하는 단계를 포함하고,
    상기 방법은, 상기 ZO 층을 Mg, Zr 및 Li 로 이루어진 불순물들의 그룹으로부터 선택된 불순물로 도핑하는 단계를 더 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 투과성 도전층을 형성하는 단계는 폴리실리콘층, 또는 귀금속층, 또는 폴리실리콘층 및 귀금속층 모두를 가지는 투과성 도전층을 형성하는 단계를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  11. 후면 조명된 이미지 센서를 제작하기 위한 방법으로서,
    실리콘-온-절연체 기판을 통과하는 정렬 키를 형성하는 단계 ― 상기 실리콘-온-절연체 기판은 제 1 반도체층, 제 2 반도체층, 및 상기 제 1 및 제 2 반도체층들 사이에 배치되는 매립 절연층을 포함하고, 상기 정렬 키는 상기 제 2 반도체층, 상기 매립 절연층을 관통하고, 상기 제 1 반도체층을 적어도 부분적으로 통과함 ―;
    상기 제 2 반도체층에 광 수용 엘리먼트를 형성하는 단계;
    상기 제 2 반도체층의 후면에 근접하여 패터닝된 층 구성을 형성하는 단계 ― 상기 패터닝된 층 구성은 상기 정렬 키와 전기적으로 결합됨 ―; 및
    상기 정렬 키의 적어도 일부분을 노출시키도록 미리결정된 두께만큼 상기 매립 절연층 및 상기 제 1 반도체층을 제거하는 단계 ― 상기 정렬 키의 노출된 부분들이 일반적으로 손상되지 않도록 하면서 상기 매립 절연층을 선택적으로 제거하는 하나 이상의 프로세스들을 이용하여 상기 매립 절연층이 제거됨 ― 를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  12. 제 11 항에 있어서,
    상기 매립 절연층을 제거하는 단계는 에칭 프로세스를 이용하여 상기 제 2 반도체층으로부터 상기 매립 절연층의 부분들을 선택적으로 제거하는 단계를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  13. 제 12 항에 있어서, 상기 에칭 프로세스는 습식 에칭 프로세스를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  14. 후면 조명된 이미지 센서를 제작하기 위한 방법으로서,
    기판에 광 수용 엘리먼트를 형성하는 단계;
    상기 광 수용 엘리먼트로부터 측방향으로 이격되어 상기 기판에 정렬 키를 형성하는 단계;
    복수의 층간 절연층들 및 복수의 상호접속층들을 가지는 패터닝된 층 구성을 형성하는 단계 ― 상기 복수의 상호접속층들 중 적어도 하나는 제 1 전기적 도전 경로를 통해 상기 정렬 키에 전기적으로 결합됨 ―;
    전압 인가 유닛과 상기 복수의 상호접속층들 중 적어도 하나 사이에 제 2 전기적 도전 경로를 형성하는 단계;
    상기 기판 위에 후면 패시베이션층을 형성하는 단계; 및
    상기 광 수용 엘리먼트에 근접하여 상기 후면 패시베이션층 위에 투과성 도전층을 형성하는 단계 ― 상기 투과성 도전층은 상기 제 1 전기적 도전 경로, 상기 제 2 전기적 도전 경로 및 상기 정렬 키를 포함하는 제 3 전기적 도전 경로를 통해 상기 전압 인가 유닛에 전기적으로 결합됨 ― 를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 전압 인가 유닛과 상기 복수의 상호접속층들 중 적어도 하나 사이에 제 2 전기적 도전 경로를 형성하는 단계는 상기 전압 인가 유닛의 음의 전압 출력과 상기 복수의 상호접속층들 중 적어도 하나 사이에 제 2 전기적 결합 경로를 형성하는 단계를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  16. 제 14 항에 있어서,
    상기 제 2 전기적 도전 경로를 형성하는 단계는 상기 전압 인가 유닛의 양의 전압 출력과 상기 복수의 상호접속층들 중 적어도 하나 사이에 제 2 전기적 결합 경로를 형성하는 단계를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  17. 제 14 항에 있어서,
    상기 후면 패시베이션층을 형성하는 단계는 상이한 굴절률을 갖는 층들을 포함하는 다중층 구조를 형성하는 단계를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  18. 제 14 항에 있어서,
    상기 후면 패시베이션층을 형성하는 단계는 상기 기판보다 낮은 굴절률을 갖는 층을 포함하는 후면 패시베이션층을 형성하는 단계를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  19. 제 14 항에 있어서,
    상기 패터닝된 층 구성의 전면 위에 전면 패시베이션층을 형성하는 단계; 및
    상기 전면 패시베이션층 위에 추가 기판을 형성하는 단계를 더 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
  20. 제 14 항에 있어서,
    상기 후면 패시베이션층을 형성하는 단계는 반사 방지층으로서 후면 패시베이션층을 형성하는 단계를 포함하는,
    후면 조명된 이미지 센서를 제작하기 위한 방법.
KR1020137029880A 2008-10-21 2009-10-21 후면 조명된 이미지 센서들 KR101448284B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/255,194 US7875948B2 (en) 2008-10-21 2008-10-21 Backside illuminated image sensor
US12/255,194 2008-10-21
PCT/US2009/061488 WO2010048291A1 (en) 2008-10-21 2009-10-21 Backside illuminated image sensor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020137013574A Division KR101373233B1 (ko) 2008-10-21 2009-10-21 후면 조명된 이미지 센서들

Publications (2)

Publication Number Publication Date
KR20130131496A KR20130131496A (ko) 2013-12-03
KR101448284B1 true KR101448284B1 (ko) 2014-10-13

Family

ID=41365270

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020117011701A KR101339958B1 (ko) 2008-10-21 2009-10-21 후면 조명된 이미지 센서들
KR1020137029880A KR101448284B1 (ko) 2008-10-21 2009-10-21 후면 조명된 이미지 센서들
KR1020137013574A KR101373233B1 (ko) 2008-10-21 2009-10-21 후면 조명된 이미지 센서들
KR1020117011521A KR101266414B1 (ko) 2008-10-21 2009-10-21 후면 조명된 이미지 센서들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020117011701A KR101339958B1 (ko) 2008-10-21 2009-10-21 후면 조명된 이미지 센서들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020137013574A KR101373233B1 (ko) 2008-10-21 2009-10-21 후면 조명된 이미지 센서들
KR1020117011521A KR101266414B1 (ko) 2008-10-21 2009-10-21 후면 조명된 이미지 센서들

Country Status (6)

Country Link
US (4) US7875948B2 (ko)
EP (2) EP2361440B1 (ko)
JP (3) JP5458103B2 (ko)
KR (4) KR101339958B1 (ko)
CN (3) CN103400844B (ko)
WO (1) WO2010048291A1 (ko)

Families Citing this family (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7442629B2 (en) 2004-09-24 2008-10-28 President & Fellows Of Harvard College Femtosecond laser-induced formation of submicrometer spikes on a semiconductor substrate
US7057256B2 (en) 2001-05-25 2006-06-06 President & Fellows Of Harvard College Silicon-based visible and near-infrared optoelectric devices
US7964926B2 (en) * 2005-02-02 2011-06-21 Samsung Electronics Co., Ltd. Image sensing devices including image sensor chips, image sensor package modules employing the image sensing devices, electronic products employing the image sensor package modules, and methods of fabricating the same
US8257997B2 (en) * 2007-10-17 2012-09-04 Sifotonics Technologies (Usa) Inc. Semiconductor photodetectors
US8212328B2 (en) * 2007-12-05 2012-07-03 Intellectual Ventures Ii Llc Backside illuminated image sensor
WO2009099547A2 (en) * 2008-01-30 2009-08-13 Digital Optics International, Llc Thin illumination system
US8721149B2 (en) 2008-01-30 2014-05-13 Qualcomm Mems Technologies, Inc. Illumination device having a tapered light guide
KR20090128899A (ko) * 2008-06-11 2009-12-16 크로스텍 캐피탈, 엘엘씨 후면 조사 이미지 센서 및 그 제조방법
JP5367323B2 (ja) * 2008-07-23 2013-12-11 ラピスセミコンダクタ株式会社 半導体装置および半導体装置の製造方法
CN102177768A (zh) * 2008-10-10 2011-09-07 高通Mems科技公司 分布式照明控制
KR101542915B1 (ko) * 2008-10-10 2015-08-07 퀄컴 엠이엠에스 테크놀로지스, 인크. 분산 조명 시스템
US7875948B2 (en) 2008-10-21 2011-01-25 Jaroslav Hynecek Backside illuminated image sensor
KR101545638B1 (ko) * 2008-12-17 2015-08-19 삼성전자 주식회사 이미지 센서 및 그 제조 방법, 이미지 센서를 포함하는 장치 및 그 제조 방법
EP2387690A1 (en) 2009-01-13 2011-11-23 Qualcomm Mems Technologies, Inc. Large area light panel and screen
KR101550866B1 (ko) * 2009-02-09 2015-09-08 삼성전자주식회사 광학적 크로스토크를 개선하기 위하여, 절연막의 트렌치 상부만을 갭필하여 에어 갭을 형성하는 이미지 센서의 제조방법
JP5347999B2 (ja) * 2009-03-12 2013-11-20 ソニー株式会社 固体撮像素子及びその製造方法、撮像装置
US8604405B2 (en) * 2009-03-31 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illuminated image sensor device with refractive index dependent layer thicknesses and method of forming the same
US9406561B2 (en) * 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
EP2435867A1 (en) 2009-05-29 2012-04-04 Qualcomm Mems Technologies, Inc. Illumination devices and methods of fabrication thereof
US9123653B2 (en) * 2009-07-23 2015-09-01 Sony Corporation Solid-state imaging device, method of manufacturing the same, and electronic apparatus
JP5418049B2 (ja) * 2009-08-03 2014-02-19 ソニー株式会社 固体撮像素子及びその製造方法、撮像装置
US9673243B2 (en) 2009-09-17 2017-06-06 Sionyx, Llc Photosensitive imaging devices and associated methods
EP2478560A4 (en) * 2009-09-17 2014-06-18 Sionyx Inc LIGHT-SENSITIVE IMAGING DEVICES AND CORRESPONDING METHODS
US8476681B2 (en) * 2009-09-17 2013-07-02 Sionyx, Inc. Photosensitive imaging devices and associated methods
US9911781B2 (en) 2009-09-17 2018-03-06 Sionyx, Llc Photosensitive imaging devices and associated methods
JP5663925B2 (ja) * 2010-03-31 2015-02-04 ソニー株式会社 固体撮像装置、および、その製造方法、電子機器
US8692198B2 (en) 2010-04-21 2014-04-08 Sionyx, Inc. Photosensitive imaging devices and associated methods
US8587081B2 (en) 2010-04-28 2013-11-19 Calvin Yi-Ping Chao Back side illuminated image sensor with back side pixel substrate bias
EP2583312A2 (en) 2010-06-18 2013-04-24 Sionyx, Inc. High speed photosensitive devices and associated methods
TWI484568B (zh) * 2010-07-16 2015-05-11 Unimicron Technology Corp 電子裝置及其製法
TWI534995B (zh) * 2010-07-16 2016-05-21 欣興電子股份有限公司 電子裝置及其製法
US8956909B2 (en) 2010-07-16 2015-02-17 Unimicron Technology Corporation Method of fabricating an electronic device comprising photodiode
US9013612B2 (en) * 2010-08-20 2015-04-21 Semiconductor Components Industries, Llc Image sensors with antireflective layers
US8402647B2 (en) 2010-08-25 2013-03-26 Qualcomm Mems Technologies Inc. Methods of manufacturing illumination systems
JP5722008B2 (ja) * 2010-11-24 2015-05-20 株式会社日立国際電気 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
JP2012124299A (ja) * 2010-12-08 2012-06-28 Toshiba Corp 裏面照射型固体撮像装置及びその製造方法
KR101745638B1 (ko) * 2011-01-12 2017-06-09 삼성전자 주식회사 광대역 갭 물질층 기반의 포토 다이오드 소자, 및 그 포토 다이오드 소자를 포함하는, 후면 조명 씨모스 이미지 센서 및 태양 전지
US8455971B2 (en) * 2011-02-14 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for improving charge transfer in backside illuminated image sensor
JP2012175078A (ja) * 2011-02-24 2012-09-10 Sony Corp 固体撮像装置、および、その製造方法、電子機器、半導体装置
FR2974237A1 (fr) * 2011-04-12 2012-10-19 St Microelectronics Crolles 2 Capteur d'images a eclairement par la face arriere muni d'une electrode transparente
JP2012234968A (ja) * 2011-04-28 2012-11-29 Sharp Corp 固体撮像装置およびその製造方法、並びに電子情報機器
CN103493202B (zh) * 2011-05-31 2016-07-27 松下知识产权经营株式会社 固体摄像装置及其制造方法
US9496308B2 (en) 2011-06-09 2016-11-15 Sionyx, Llc Process module for increasing the response of backside illuminated photosensitive imagers and associated methods
US9793673B2 (en) 2011-06-13 2017-10-17 Kla-Tencor Corporation Semiconductor inspection and metrology system using laser pulse multiplier
CN102856247B (zh) * 2011-06-30 2015-07-08 中芯国际集成电路制造(上海)有限公司 一种背面硅通孔制作方法
JP2014525091A (ja) 2011-07-13 2014-09-25 サイオニクス、インク. 生体撮像装置および関連方法
US8564085B2 (en) * 2011-07-18 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS image sensor structure
US8604576B2 (en) * 2011-07-19 2013-12-10 Opitz, Inc. Low stress cavity package for back side illuminated image sensor, and method of making same
CN102299164A (zh) * 2011-09-13 2011-12-28 上海中科高等研究院 图像传感器及其制造方法
FR2980304A1 (fr) * 2011-09-20 2013-03-22 St Microelectronics Crolles 2 Procede de fabrication d'un capteur d'image eclaire par la face arriere avec couche antireflet
US8716823B2 (en) * 2011-11-08 2014-05-06 Aptina Imaging Corporation Backside image sensor pixel with silicon microlenses and metal reflector
US10197501B2 (en) 2011-12-12 2019-02-05 Kla-Tencor Corporation Electron-bombarded charge-coupled device and inspection systems using EBCCD detectors
KR20130099425A (ko) 2012-02-29 2013-09-06 삼성전자주식회사 이미지 센서
US9064764B2 (en) 2012-03-22 2015-06-23 Sionyx, Inc. Pixel isolation elements, devices, and associated methods
US9496425B2 (en) 2012-04-10 2016-11-15 Kla-Tencor Corporation Back-illuminated sensor with boron layer
US8563403B1 (en) 2012-06-27 2013-10-22 International Business Machines Corporation Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
KR102023623B1 (ko) * 2012-07-03 2019-09-23 삼성전자 주식회사 반도체 소자 형성 방법
US9601299B2 (en) 2012-08-03 2017-03-21 Kla-Tencor Corporation Photocathode including silicon substrate with boron layer
JP2014053591A (ja) * 2012-08-08 2014-03-20 Sony Corp 撮像素子、撮像装置、製造装置および方法
US8669135B2 (en) 2012-08-10 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for fabricating a 3D image sensor structure
CN102800686B (zh) * 2012-08-28 2016-12-21 豪威科技(上海)有限公司 背照式cmos影像传感器
US8796805B2 (en) 2012-09-05 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metal film stack in BSI chips
US9151940B2 (en) 2012-12-05 2015-10-06 Kla-Tencor Corporation Semiconductor inspection and metrology system using laser pulse multiplier
US9529182B2 (en) 2013-02-13 2016-12-27 KLA—Tencor Corporation 193nm laser and inspection system
JP6466346B2 (ja) 2013-02-15 2019-02-06 サイオニクス、エルエルシー アンチブルーミング特性を有するハイダイナミックレンジcmos画像センサおよび関連づけられた方法
KR102083402B1 (ko) * 2013-02-25 2020-03-02 삼성전자주식회사 이미지 센서 및 이의 형성 방법
KR102034482B1 (ko) * 2013-03-04 2019-10-21 삼성전자주식회사 이미지 센서 및 이의 형성 방법
US20150187701A1 (en) * 2013-03-12 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US9939251B2 (en) 2013-03-15 2018-04-10 Sionyx, Llc Three dimensional imaging utilizing stacked imager devices and associated methods
US9608399B2 (en) 2013-03-18 2017-03-28 Kla-Tencor Corporation 193 nm laser and an inspection system using a 193 nm laser
US8748245B1 (en) * 2013-03-27 2014-06-10 Io Semiconductor, Inc. Semiconductor-on-insulator integrated circuit with interconnect below the insulator
US9478402B2 (en) * 2013-04-01 2016-10-25 Kla-Tencor Corporation Photomultiplier tube, image sensor, and an inspection system using a PMT or image sensor
WO2014209421A1 (en) 2013-06-29 2014-12-31 Sionyx, Inc. Shallow trench textured regions and associated methods
CN103400940A (zh) * 2013-07-30 2013-11-20 信利半导体有限公司 阴极、oled器件及其制作方法、oled显示装置
CN104465492B (zh) * 2013-09-23 2018-03-16 中芯国际集成电路制造(上海)有限公司 穿透硅通孔结构的形成方法以及集成电路制造方法
US9425084B2 (en) * 2013-10-17 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming protection layer on back side of wafer
US9667900B2 (en) 2013-12-09 2017-05-30 Optiz, Inc. Three dimensional system-on-chip image sensor package
US9347890B2 (en) 2013-12-19 2016-05-24 Kla-Tencor Corporation Low-noise sensor and an inspection system using a low-noise sensor
US10056353B2 (en) 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
EP2889901B1 (en) * 2013-12-27 2021-02-03 ams AG Semiconductor device with through-substrate via and corresponding method
US9748294B2 (en) 2014-01-10 2017-08-29 Hamamatsu Photonics K.K. Anti-reflection layer for back-illuminated sensor
US9410901B2 (en) 2014-03-17 2016-08-09 Kla-Tencor Corporation Image sensor, an inspection system and a method of inspecting an article
US9804101B2 (en) 2014-03-20 2017-10-31 Kla-Tencor Corporation System and method for reducing the bandwidth of a laser and an inspection system and method using a laser
US9184206B1 (en) * 2014-05-05 2015-11-10 Omnivision Technologies, Inc. Backside illuminated color image sensors and methods for manufacturing the same
US9293488B2 (en) * 2014-05-07 2016-03-22 Visera Technologies Company Limited Image sensing device
JP6457755B2 (ja) * 2014-07-10 2019-01-23 キヤノン株式会社 固体撮像装置
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
KR102268707B1 (ko) 2014-07-28 2021-06-28 삼성전자주식회사 이미지 센서
US9767986B2 (en) 2014-08-29 2017-09-19 Kla-Tencor Corporation Scanning electron microscope and methods of inspecting and reviewing samples
US9748729B2 (en) 2014-10-03 2017-08-29 Kla-Tencor Corporation 183NM laser and inspection system
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
WO2016180535A1 (en) 2015-05-12 2016-11-17 Pixium Vision Sa Photosensitive pixel structure with wrapped resistor
US9860466B2 (en) 2015-05-14 2018-01-02 Kla-Tencor Corporation Sensor with electrically controllable aperture for inspection and metrology systems
ES2808826T3 (es) * 2015-06-10 2021-03-02 Fundacio Inst De Ciencies Fotòniques Sensor de imagen, sistema optoelectrónico que comprende dicho sensor de imagen y método de fabricación de dicho sensor de imagen
CN107667432B (zh) 2015-06-24 2022-07-08 Pixium视野股份公司 具有提高的光吸收的光敏像素结构以及光敏植入物
US10462391B2 (en) 2015-08-14 2019-10-29 Kla-Tencor Corporation Dark-field inspection using a low-noise sensor
EP3144032A1 (en) * 2015-09-15 2017-03-22 Pixium Vision SA Photosensitive pixel structure with front side coating
US11522098B2 (en) * 2016-04-01 2022-12-06 Trustees Of Dartmouth College UV/VIS/IR backside-illuminated photon-counting sensor
US10778925B2 (en) 2016-04-06 2020-09-15 Kla-Tencor Corporation Multiple column per channel CCD sensor architecture for inspection and metrology
US10313622B2 (en) 2016-04-06 2019-06-04 Kla-Tencor Corporation Dual-column-parallel CCD sensor and inspection systems using a sensor
JPWO2017183383A1 (ja) * 2016-04-21 2019-01-17 パナソニック・タワージャズセミコンダクター株式会社 固体撮像装置及びその製造方法
US10475835B2 (en) * 2016-09-05 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and manufacturing process thereof
JPWO2018079296A1 (ja) * 2016-10-27 2019-09-12 ソニーセミコンダクタソリューションズ株式会社 撮像素子及び電子機器
US20180158860A1 (en) * 2016-12-01 2018-06-07 Stmicroelectronics (Crolles 2) Sas Stacked image sensor with interconnects made of doped semiconductor material
US10175555B2 (en) 2017-01-03 2019-01-08 KLA—Tencor Corporation 183 nm CW laser and inspection system
EP3427790A1 (en) 2017-07-14 2019-01-16 Pixium Vision SA Photosensitive array
CN110098203A (zh) * 2018-01-30 2019-08-06 维深半导体公司 背照式图像传感器及其制备
CN108470711B (zh) * 2018-02-12 2020-10-02 上海集成电路研发中心有限公司 图像传感器的深沟槽和硅通孔的制程方法
CN108511474A (zh) * 2018-04-04 2018-09-07 武汉新芯集成电路制造有限公司 一种图像传感器的器件邻近结构的制备方法
US11114489B2 (en) 2018-06-18 2021-09-07 Kla-Tencor Corporation Back-illuminated sensor and a method of manufacturing a sensor
KR102553314B1 (ko) 2018-08-29 2023-07-10 삼성전자주식회사 이미지 센서
US10943760B2 (en) 2018-10-12 2021-03-09 Kla Corporation Electron gun and electron microscope
CN109192745A (zh) * 2018-10-16 2019-01-11 德淮半导体有限公司 背照式图像传感器及其形成方法
US11114491B2 (en) 2018-12-12 2021-09-07 Kla Corporation Back-illuminated sensor and a method of manufacturing a sensor
KR102386104B1 (ko) 2018-12-21 2022-04-13 삼성전자주식회사 후면조사형 이미지 센서 및 이를 포함하는 전자 기기
CN110085616A (zh) * 2019-05-22 2019-08-02 德淮半导体有限公司 图像传感器的形成方法
TWI750828B (zh) * 2019-10-03 2021-12-21 精材科技股份有限公司 晶片封裝體及其製造方法
US11848350B2 (en) 2020-04-08 2023-12-19 Kla Corporation Back-illuminated sensor and a method of manufacturing a sensor using a silicon on insulator wafer
US11670567B2 (en) * 2020-07-09 2023-06-06 United Microelectronics Corp. Semiconductor structure and method of wafer bonding
US20220231074A1 (en) * 2021-01-19 2022-07-21 Visera Technologies Company Limited Image sensor
KR20220126135A (ko) * 2021-03-08 2022-09-15 삼성전자주식회사 반도체 칩 구조물

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050041183A (ko) * 2003-10-30 2005-05-04 매그나칩 반도체 유한회사 카세인을 이용한 이미지 센서의 제조방법
KR20060094373A (ko) * 2005-02-24 2006-08-29 (주)실리콘화일 이미지 센서
KR20080013159A (ko) * 2006-08-07 2008-02-13 삼성전자주식회사 이미지 센서 및 그 형성 방법
KR20080062792A (ko) * 2006-12-29 2008-07-03 삼성테크윈 주식회사 이미지 센서 모듈 및 이를 구비한 카메라 모듈

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4750980A (en) * 1986-11-07 1988-06-14 Texas Instruments Incorporated Process for etching tin oxide
US4708766A (en) * 1986-11-07 1987-11-24 Texas Instruments Incorporated Hydrogen iodide etch of tin oxide
US5688715A (en) * 1990-03-29 1997-11-18 The United States Of America As Represented By The Secretary Of The Navy Excimer laser dopant activation of backside illuminated CCD's
US5365092A (en) * 1993-02-08 1994-11-15 California Institute Of Technology Frontside illuminated charge-coupled device with high sensitivity to the blue, ultraviolet and soft X-ray spectral range
US5292682A (en) * 1993-07-06 1994-03-08 Eastman Kodak Company Method of making two-phase charge coupled device
JPH08204165A (ja) * 1995-01-23 1996-08-09 Nippon Hoso Kyokai <Nhk> 積層型固体撮像装置
US5825840A (en) * 1996-04-23 1998-10-20 Eastman Kodak Company Interline sensor employing photocapacitor gate
US5891752A (en) * 1997-04-24 1999-04-06 Eastman Kodak Company Method for making charge coupled device with all electrodes of transparent conductor
US7791116B1 (en) * 1998-10-14 2010-09-07 Micron Technology, Inc. CMOS imager having a nitride dielectric
US6587146B1 (en) * 1998-11-20 2003-07-01 Eastman Kodak Company Three transistor active pixel sensor architecture with correlated double sampling
US6380564B1 (en) * 2000-08-16 2002-04-30 United Epitaxy Company, Ltd. Semiconductor light emitting device
US6454912B1 (en) * 2001-03-15 2002-09-24 Micron Technology, Inc. Method and apparatus for the fabrication of ferroelectric films
JP4556407B2 (ja) * 2002-10-04 2010-10-06 住友金属鉱山株式会社 酸化物透明電極膜とその製造方法、透明導電性基材、太陽電池および光検出素子
US6809008B1 (en) * 2003-08-28 2004-10-26 Motorola, Inc. Integrated photosensor for CMOS imagers
US7166878B2 (en) 2003-11-04 2007-01-23 Sarnoff Corporation Image sensor with deep well region and method of fabricating the image sensor
JP4046069B2 (ja) 2003-11-17 2008-02-13 ソニー株式会社 固体撮像素子及び固体撮像素子の製造方法
FR2863773B1 (fr) 2003-12-12 2006-05-19 Atmel Grenoble Sa Procede de fabrication de puces electroniques en silicium aminci
JP4211696B2 (ja) * 2004-06-30 2009-01-21 ソニー株式会社 固体撮像装置の製造方法
US7297995B2 (en) * 2004-08-24 2007-11-20 Micron Technology, Inc. Transparent metal shielded isolation for image sensors
WO2006137866A2 (en) * 2004-09-17 2006-12-28 Bedabrata Pain Back- illuminated cmos or ccd imaging device structure
JP4501633B2 (ja) * 2004-10-28 2010-07-14 ソニー株式会社 固体撮像素子とその製造方法
JP4725095B2 (ja) * 2004-12-15 2011-07-13 ソニー株式会社 裏面入射型固体撮像装置及びその製造方法
JP2006202865A (ja) * 2005-01-19 2006-08-03 Sony Corp 固体撮像装置および固体撮像装置の製造方法
TWI292631B (en) * 2005-02-05 2008-01-11 Epistar Corp Light emitting diode and method of the same
CN100442530C (zh) * 2005-02-21 2008-12-10 索尼株式会社 固态成像器件及其驱动方法和照相装置
JP2006261638A (ja) * 2005-02-21 2006-09-28 Sony Corp 固体撮像装置および固体撮像装置の駆動方法
US7749799B2 (en) * 2005-11-15 2010-07-06 California Institute Of Technology Back-illuminated imager and method for making electrical and optical connections to same
KR100749263B1 (ko) * 2005-12-29 2007-08-13 매그나칩 반도체 유한회사 씨모스 이미지 센서 및 그 제조방법
JP4992446B2 (ja) * 2006-02-24 2012-08-08 ソニー株式会社 固体撮像装置及びその製造方法、並びにカメラ
US7648851B2 (en) * 2006-03-06 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating backside illuminated image sensor
US7582161B2 (en) * 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
DE602007002018D1 (de) * 2006-06-28 2009-10-01 St Microelectronics Sa Von hinten beleuchtete Bildaufnahmevorrichtung
US7727910B2 (en) * 2007-02-13 2010-06-01 Micron Technology, Inc. Zirconium-doped zinc oxide structures and methods
US7498650B2 (en) * 2007-03-08 2009-03-03 Teledyne Licensing, Llc Backside illuminated CMOS image sensor with pinned photodiode
JP4659783B2 (ja) * 2007-06-14 2011-03-30 富士フイルム株式会社 裏面照射型撮像素子の製造方法
US20090124038A1 (en) * 2007-11-14 2009-05-14 Mark Ewing Tuttle Imager device, camera, and method of manufacturing a back side illuminated imager
US7588993B2 (en) * 2007-12-06 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment for backside illumination sensor
US8212901B2 (en) * 2008-02-08 2012-07-03 Omnivision Technologies, Inc. Backside illuminated imaging sensor with reduced leakage photodiode
US8197908B2 (en) * 2008-03-14 2012-06-12 Hestia Tec, Llc Method for preparing electrically conducting materials
US7875948B2 (en) * 2008-10-21 2011-01-25 Jaroslav Hynecek Backside illuminated image sensor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050041183A (ko) * 2003-10-30 2005-05-04 매그나칩 반도체 유한회사 카세인을 이용한 이미지 센서의 제조방법
KR20060094373A (ko) * 2005-02-24 2006-08-29 (주)실리콘화일 이미지 센서
KR20080013159A (ko) * 2006-08-07 2008-02-13 삼성전자주식회사 이미지 센서 및 그 형성 방법
KR20080062792A (ko) * 2006-12-29 2008-07-03 삼성테크윈 주식회사 이미지 센서 모듈 및 이를 구비한 카메라 모듈

Also Published As

Publication number Publication date
CN102263118A (zh) 2011-11-30
CN102263118B (zh) 2015-09-09
CN102257618A (zh) 2011-11-23
KR101339958B1 (ko) 2013-12-10
KR20110073605A (ko) 2011-06-29
JP2014042046A (ja) 2014-03-06
US7875948B2 (en) 2011-01-25
US20110223707A1 (en) 2011-09-15
JP2012506623A (ja) 2012-03-15
US8420438B2 (en) 2013-04-16
JP5437410B2 (ja) 2014-03-12
US20100096718A1 (en) 2010-04-22
JP5684877B2 (ja) 2015-03-18
KR20130064147A (ko) 2013-06-17
KR20110059807A (ko) 2011-06-03
EP2565926A1 (en) 2013-03-06
EP2361440B1 (en) 2013-11-20
US20130237004A1 (en) 2013-09-12
EP2361440A1 (en) 2011-08-31
JP5458103B2 (ja) 2014-04-02
US9553122B2 (en) 2017-01-24
US20160071900A1 (en) 2016-03-10
US10020338B2 (en) 2018-07-10
WO2010048291A1 (en) 2010-04-29
KR20130131496A (ko) 2013-12-03
KR101266414B1 (ko) 2013-05-22
KR101373233B1 (ko) 2014-03-11
JP2012099858A (ja) 2012-05-24
CN102257618B (zh) 2014-06-11
CN103400844A (zh) 2013-11-20
CN103400844B (zh) 2016-05-25

Similar Documents

Publication Publication Date Title
KR101448284B1 (ko) 후면 조명된 이미지 센서들
JP5731024B2 (ja) 背面照射型イメージセンサ及びその製造方法
US8772072B2 (en) Backside illuminated image sensor
TW201344893A (zh) 半導體裝置及其製造方法
JP2022169429A (ja) イメージセンサ及びその形成方法
KR101053768B1 (ko) 후면 조사 이미지 센서 및 그 제조방법
KR100938723B1 (ko) 후면 조사 이미지 센서 및 그 제조방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190624

Year of fee payment: 6