KR101402644B1 - 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체 - Google Patents

반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체 Download PDF

Info

Publication number
KR101402644B1
KR101402644B1 KR1020120141818A KR20120141818A KR101402644B1 KR 101402644 B1 KR101402644 B1 KR 101402644B1 KR 1020120141818 A KR1020120141818 A KR 1020120141818A KR 20120141818 A KR20120141818 A KR 20120141818A KR 101402644 B1 KR101402644 B1 KR 101402644B1
Authority
KR
South Korea
Prior art keywords
gas
layer
supplying
reaction gas
substrate
Prior art date
Application number
KR1020120141818A
Other languages
English (en)
Other versions
KR20130065610A (ko
Inventor
아츠시 사노
요시로 히로세
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20130065610A publication Critical patent/KR20130065610A/ko
Application granted granted Critical
Publication of KR101402644B1 publication Critical patent/KR101402644B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

저유전율, 높은 에칭 내성, 높은 리크 내성의 특성을 구비하는 박막을 성막할 수 있고, 또한 성막 시의 생산성을 향상할 수 있도록 한다.
기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 공정과, 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정; 기판에 원료 가스 및 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 제1층을 개질하여 제2층을 형성하는 공정; 및 기판에 수소 함유 가스를 공급하는 것에 의해 제2층의 표면을 개질하는 공정;을 포함하는 사이클을 반복하는 것에 의해 기판 상에 소정 원소를 포함하는 박막을 형성한다.

Description

반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, METHOD OF PROCESSING SUBSTRATE, SUBSTRATE PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM}
이 발명은 기판 상에 박막(薄膜)을 형성하는 공정을 포함하는 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체에 관한 것이다.
반도체 장치(디바이스)의 제조 공정 중에 실리콘 웨이퍼 등의 기판 상에 실리콘 산화막(SiO2)이나 실리콘 질화막(Si3N4) 등의 실리콘계 절연막, 즉 소정 원소로서의 실리콘을 포함하는 절연막을 형성하는 공정이 있다. 실리콘 산화막은 절연성, 저유전성 등에 뛰어나 절연막이나 층간막으로서 널리 이용되고 있다. 또한 실리콘 질화막은 절연성, 내식성, 유전성, 막 스트레스 제어성 등에 뛰어나 절연막이나 마스크막, 전하(電荷) 축적막, 스트레스 제어막으로서 널리 이용된다. 또한 이 절연막들에 카본(C)을 첨가하는 기술도 알려지고 있어, 이에 의해 절연막의 에칭 내성을 향상시키는 것이 가능하다.
하지만 절연막으로의 카본 첨가에 의해 절연막의 에칭 내성을 향상시키는 것이 가능해지는 한편, 유전율이 증가하여 리크(leak) 내성이 열화하는 경우가 있다. 즉 각각의 절연막에는 일장일단이 있어, 저유전율, 높은 에칭 내성, 높은 리크 내성의 특성을 구비하는 박막은 종래에 없었다.
따라서 본 발명의 목적은 저유전율, 높은 에칭 내성, 높은 리크 내성의 특성을 구비하는 박막을 형성할 수 있는 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체를 제공하는데 있다.
본 발명의 일 형태에 의하면,
기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정; 및
상기 기판에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 공정;
을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면,
기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정; 및
상기 기판에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 공정;
을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 공정을 포함하는 기판 처리 방법이 제공된다.
본 발명의 또 다른 형태에 의하면,
기판을 수용하는 처리실;
상기 처리실 내에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 원료 가스 공급계;
상기 처리실 내에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 제1 반응 가스 공급계;
상기 처리실 내에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 제2 반응 가스 공급계; 및
상기 처리실 내의 상기 기판에 상기 원료 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 상기 제1 반응 가스를 공급하는 처리를 교호적으로 소정 횟수 수행하는 것에 의해 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 처리와, 상기 처리실 내의 상기 기판에 상기 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 처리를 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 처리를 수행하도록 상기 원료 가스 공급계, 상기 제1 반응 가스 공급계 및 상기 제2 반응 가스 공급계를 제어하는 제어부;
를 포함하는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 처리실 내의 기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 순서를 교호적으로 소정 횟수 수행하는 것에 의해 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 순서; 및
상기 처리실 내의 상기 기판에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 순서;
를 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 순서를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 의하면 저유전율, 높은 에칭 내성, 높은 리크 내성의 특성을 구비하는 박막을 형성하는 것이 가능한 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체를 제공할 수 있다.
도 1은 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도로서, 처리로 부분을 종단면도에 의하여 도시하는 도면.
도 2는 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도로서, 처리로 부분을 도 1의 A-A선에 의한 단면도에 의하여 도시하는 도면.
도 3은 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도.
도 4는 본 발명의 제1 실시 형태에서의 성막 플로우를 도시하는 도면.
도 5는 본 발명의 제1 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면.
도 6a 내지 도 6c는 본 발명의 제1 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍의 변형예를 도시하는 도면으로서, 도 6a는 변형예1을, 도 6b는 변형예2를, 도 6c는 변형예3을 각각 도시함.
도 7은 본 발명의 제2 실시 형태에서의 성막 플로우를 도시하는 도면.
도 8은 본 발명의 제2 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면.
도 9a 내지 도 9c는 본 발명의 제2 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍의 변형예를 도시하는 구성도로서, 도 9a는 변형예1을, 도 9b는 변형예2를, 도 9c는 변형예3을 각각 도시함.
도 10은 본 발명의 제3 실시 형태에서의 성막 플로우를 도시하는 도면.
도 11은 본 발명의 제3 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면.
도 12a 내지 도 12c는 본 발명의 제3 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍의 변형예를 도시하는 도면으로서, 도 12a는 변형예1을, 도 12b는 변형예2를, 도 12c는 변형예3을 각각 도시함.
도 13a 내지 도 13c는 본 발명의 제3 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍의 변형예를 도시하는 도면으로서, 도 13a는 변형예4를, 도 13b는 변형예5를, 도 13c는 변형예6을 각각 도시함.
도 14a 및 도 14b는 본 발명의 다른 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 각각 도시하는 도면.
도 15a 내지 도 15d는 본 발명의 다른 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 각각 도시하는 도면.
<본 발명의 제1 실시 형태>
이하, 본 발명의 제1 실시 형태를 도면에 기초하여 설명한다.
(1) 기판 처리 장치의 구성
도 1은 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도로서, 처리로(202) 부분을 종단면도에 의하여 도시한다. 도 2는 본 실시 형태에서 바람직하게 이용되는 종형 처리로의 개략 구성도로서, 처리로(202) 부분을 도 1의 A-A선에 의한 단면도에 의하여 도시한다.
도 1에 도시하는 바와 같이 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 포함한다. 히터(207)는 원통 형상이며, 보지판으로서의 히터 베이스(도시되지 않음)에 지지되는 것에 의해 수직으로 설치된다. 또한 히터(207)는 후술하는 바와 같이 가스를 열에 의하여 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는 히터(207)와 동심원 형상으로 반응 용기(처리 용기)를 구성하는 반응관(203)이 배설된다. 반응관(203)은 예컨대 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색되어 하단이 개구된 원통 형상으로 형성된다. 반응관(203)의 통중공부(筒中空部)에는 처리실(201)이 형성되고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 수직 방향에 다단으로 정렬한 상태에서 수용 가능하도록 구성된다.
처리실(201) 내에는 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c)이 반응관(203)의 하부를 관통하도록 설치된다. 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c)에는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제3 가스 공급관(232c)이 각각 접속된다. 또한 제3 가스 공급관(232c)에는 제4 가스 공급관(232d), 제5 가스 공급관(232e)이 각각 접속된다. 이와 같이 반응관(203)에는 3개의 노즐(249a, 249b, 249c)과, 5개의 가스 공급관(232a, 232b, 232c, 232d, 232e)이 설치되고, 처리실(201) 내에 복수 종류, 여기서는 5종류의 가스를 공급할 수 있도록 구성된다.
또한 반응관(203)의 하방에 반응관(203)을 지지하는 금속제의 매니폴드를 설치하여 각 노즐을 이 금속제의 매니폴드의 측벽을 관통하도록 설치해도 좋다. 이와 같은 경우, 이 금속제의 매니폴드에 후술하는 배기관(231)을 더 설치해도 좋다. 또한 이와 같은 경우에도 배기관(231)을 금속제의 매니폴드가 아닌 반응관(203)의 하부에 설치해도 좋다. 이와 같이 처리로의 노구부(爐口部)를 금속제로 하고 이 금속제의 노구부에 노즐 등을 설치해도 좋다.
제1 가스 공급관(232a)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241a, MFC) 및 개폐 밸브인 밸브(243a)가 설치된다. 또한 제1 가스 공급관(232a)의 밸브(243a)보다도 하류측에는 제1 불활성 가스 공급관(232f)이 접속된다. 이 제1 불활성 가스 공급관(232f)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241f) 및 개폐 밸브인 밸브(243f)가 설치된다. 또한 제1 가스 공급관(232a)의 선단부에는 전술한 제1 노즐(249a)이 접속된다. 제1 노즐(249a)은 반응관(203)의 내벽과 웨이퍼(200) 사이의 원호 형상의 공간에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향해 상승[立上]하도록 설치된다. 즉 제1 노즐(249a)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 제1 노즐(249a)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승하도록 설치된다. 제1 노즐(249a)의 측면에는 가스를 공급하는 가스 공급공(250a)이 설치된다. 가스 공급공(250a)은 반응관(203)의 중심을 향하도록 개구되고, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하다. 이 가스 공급공(250a)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.
주로 제1 가스 공급관(232a), 매스 플로우 컨트롤러(241a), 밸브(243a)에 의해 제1 가스 공급계가 구성된다. 또한 제1 노즐(249a)을 제1 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제1 불활성 가스 공급관(232f), 매스 플로우 컨트롤러(241f), 밸브(243f)에 의해 제1 불활성 가스 공급계가 구성된다. 제1 불활성 가스 공급계는 퍼지(purge) 가스 공급계로서도 기능한다.
제2 가스 공급관(232b)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241b, MFC) 및 개폐 밸브인 밸브(243b)가 설치된다. 또한 제2 가스 공급관(232b)의 밸브(243b)보다도 하류측에는 제2 불활성 가스 공급관(232g)이 접속된다. 이 제2 불활성 가스 공급관(232g)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241g) 및 개폐 밸브인 밸브(243g)가 설치된다. 또한 제2 가스 공급관(232b)의 선단부에는 전술한 제2 노즐(249b)이 접속된다. 제2 노즐(249b)은 반응관(203)의 내벽과 웨이퍼(200) 사이의 원호 형상의 공간에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향해 상승하도록 설치된다. 즉 제2 노즐(249b)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 제2 노즐(249b)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승하도록 설치된다. 제2 노즐(249b)의 측면에는 가스를 공급하는 가스 공급공(250b)이 설치된다. 가스 공급공(250b)은 반응관(203)의 중심을 향하도록 개구되고, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하다. 이 가스 공급공(250b)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.
주로 제2 가스 공급관(232b), 매스 플로우 컨트롤러(241b), 밸브(243b)에 의해 제2 가스 공급계가 구성된다. 또한 제2 노즐(249b)을 제2 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제2 불활성 가스 공급관(232g), 매스 플로우 컨트롤러(241g), 밸브(243g)에 의해 제2 불활성 가스 공급계가 구성된다. 제2 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제3 가스 공급관(232c)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241c, MFC) 및 개폐 밸브인 밸브(243c)가 설치된다. 또한 제3 가스 공급관(232c)의 밸브(243c)보다도 하류측에는 제4 가스 공급관(232d), 제5 가스 공급관(232e)이 접속된다. 제4 가스 공급관(232d)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241d) 및 개폐 밸브인 밸브(243d)가 설치된다. 제5 가스 공급관(232e)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241e) 및 개폐 밸브인 밸브(243e)가 설치된다. 또한 제3 가스 공급관(232c)에 있어서 제4 가스 공급관(232d) 및 제5 가스 공급관(232e)의 접속 개소보다도 하류측에는 제3 불활성 가스 공급관(232h)이 접속된다. 이 제3 불활성 가스 공급관(232h)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241h) 및 개폐 밸브인 밸브(243h)가 설치된다. 또한 제3 가스 공급관(232c)의 선단부에는 전술한 제3 노즐(249c)이 접속된다. 제3 노즐(249c)은 반응관(203)의 내벽과 웨이퍼(200) 사이의 원호 형상의 공간에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향해 상승하도록 설치된다. 즉 제3 노즐(249c)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 제3 노즐(249c)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승하도록 설치된다. 제3 노즐(249c)의 측면에는 가스를 공급하는 가스 공급공(250c)이 설치된다. 가스 공급공(250c)은 반응관(203)의 중심을 향하도록 개구되고, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하다. 이 가스 공급공(250c)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.
주로 제3 가스 공급관(232c), 매스 플로우 컨트롤러(241c), 밸브(243c)에 의해 제3 가스 공급계가 구성된다. 또한 제3 노즐(249c)을 제3 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제4 가스 공급관(232d), 매스 플로우 컨트롤러(241d), 밸브(243d)에 의해 제4 가스 공급계가 구성된다. 또한 제3 가스 공급관(232c)의 제4 가스 공급관(232d)과의 접속부보다도 하류측, 제3 노즐(249c)을 제4 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제5 가스 공급관(232e), 매스 플로우 컨트롤러(241e), 밸브(243e)에 의해 제5 가스 공급계가 구성된다. 또한 제3 가스 공급관(232c)의 제5 가스 공급관(232e)과의 접속부보다도 하류측, 제3 노즐(249c)을 제5 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제3 불활성 가스 공급관(232h), 매스 플로우 컨트롤러(241h), 밸브(243h)에 의해 제3 불활성 가스 공급계가 구성된다. 제3 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
이와 같이 본 실시 형태에서의 가스 공급의 방법은 반응관(203)의 내벽과, 적재된 복수 매의 웨이퍼(200)의 단부에 의하여 정의되는 원호 형상의 세로로 긴 공간 내에 배치한 노즐(249a, 249b, 249c)을 경유해서 가스를 반송하고, 노즐(249a, 249b, 249c)에 각각 개구된 가스 공급공(250a, 250b, 250c)을 통하여 웨이퍼(200)의 근방에서 처음으로 반응관(203) 내에 가스를 분출시키고, 반응관(203) 내의 가스의 주된 흐름을 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 한다. 이와 같은 구성으로 하는 것에 의해 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있고, 각 웨이퍼(200)에 형성되는 박막의 막 두께를 균일하게 할 수 있는 효과가 있다. 또한 웨이퍼(200)의 표면 상을 흐른 가스, 즉 반응 후의 잔류 가스는 배기구, 즉 후술하는 배기관(231)의 방향을 향해 흐르지만, 이 잔류 가스가 흐르는 방향은 배기구의 위치에 의해 적절히 특정되며, 수직 방향에 한정되지 않는다.
제1 가스 공급관(232a)을 통하여는 소정 원소와 할로겐 원소를 포함하는 원료 가스로서, 예컨대 적어도 실리콘(Si)과 염소(Cl)를 포함하는 원료 가스인 클로로실란계 원료 가스가 매스 플로우 컨트롤러(241a), 밸브(243a), 제1 노즐(249a)을 개재하여 처리실(201) 내에 공급된다. 여기서 클로로실란계 원료 가스란 기체 상태의 클로로실란계 원료, 예컨대 상온 상압 하에서 액체 상태인 클로로실란계 원료를 기화하는 것에 의해 얻어지는 가스나, 상온 상압 하에서 기체 상태인 클로로실란계 원료 등을 말한다. 또한 클로로실란계 원료란 할로겐기로서의 클로로기를 포함하는 실란계 원료를 말하며, 적어도 실리콘(Si) 및 염소(Cl)를 포함하는 원료를 말한다. 즉 여기서 말하는 클로로실란계 원료는 할로겐화물의 일종이라고도 말할 수 있다. 또한 본 명세서에서 「원료」라는 단어를 이용한 경우는 「액체 상태인 액체 원료」를 의미하는 경우, 「기체 상태인 원료 가스」를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 따라서 본 명세서에서 「클로로실란계 원료」라는 단어를 이용한 경우는 「액체 상태인 클로로실란계 원료」를 의미하는 경우, 「기체 상태인 클로로실란계 원료 가스」를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 클로로실란계 원료 가스로서는 예컨대 그 조성식 중(1분자 중)에서 할로겐기를 포함하는 리간드(Cl)의 수가 6인 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스를 이용할 수 있다. 또한 HCDS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여 원료 가스(HCDS가스)로서 공급한다.
제2 가스 공급관(232b)을 통하여는 탄소(C)와 질소(N)를 포함하는 제1 반응 가스로서, 예컨대 아민을 포함하는 가스, 즉 아민계 가스가 매스 플로우 컨트롤러(241b), 밸브(243b), 제2 노즐(249b)을 개재하여 처리실(201) 내에 공급된다. 여기서 아민계 가스란 기체 상태의 아민, 예컨대 상온 상압 하에서 액체 상태인 아민을 기화하는 것에 의해 얻어지는 가스나, 상온 상압 하에서 기체 상태인 아민 등의 아민기를 포함하는 가스를 말한다. 아민계 가스는 에틸아민, 메틸아민, 프로필아민, 이소프로필아민, 부틸아민, 이소부틸아민 등의 아민을 포함한다. 여기서 아민이란 암모니아(NH3)의 수소 원자를 알킬기 등의 탄화수소기로 치환한 형태의 화합물의 총칭이다. 즉, 아민은 탄소 원자를 포함하는 리간드로서 알킬기 등의 탄화수소기를 포함한다. 아민계 가스는 탄소(C), 질소(N) 및 수소(H)의 3원소를 포함하고, 실리콘(Si)을 포함하지 않기 때문에 실리콘 비함유의 가스라고도 말할 수 있고, 또한 실리콘 및 금속을 포함하지 않기 때문에 실리콘 및 금속 비함유의 가스라고도 말할 수 있다. 또한 아민계 가스는 질소 함유 가스, 탄소 함유 가스, 또는 수소 함유 가스이기도 한다. 아민계 가스는 탄소(C), 질소(N) 및 수소(H)의 3원소만으로 구성되는 물질이라고도 말할 수 있다. 또한 본 명세서에서 「아민」이라는 단어를 이용한 경우는 「액체 상태인 아민」을 의미하는 경우, 「기체 상태인 아민계 가스」를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 아민계 가스로서는 예컨대 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드(에틸기)의 수가 3이며, 그 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 트리에틸아민[(C2H5)3N, 약칭: TEA) 가스를 이용할 수 있다. 또한 TEA와 같이 상온 상압 하에서 액체 상태인 아민을 이용하는 경우에는 액체 상태의 아민을 기화기나 버블러 등의 기화 시스템에 의해 기화하여 제1 반응 가스(TEA가스)로서 공급한다.
제3 가스 공급관(232c)을 통하여는 원료 가스 및 제1 반응 가스와는 다른 제2 반응 가스로서, 예컨대 산소(O)를 포함하는 가스(산소 함유 가스), 즉 산화 가스가 매스 플로우 컨트롤러(241c), 밸브(243c), 제3 노즐(249c)을 개재하여 처리실(201) 내에 공급된다. 산소 함유 가스(산화 가스)로서는 예컨대 산소(O2) 가스를 이용할 수 있다.
제4 가스 공급관(232d)을 통하여는 원료 가스 및 제1 반응 가스와는 다른 제2 반응 가스로서, 예컨대 질소(N)를 포함하는 가스(질소 함유 가스), 즉 질화 가스가 매스 플로우 컨트롤러(241d), 밸브(243d), 제3 가스 공급관(232c), 제3 노즐(249c)을 개재하여 처리실(201) 내에 공급된다. 질소 함유 가스(질화 가스)로서는 예컨대 암모니아(NH3) 가스를 이용할 수 있다.
제5 가스 공급관(232e)을 통하여는 수소 함유 가스, 즉 환원 가스로서, 예컨대 수소(H2) 가스가 매스 플로우 컨트롤러(241e), 밸브(243e), 제3 가스 공급관(232c), 제3 노즐(249c)을 개재하여 처리실(201) 내에 공급된다.
불활성 가스 공급관(232f, 232g, 232h)을 통하여는 불활성 가스로서, 예컨대 질소(N2) 가스가 각각 매스 플로우 컨트롤러(241f, 241g, 241h), 밸브(243f, 243g, 243h), 가스 공급관(232a, 232b, 232c), 노즐(249a, 249b, 249c)을 개재하여 처리실(201) 내에 공급된다.
또한 예컨대 각 가스 공급관을 통하여 전술과 같은 가스를 각각 흘리는 경우, 제1 가스 공급계에 의해 소정 원소 및 할로겐기를 포함하는 원료 가스를 공급하는 원료 가스 공급계, 즉 클로로실란계 원료 가스 공급계가 구성된다. 또한 클로로실란계 원료 가스 공급계를 단순히 클로로실란계 원료 공급계라고도 칭한다. 또한 제2 가스 공급계에 의해 제1 반응 가스 공급계, 즉 아민계 가스 공급계가 구성된다. 또한 아민계 가스 공급계를 단순히 아민 공급계라고도 칭한다. 또한 제3 가스 공급계에 의해 제2 반응 가스 공급계, 즉 산화 가스 공급계로서의 산소 함유 가스 공급계가 구성된다. 또한 제4 가스 공급계에 의해 제2 반응 가스 공급계, 즉 질화 가스 공급계로서의 질소 함유 가스 공급계가 구성된다. 또한 제5 가스 공급계에 의해 환원 가스 공급계로서의 수소 함유 가스 공급계가 구성된다.
반응관(203)에는 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치된다. 도 2에 도시하는 바와 같이 횡단면으로 볼 때 배기관(231)은 반응관(203)의 제1 노즐(249a)의 가스 공급공(250a), 제2 노즐(249b)의 가스 공급공(250b) 및 제3 노즐(249c)의 가스 공급공(250c)이 설치되는 측과 대향하는 측, 즉 웨이퍼(200)를 끼워서 가스 공급공(250a, 250b, 250c)과는 반대측에 설치된다. 또한 도 1에 도시하는 바와 같이 종단면으로 볼 때 배기관(231)은 가스 공급공(250a, 250b, 250c)이 설치되는 개소보다도 하방에 설치된다. 이 구성에 의해 가스 공급공(250a, 250b, 250c)을 통하여 처리실(201) 내의 웨이퍼(200)의 근방에 공급된 가스는 수평 방향, 즉 웨이퍼(200)의 표면과 평행한 방향을 향해 흐른 뒤, 하방을 향해 흘러 배기관(231)을 통하여 배기된다. 처리실(201) 내의 가스의 주된 흐름이 수평 방향으로 향하는 흐름이 되는 것은 전술한 바와 같다.
배기관(231)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 개재하여 진공 배기 장치로서의 진공 펌프(246)가 접속된다. 또한 APC 밸브(244)는 진공 펌프(246)가 작동하는 상태에서 밸브를 개폐하는 것에 의해 처리실(201) 내의 진공 배기 및 진공 배기 정지를 수행할 수 있고, 또한 진공 펌프(246)가 작동하는 상태에서 밸브 개도(開度)를 조절하는 것에 의해 처리실(201) 내의 압력을 조정할 수 있도록 구성된 밸브다. 주로 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 또한 진공 펌프(246)를 배기계에 포함시켜서 생각해도 좋다. 배기계는 진공 펌프(246)를 작동시키면서 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 APC 밸브(244)의 밸브의 개도를 조절하는 것에 의해 처리실(201) 내의 압력이 소정의 압력(진공도)이 되도록 진공 배기할 수 있도록 구성된다.
반응관(203)의 하방에는 반응관(203)의 하단 개구를 기밀하게 폐색 가능한 노구 개체로서의 씰 캡(219)이 설치된다. 씰 캡(219)은 반응관(203)의 하단에 수직 방향 하측으로부터 당접(當接)되도록 구성된다. 씰 캡(219)은 예컨대 스텐레스 등의 금속으로 이루어지고, 원반 형상으로 형성된다. 씰 캡(219)의 상면에는 반응관(203)의 하단과 당접하는 씰 부재로서의 O링(220)이 설치된다. 씰 캡(219)의 처리실(201)과 반대측에는 후술하는 기판 보지구로서의 보트(217)를 회전시키는 회전 기구(267)가 설치된다. 회전 기구(267)의 회전축(255)은 씰 캡(219)을 관통하여 보트(217)에 접속된다. 회전 기구(267)는 보트(217)를 회전시키는 것에 의해 웨이퍼(200)를 회전시키도록 구성된다. 씰 캡(219)은 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성된다. 보트 엘리베이터(115)는 씰 캡(219)을 승강시키는 것에 의해 보트(217)를 처리실(201) 내외에 반입 및 반출하는 것이 가능하도록 구성된다. 즉 보트 엘리베이터(115)는 보트(217), 즉 웨이퍼(200)를 처리실(201) 내외에 반송하는 반송 장치(반송 기구)로서 구성된다.
기판 지지구로서의 보트(217)는 예컨대 석영이나 탄화실리콘 등의 내열성 재료로 이루어지고, 복수 매의 웨이퍼(200)를 수평 자세로, 또한 서로 중심을 맞춘 상태로 정렬시켜서 다단으로 지지하도록 구성된다. 또한 보트(217)의 하부에는 예컨대 석영이나 탄화실리콘 등의 내열성 재료로 이루어지는 단열 부재(218)가 설치되고, 히터(207)로부터의 열이 씰 캡(219)측에 전달되기 어렵도록 구성된다. 또한 단열 부재(218)는 석영이나 탄화실리콘 등의 내열성 재료로 이루어지는 복수 매의 단열판과, 이 단열판들을 수평 자세에서 다단으로 지지하는 단열판 홀더에 의해 구성해도 좋다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 통전 상태를 조정하는 것에 의해 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성된다. 온도 센서(263)는 노즐(249a, 249b, 249c)과 마찬가지로 L자형으로 구성되고, 반응관(203)의 내벽을 따라 설치된다.
도 3에 도시하는 바와 같이 제어부(제어 수단)인 컨트롤러(121)는 CPU(121a, Central Processing Unit), RAM(121b, Random Access Memory) 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성된다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 개재하여 CPU(121a)와 데이터 교환 가능하도록 구성된다. 컨트롤러(121)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(122)가 접속된다.
기억 장치(121c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(121c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하도록 격납된다. 또한 프로세스 레시피는 후술하는 기판 처리 공정의 각 순서를 컨트롤러(121)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 또한 본 명세서에서 프로그램이라는 단어를 이용한 경우는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다. 또한 RAM(121b)는 CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(121d)는 전술한 매스 플로우 컨트롤러(241a, 241b, 241c, 241d, 241e, 241f, 241g, 241h), 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g, 243h), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 히터(207), 온도 센서(263), 회전 기구(267), 보트 엘리베이터(115) 등에 접속된다.
CPU(121a)는 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행하는 것과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성된다. 그리고 CPU(121a)는 판독한 프로세스 레시피의 내용을 따르도록 매스 플로우 컨트롤러(241a, 241b, 241c, 241d, 241e, 241f, 241g, 241h)에 의한 각종 가스의 유량 조정 동작, 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g, 243h)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 진공 펌프(246)의 기동 및 정지, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작 등을 제어하도록 구성된다.
또한 컨트롤러(121)는 전용의 컴퓨터로서 구성되는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치(123)[예컨대 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리]를 준비하고, 이와 같은 외부 기억 장치(123)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시 형태에 따른 컨트롤러(121)를 구성할 수 있다. 또한 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(123)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 인터넷이나 전용 회선 등의 통신 수단을 이용하여 외부 기억 장치(123)를 개재하지 않고 프로그램을 공급해도 좋다. 또한 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 또한 본 명세서에서 기록 매체라는 단어를 이용한 경우는 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다.
(2) 기판 처리 공정
다음으로 전술한 기판 처리 장치의 처리로(202)를 이용하여 반도체 장치(디바이스)의 제조 공정의 일 공정으로서 웨이퍼(200) 상에 박막을 성막하는 예에 대하여 설명한다. 또한 이하의 설명에서 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태에서는,
처리실(201) 내의 웨이퍼(200)에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 공정과, 처리실(201) 내의 웨이퍼(200)에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중(1분자 중)에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 공정을 교호적으로 소정 횟수(1회 이상) 수행하는 것에 의해 웨이퍼(200) 상에 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;
처리실(201) 내의 웨이퍼(200)에 원료 가스 및 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 제1층을 개질하여 제2층을 형성하는 공정; 및
처리실(201) 내의 웨이퍼(200)에 수소 함유 가스를 공급하는 것에 의해 제2층의 표면을 개질하는 공정;
을 포함하는 사이클을 반복하는 것에 의해 웨이퍼(200) 상에 소정 원소를 포함하는 소정 조성 및 소정 막 두께의 박막을 형성한다.
또한 본 실시 형태에서는 형성되는 박막의 조성비가 화학량론 조성, 또는 화학량론 조성과는 다른 소정의 조성비가 되도록 하는 것을 목적으로 하여, 형성되는 박막을 구성하는 복수의 원소를 포함하는 복수 종류의 가스의 공급 조건을 제어한다. 예컨대, 형성되는 박막을 구성하는 복수의 원소 중 적어도 하나의 원소가 다른 원소보다도 화학량론 조성에 비하여 과잉이 되도록 하는 것을 목적으로 하여 공급 조건을 제어한다. 이하, 형성되는 박막을 구성하는 복수의 원소의 비율, 즉 박막의 조성비를 제어하면서 성막을 수행하는 예에 대하여 설명한다.
이하, 본 실시 형태의 성막 시퀀스를 도 4, 도 5를 이용하여 구체적으로 설명한다. 도 4는 본 실시 형태의 성막 플로우를 도시하는 도면이다. 도 5는 본 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이다.
또한 여기서는,
처리실(201) 내의 웨이퍼(200)에 원료 가스로서 클로로실란계 원료 가스인 HCDS 가스를 공급하는 공정과, 처리실(201) 내의 웨이퍼(200)에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중(1분자 중)에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스로서, 그 조성식 중에서 탄소 원자를 포함하는 리간드(에틸기)를 복수(3개) 포함하는 아민계 가스인 TEA 가스를 공급하는 공정을 교호적으로 1회 수행하는 것에 의해 웨이퍼(200) 상에 실리콘, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;
처리실(201) 내의 웨이퍼(200)에 원료 가스 및 제1 반응 가스와는 다른 제2 반응 가스로서 산소 함유 가스(산화 가스)인 O2가스를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 실리콘 산탄질화층(SiOCN층) 또는 실리콘 산탄화층(SiOC층)을 형성하는 공정; 및
처리실(201) 내의 웨이퍼(200)에 수소 함유 가스(환원 가스)로서 H2가스를 공급하는 것에 의해 제2층의 표면을 개질하는 공정;
을 포함하는 사이클을 반복하는 것에 의해, 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘계 절연막인 실리콘 산탄질화막(SiOCN막) 또는 실리콘 산탄화막(SiOC막)을 형성하는 예에 대하여 설명한다.
또한 본 명세서에서 「웨이퍼」라는 단어를 이용한 경우는 「웨이퍼 그 자체」를 의미하는 경우와, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등의 적층체(집합체)」를 의미하는 경우(즉 표면에 형성된 소정의 층이나 막 등을 포함해서 웨이퍼라고 칭하는 경우)가 있다. 또한 본 명세서에서 「웨이퍼의 표면」이라는 단어를 이용한 경우는 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우와, 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최표면(最表面)」을 의미하는 경우가 있다.
따라서 본 명세서에서 「웨이퍼에 소정의 가스를 공급한다」고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우와, 「웨이퍼 상에 형성되는 층이나 막 등에 대하여, 즉 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한 본 명세서에서 「웨이퍼 상에 소정의 층(또는 막)을 형성한다」고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성한다」는 것을 의미하는 경우와, 「웨이퍼 상에 형성되는 층이나 막 등의 상, 즉 적층체로서의 웨이퍼의 최표면 상에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.
또한 본 명세서에서 「기판」이라는 단어를 이용한 경우에도 「웨이퍼」라는 단어를 이용한 경우와 마찬가지이며, 그 경우, 상기 설명에서 「웨이퍼」를 「기판」으로 치환하여 생각하면 된다.
(웨이퍼 차지 및 보트 로드)
복수 매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 도 1에 도시하는 바와 같이 복수 매의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어 올려져서 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서 씰 캡(219)은 O링(220)을 개재하여 반응관(203)의 하단을 밀봉한 상태가 된다.
(압력 조정 및 온도 조정)
처리실(201) 내가 원하는 압력(진공도)이 되도록 진공 펌프(246)에 의해 진공 배기된다. 이 때 처리실(201) 내의 압력은 압력 센서(245)에 의하여 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다(압력 조정). 또한 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 종료할 때까지는 상시 작동하는 상태를 유지한다. 또한 처리실(201) 내가 원하는 온도가 되도록 히터(207)에 의해 가열된다. 이 때 처리실(201) 내가 원하는 온도 분포가 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다(온도 조정). 또한 히터(207)에 의한 처리실(201) 내의 가열은 적어도 웨이퍼(200)에 대한 처리가 종료할 때까지는 계속해서 수행된다. 계속해서 회전 기구(267)에 의해 보트(217) 및 웨이퍼(200)의 회전을 시작한다. 또한 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은 적어도 웨이퍼(200)에 대한 처리가 완료할 때까지는 계속해서 수행된다.
(실리콘 산탄질화막 또는 실리콘 산탄화막 형성 공정)
그 후, 다음 3개의 스텝, 즉 스텝1∼3을 순차 실행한다.
[스텝1]
(HCDS가스 공급)
제1 가스 공급관(232a)의 밸브(243a)를 열어 제1 가스 공급관(232a) 내에 HCDS가스를 흘린다. 제1 가스 공급관(232a) 내를 흐른 HCDS가스는 매스 플로우 컨트롤러(241a)에 의해 유량 조정된다. 유량 조정된 HCDS가스는 제1 노즐(249a)의 가스 공급공(250a)을 통하여 처리실(201) 내에 공급되고 배기관(231)을 통하여 배기된다. 이 때 웨이퍼(200)에 HCDS가스가 공급된다. 이 때 동시에 밸브(243f)를 열어 제1 불활성 가스 공급관(232f) 내에 불활성 가스로서의 N2가스를 흘린다. 제1 불활성 가스 공급관(232f) 내를 흐른 N2가스는 매스 플로우 컨트롤러(241f)에 의해 유량 조정된다. 유량 조정된 N2가스는 HCDS가스와 함께 처리실(201) 내에 공급되고 배기관(231)을 통하여 배기된다.
또한 이 때 제2 노즐(249b), 제3 노즐(249c) 내로의 HCDS가스의 침입을 방지하기 위하여 밸브(243g, 243h)를 열어 제2 불활성 가스 공급관(232g), 제3 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제2 노즐(249b), 제3 노즐(249c)을 개재하여 처리실(201) 내에 공급되고 배기관(231)을 통하여 배기된다.
이 때 APC 밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1∼13,300Pa, 바람직하게는 20∼1,330Pa의 범위 내의 압력으로 한다. 매스 플로우 컨트롤러(241a)에 의하여 제어하는 HCDS가스의 공급 유량은 예컨대 1∼1,000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241f, 241g, 241h)에 의하여 제어하는 N2가스의 공급 유량은 각각 예컨대 100∼10,000sccm의 범위 내의 유량으로 한다. HCDS가스를 웨이퍼(200)에 공급하는 시간, 즉 가스 공급 시간(조사(助射) 시간)은 예컨대 1∼120초, 바람직하게는 1∼60초의 범위 내의 시간으로 한다. 이 때 히터(207)의 온도는 웨이퍼(200)의 온도가 예컨대 250∼700℃, 바람직하게는 300∼650℃, 보다 바람직하게는 350∼600℃의 범위 내의 온도가 될 수 있는 온도로 설정한다. 또한 웨이퍼(200)의 온도가 250℃ 미만이 되면 웨이퍼(200) 상에 HCDS가 화학 흡착하기 어려워져서 실용적인 성막 속도를 얻지 못하는 경우가 있다. 웨이퍼(200)의 온도를 250℃ 이상으로 하는 것에 의해 이를 해소하는 것이 가능하다. 또한 웨이퍼(200)의 온도를 300℃ 이상, 또한 350℃ 이상으로 하는 것에 의해 웨이퍼(200) 상에 HCDS를 보다 충분히 흡착시키는 것이 가능하고, 보다 충분한 성막 속도를 얻을 수 있다. 또한 웨이퍼(200)의 온도가 700℃를 넘으면 CVD 반응이 강해지는[기상(氣相) 반응이 지배적이 되는] 것에 의해 막 두께 균일성이 악화되기 쉬워져 막 두께의 제어가 곤란해진다. 웨이퍼(200)의 온도를 700℃ 이하로 하는 것에 의해 막 두께 균일성의 악화를 억제할 수 있어 막 두께의 제어가 가능하다. 특히 웨이퍼(200)의 온도를 650℃ 이하, 특히 600℃ 이하로 하는 것에 의해 표면 반응이 지배적이 되고, 막 두께 균일성을 확보하기 쉬워져 막 두께의 제어가 용이해진다. 따라서 웨이퍼(200)의 온도는 250∼700℃, 바람직하게는 300∼650℃, 보다 바람직하게는 350∼600℃의 범위 내의 온도로 하는 것이 좋다.
전술한 조건 하에서 웨이퍼(200)에 HCDS가스를 공급하는 것에 의해, 웨이퍼(200)[표면의 하지막(下地膜)] 상에 소정 원소(실리콘)와 할로겐 원소(염소)를 포함하는 초기층으로서, 예컨대 1원자층 미만으로부터 수원자층 정도의 두께의 염소(Cl)를 포함하는 실리콘 함유층이 형성된다. Cl을 포함하는 실리콘 함유층은 HCDS가스의 흡착층이어도 좋고, Cl을 포함하는 실리콘층(Si층)이어도 좋고, 그 양쪽을 포함해도 좋다.
여기서 Cl을 포함하는 실리콘층은, 실리콘(Si)에 의해 주로 구성되고 Cl을 더 포함하는 연속적인 층 외에, 불연속적인 층 및 양자가 중첩되어 이루어지는 Cl을 포함하는 실리콘 박막도 포함하는 총칭이다. 또한 Si에 의해 주로 구성되고 Cl을 더 포함하는 연속적인 층을 Cl을 포함하는 실리콘 박막이라고 부르는 경우도 있다. 또한 Cl을 포함하는 실리콘층은 Cl과의 결합이 완전히 끊어지지 않은 Si 외에 Cl과의 결합이 완전히 끊어진 Si도 포함한다.
또한 HCDS가스의 흡착층은 HCDS가스 분자의 연속적인 화학 흡착층 외에 HCDS가스 분자의 불연속적인 화학 흡착층도 포함한다. 즉 HCDS가스의 흡착층은 HCDS분자로 구성되는 1분자층 또는 1분자층 미만의 두께의 화학 흡착층을 포함한다. 또한 HCDS가스의 흡착층을 구성하는 HCDS 분자는 Si2Cl6 분자뿐 아니라 Si와 Cl과의 결합이 일부 끊긴 SixCly 분자도 포함한다. 즉 HCDS의 흡착층은 Si2Cl6분자 및/또는 SixCly분자의 연속적인 화학 흡착층 및 불연속적인 화학 흡착층을 포함한다.
또한 1원자층 미만의 두께의 층이란 불연속적으로 형성되는 원자층을 의미하고, 1원자층의 두께의 층이란 연속적으로 형성되는 원자층을 의미한다. 또한 1분자층 미만의 두께의 층이란 불연속적으로 형성되는 분자층을 의미하고, 1분자층의 두께의 층이란 연속적으로 형성되는 분자층을 의미한다.
HCDS가스가 자기분해(自己分解, 열분해)되는 조건 하, 즉 HCDS의 열분해 반응이 발생하는 조건 하에서는 웨이퍼(200) 상에 Si가 퇴적하는 것에 의해 Cl을 포함하는 실리콘층이 형성된다. HCDS가스가 자기분해(열분해)하지 않는 조건 하, 즉 HCDS의 열분해 반응이 발생하지 않는 조건 하에서는 웨이퍼(200) 상에 HCDS가스가 흡착하는 것에 의해 HCDS가스의 흡착층이 형성된다. 또한 웨이퍼(200) 상에 HCDS가스의 흡착층을 형성하는 것보다 웨이퍼(200) 상에 Cl을 포함하는 실리콘층을 형성하는 것이 성막 레이트를 더 높게 할 수 있어서 바람직하다.
웨이퍼(200) 상에 형성되는 Cl을 포함하는 실리콘 함유층의 두께가 수(數)원자층을 넘으면, 후술하는 스텝2 및 스텝3에서의 개질의 효과가 Cl을 포함하는 실리콘 함유층의 전체에 미치지 않는다. 또한 웨이퍼(200) 상에 형성 가능한 Cl을 포함하는 실리콘 함유층의 두께의 최소값은 1원자층 미만이다. 따라서 Cl을 포함하는 실리콘 함유층의 두께는 1원자층 미만으로부터 수원자층 정도로 하는 것이 바람직하다. 또한 Cl을 포함하는 실리콘 함유층의 두께를 1원자층 이하, 즉 1원자층 또는 1원자층 미만으로 하는 것에 의해 후술하는 스텝2 및 스텝3에서의 개질 반응의 효과를 상대적으로 높일 수 있고, 스텝2 및 스텝3의 개질 반응에 요하는 시간을 단축할 수 있다. 스텝1의 Cl을 포함하는 실리콘 함유층 형성에 요하는 시간도 단축할 수 있다. 결과적으로 1사이클당의 처리 시간을 단축할 수 있고, 전체적인 처리 시간을 단축하는 것도 가능하다. 즉 성막 레이트를 높게 하는 것도 가능하다. 또한 Cl을 포함하는 실리콘 함유층의 두께를 1원자층 이하로 하는 것에 의해 막 두께 균일성도 더 효과적으로 제어할 수 있다.
(잔류 가스 제거)
초기층으로서의 Cl을 포함하는 실리콘 함유층이 형성된 후, 제1 가스 공급관(232a)의 밸브(243a)를 닫고 HCDS가스의 공급을 정지한다. 이 때 배기관(231)의 APC 밸브(244)는 연 상태로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응의 또는 초기층 형성에 기여한 후의 HCDS가스를 처리실(201) 내로부터 배제한다. 또한 이 때 밸브(243f, 243g, 243h)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응의 또는 초기층 형성에 기여한 후의 HCDS가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면 그 후에 수행되는 스텝2에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대(大)유량으로 할 필요는 없고, 예컨대 반응관(203) 또는 처리실(201)의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝2에서 악영향이 발생하지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능하다.
클로로실란계 원료 가스로서는 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스 외에 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스 등의 무기 원료 가스를 이용해도 좋다. 불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용해도 좋다.
[스텝2]
(TEA가스 공급)
스텝1이 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제2 가스 공급관(232b)의 밸브(243b)를 열어 제2 가스 공급관(232b) 내에 TEA가스를 흘린다. 제2 가스 공급관(232b) 내를 흐른 TEA가스는 매스 플로우 컨트롤러(241b)에 의해 유량 조정된다. 유량 조정된 TEA가스는 제2 노즐(249b)의 가스 공급공(250b)을 통하여 처리실(201) 내에 공급된다. 처리실(201) 내에 공급된 TEA가스는 열에 의하여 활성화(여기)되어 배기관(231)을 통하여 배기된다. 이 때 웨이퍼(200)에 열에 의하여 활성화된 TEA가스가 공급된다. 이 때 동시에 밸브(243g)를 열어 제2 불활성 가스 공급관(232g) 내에 불활성 가스로서의 N2가스를 흘린다. 제2 불활성 가스 공급관(232g) 내를 흐른 N2가스는 매스 플로우 컨트롤러(241g)에 의해 유량 조정된다. 유량 조정된 N2가스는 TEA가스와 함께 처리실(201) 내에 공급되고 배기관(231)을 통하여 배기된다.
또한 이 때 제1 노즐(249a), 제3 노즐(249c) 내로의 TEA가스의 침입을 방지하기 위하여 밸브(243f, 243h)를 열어 제1 불활성 가스 공급관(232f), 제3 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a) 및 제1 노즐(249a)을 개재하거나 제3 가스 공급관(232c) 및 제3 노즐(249c)을 개재하여 처리실(201) 내에 공급되고 배기관(231)을 통하여 배기된다.
이 때 APC 밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1∼13,300Pa, 바람직하게는 399∼3,990Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 이와 같은 비교적 높은 압력대로 하는 것에 의해 TEA가스를 비(非)플라즈마에 의하여 열적으로 활성화시키는 것이 가능하다. 또한 TEA가스를 열에 의하여 활성화시켜서 공급하는 것에 의해 소프트(soft)한 반응을 발생시킬 수 있어 후술하는 개질을 소프트하게 수행할 수 있다. 매스 플로우 컨트롤러(241b)에 의하여 제어하는 TEA가스의 공급 유량은 예컨대 100∼2,000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241g, 241f, 241h)에 의하여 제어하는 N2가스의 공급 유량은 각각 예컨대 100∼10,000sccm의 범위 내의 유량으로 한다. 이 때 처리실(201) 내의 TEA가스의 분압은 0.01∼12,667Pa의 범위 내의 압력으로 한다. 열에 의하여 활성화시킨 TEA가스를 웨이퍼(200)에 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1∼120초, 바람직하게는 1∼60초의 범위 내의 시간으로 한다. 이 때의 히터(207)의 온도는 스텝1과 마찬가지로 웨이퍼(200)의 온도가 예컨대 250∼700℃, 바람직하게는 300∼650℃, 보다 바람직하게는 350∼600℃의 범위 내의 온도가 될 수 있는 온도로 설정한다.
전술한 조건 하에서 웨이퍼(200)에 TEA가스를 공급하는 것에 의해 스텝1에서 웨이퍼(200) 상에 형성된 초기층으로서의 Cl을 포함하는 실리콘 함유층과 TEA가스를 반응시킬 수 있다. 즉 초기층으로서의 Cl을 포함하는 실리콘 함유층에 포함되는 할로겐 원소(Cl)와 TEA가스에 포함되는 리간드(에틸기)를 반응시킬 수 있다. 이로 인해 초기층에 포함되는 Cl 중 적어도 일부의 Cl을 초기층으로부터 인발(引拔)하는(분리시키는) 것과 함께, TEA가스에 포함되는 복수의 에틸기 중 적어도 일부의 에틸기를 TEA가스로부터 분리시킬 수 있다. 그리고 적어도 일부의 에틸기가 분리된 TEA가스의 N과 초기층에 포함되는 Si를 결합시킬 수 있다. 즉 TEA가스를 구성하는 N 중에서 적어도 일부의 에틸기가 빠져 미결합수(dangling bond)를 가지게 된 N과, 초기층에 포함되어 미결합수를 가지게 된 Si, 또는 미결합수를 가지고 있던 Si를 결합시켜서 Si-N결합을 형성하는 것이 가능하다. 또한 이 때 TEA가스의 리간드인 에틸기에 포함되는 C나 에틸기에 포함되어 있던 C와 초기층에 포함되는 Si를 결합시켜서 Si-C결합을 형성하는 것도 가능하다. 그 결과 초기층으로부터 Cl이 탈리하는 것과 함께 초기층 내에 N성분이 새롭게 취입(取入)된다. 또한 이 때 초기층 내에 C성분도 새롭게 취입된다.
TEA가스를 전술한 조건 하에서 공급하는 것에 의해 초기층으로서의 Cl을 포함하는 실리콘 함유층과 TEA가스를 적절히 반응시킬 수 있고, 전술한 일련의 반응을 발생시키는 것이 가능하다.
이 일련의 반응에 의해 초기층으로부터 Cl이 탈리하는 것과 함께 초기층 내에 N성분과 C성분이 새롭게 취입되어, 초기층으로서의 Cl을 포함하는 실리콘 함유층은 실리콘(Si), 질소(N) 및 탄소(C)를 포함하는 제1층, 즉 실리콘 탄질화층(SiCN층)으로 변화한다(개질된다). 제1층은 1원자층 미만으로부터 수원자층 정도의 두께의 Si, N 및 C를 포함하는 층이 된다. 또한 제1층은 Si성분의 비율과 C성분과의 비율이 비교적 높은 층, 즉 Si리치(rich) 및 C리치의 층이 된다.
또한 제1층으로서의 Si, N 및 C를 포함하는 층을 형성할 때, Cl을 포함하는 실리콘 함유층에 포함되어 있던 염소(Cl) 및 TEA가스에 포함되어 있던 수소(H)는, TEA가스에 의한 Cl을 포함하는 실리콘 함유층의 개질 반응의 과정에서 예컨대 염소(Cl2) 가스, 수소(H2) 가스, 염화수소(HCl) 가스 등의 가스상(狀) 물질을 생성하여, 배기관(231)을 개재하여 처리실(201) 내로부터 배출된다. 즉 초기층 내의 Cl 등의 불순물은 초기층으로부터 인발되거나 탈리하거나 하는 것에 의해 초기층으로부터 분리된다. 이에 의해 제1층은 초기층에 비해 Cl 등의 불순물이 적은 층이 된다.
(잔류 가스 제거)
제1층이 형성된 후, 제2 가스 공급관(232b)의 밸브(243b)를 닫고, TEA가스의 공급을 정지한다. 이 때 배기관(231)의 APC 밸브(244)는 연 상태로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여 처리실(201) 내에 잔류하는 미반응의 또는 제1층 형성에 기여한 후의 TEA가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 이 때 밸브(243g, 243f, 243h)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응의 또는 제1층 형성에 기여한 후의 TEA가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면 그 후에 수행되는 스텝3에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203) 또는 처리실(201)의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝3에서 악영향이 발생하지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능하다.
아민계 가스로서는 트리에틸아민[(C2H5)3N, 약칭: TEA] 외에 디에틸아민[(C2H5)2NH, 약칭: DEA], 모노에틸아민(C2H5NH2, 약칭: MEA) 등이 기화된 에틸아민계 가스, 트리메틸아민[(CH3)3N, 약칭: TMA], 디메틸아민[(CH3)2NH, 약칭: DMA], 모노메틸아민(CH3NH2, 약칭: MMA) 등이 기화된 메틸아민계 가스, 트리프로필아민[(C3H7)3N, 약칭: TPA], 디프로필아민[(C3H7)2NH, 약칭: DPA], 모노프로필아민(C3H7NH2, 약칭: MPA) 등이 기화된 프로필아민계 가스, 트리이소프로필아민([(CH3)2CH]3N, 약칭: TIPA), 디이소프로필아민([(CH3)2CH]2NH, 약칭: DIPA), 모노이소프로필아민[(CH3)2CHNH2, 약칭: MIPA] 등이 기화된 이소프로필아민계 가스, 트리부틸아민[(C4H9)3N, 약칭: TBA], 디부틸아민[(C4H9)2NH, 약칭: DBA], 모노부틸아민(C4H9NH2, 약칭: MBA) 등이 기화된 부틸아민계 가스, 또는 트리이소부틸아민([(CH3)2CHCH2]3N, 약칭: TIBA), 디이소부틸아민([(CH3)2CHCH2]2NH, 약칭: DIBA), 모노이소부틸아민[(CH3)2CHCH2NH2, 약칭: MIBA) 등이 기화된 이소부틸아민계 가스를 바람직하게 이용할 수 있다. 즉 아민계 가스로서는 예컨대(C2H5)xNH3-x, (CH3)xNH3-x, (C3H7)xNH3-x, [(CH3)2CH]xNH3-x, (C4H9)xNH3-x, [(CH3)2CHCH2]xNH3-x (식 중 x는 1~3의 정수) 중 적어도 1종류의 가스를 바람직하게 이용할 수 있다.
또한 아민계 가스로서는 탄소, 질소 및 수소의 3원소로 구성되고 그 조성식 중(1분자 중)에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 가스를 이용하는 것이 바람직하다. 즉 아민계 가스로서는 TEA, DEA, MEA, TMA, DMA, TPA, DPA, MPA, TIPA, DIPA, MIPA, TBA, DBA, MBA, TIBA, DIBA 및 MIBA로 이루어지는 군(群)으로부터 선택되는 적어도 1개의 아민을 포함하는 가스를 이용하는 것이 바람직하다.
원료 가스로서 HCDS가스 등과 같은, 소정 원소(실리콘)와 할로겐 원소(염소)를 포함하는 클로로실란계 원료 가스를 이용하는 경우에, 제1 반응 가스로서 TEA가스나 DEA가스 등과 같이 탄소, 질소 및 수소의 3원소로 구성되고 그 조성식 중(1분자 중)에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 아민계 가스를 이용하는 것에 의해, 스텝2에서 형성되는 제1층 내의 탄소 농도, 즉 후술하는 소정 횟수 실시 공정에서 형성되는 SiOCN막 또는 SiOC막 내의 탄소 농도를 높게 할 수 있다.
이에 대하여 원료 가스로서 HCDS가스 등과 같은 소정 원소(실리콘)와 할로겐 원소(염소)를 포함하는 클로로실란계 원료 가스를 이용하는 경우에 제1 반응 가스로서 아민계 가스 중 MMA가스, 또는 후술하는 유기 히드라진계 가스 중 MMH가스, DMH가스 등과 같이 탄소, 질소 및 수소의 3원소로 구성되고 그 조성식 중(1분자 중)에서 질소 원자의 수보다 탄소 원자의 수가 더 많지 않은 가스를 이용하는 경우, 제1층 내의 탄소 농도, 즉 SiOCN막 또는 SiOC막 내의 탄소 농도를 제1 반응 가스로서 탄소, 질소 및 수소의 3원소로 구성되고 그 조성식 중(1분자 중)에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 아민계 가스를 이용하는 경우와 같은 정도로 높게 할 수 없어 적절한 탄소 농도를 실현하는 것이 곤란해진다.
또한 아민계 가스로서는 그 조성식 중(1분자 중)에서 탄소(C) 원자를 포함하는 리간드를 복수 포함하는 가스, 즉 그 조성식 중(1분자 중)에서 알킬기 등의 탄화수소기를 복수 포함하는 가스를 이용하는 것이 바람직하다. 구체적으로는 아민계 가스로서는 그 조성식 중(1분자 중)에서 탄소(C) 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 3개 또는 2개 포함하는 가스를 이용하는 것이 바람직하고, 예컨대 TEA, DEA, TMA, DMA, TPA, DPA, TIPA, DIPA, TBA, DBA, TIBA 및 DIBA로 이루어지는 군으로부터 선택되는 적어도 1개의 아민을 포함하는 가스를 이용하는 것이 바람직하다.
원료 가스로서 HCDS가스와 같은 소정 원소(실리콘)와 할로겐 원소(염소)를 포함하는 클로로실란계 원료 가스를 이용하는 경우에 제1 반응 가스로서 TEA가스나 DEA가스 등과 같은 탄소, 질소 및 수소의 3원소로 구성되고 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드를 복수 포함하는 아민계 가스, 즉 그 조성식 중(1분자 중)에서 알킬기 등의 탄화수소기를 복수 포함하는 아민계 가스를 이용하는 것에 의해, 제1층 내의 탄소 농도, 즉 SiOCN막 또는 SiOC막 내의 탄소 농도를 더욱 높게 할 수 있다.
반면에, 원료 가스로서 HCDS가스 등과 같은 실리콘과 할로겐 원소(염소)를 포함하는 클로로실란계 원료 가스를 이용하는 경우에 제1 반응 가스로서 MMA가스 등의 아민계 가스나, 후술하는 유기 히드라진계 가스 중 MMH가스 등과 같이 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드를 복수 포함하지 않는 가스를 이용하는 경우, 제1층 내의 탄소 농도, 즉 SiOCN막 또는 SiOC막 내의 탄소 농도를 제1 반응 가스로서 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드를 복수 포함하는 아민계 가스를 이용하는 경우와 같은 정도로 높게 할 수 없어 적절한 탄소 농도를 실현하는 것이 곤란해진다.
또한 제1 반응 가스로서 DEA가스 등과 같은 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 2개 포함하는 아민계 가스를 이용하는 것에 의해, TEA가스 등과 같이 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 3개 포함하는 아민계 가스를 이용하는 경우보다도 사이클 레이트(단위 사이클당 형성되는 SiOCN층 또는 SiOC층의 두께)를 향상시키는 것이 가능하고, 또한 제1층 내의 탄소 농도에 대한 질소 농도의 비율(질소 농도/탄소 농도의 비), 즉 SiOCN막 또는 SiOC막 내의 탄소 농도에 대한 질소 농도의 비율(질소 농도/탄소 농도의 비)을 높게 하는 것이 가능하다.
반대로 제1 반응 가스로서 TEA가스 등과 같이 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 3개 포함하는 아민계 가스를 이용하는 것에 의해, DEA가스 등과 같이 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 2개 포함하는 아민계 가스를 이용하는 경우보다도 제1층 내의 질소 농도에 대한 탄소 농도의 비율(탄소 농도/질소 농도의 비), 즉 SiOCN막 또는 SiOC막 내의 질소 농도에 대한 탄소 농도의 비율(탄소 농도/질소 농도의 비)을 높게 하는 것이 가능하다.
즉 제1 반응 가스에 포함되는 탄소 원자를 포함하는 리간드의 수(알킬기 등의 탄화수소기의 수)에 의해, 즉 제1 반응 가스의 종류를 적절히 바꾸는 것에 의해 사이클 레이트나, 형성하는 SiOCN막 또는 SiOC막 내의 질소 농도나 탄소 농도를 미세 조정하는 것이 가능하다.
제1 반응 가스로서의 아민계 가스의 종류(조성)를 적절히 선택하는 것에 의해 SiOCN막 또는 SiOC막 내의 탄소 농도를 높게 할 수 있다는 것은 전술한 바와 같다. 탄소 농도를 더욱 높이기 위해서는 예컨대 아민계 가스(TEA가스)를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력을 스텝1에서 클로로실란계 원료 가스(HCDS가스)를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력보다도 크게 하는 것이 바람직하고, 또한 후술하는 스텝3에서 산소 함유 가스(O2가스)를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력보다도 크게 하는 것이 바람직하다. 또한 이와 같은 경우, 스텝3에서 O2가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력은 스텝1에서 HCDS가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력보다도 크게 하는 것이 바람직하다. 즉 HCDS가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력을 P1[Pa]로 하고, TEA가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력을 P2[Pa]로 하고, O2가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력을 P3[Pa]로 했을 때, 압력 P1, P2, P3를 P2>P1, P3의 관계를 만족시키도록 각각 설정하는 것이 바람직하고, 또한 P2>P3>P1의 관계를 만족시키도록 각각 설정하는 것이 더욱 바람직하다. 즉 TEA가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력은 스텝1∼3 중에서 가장 높게 하는 것이 바람직하다.
반대로 SiOCN막 또는 SiOC막 내의 탄소 농도의 증가량을 적절히 억제하기 위해서는 아민계 가스(TEA가스)를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력을 후술하는 스텝3에서 산소 함유 가스(O2가스)를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력 이하의 압력으로 설정하거나, 스텝1에서 클로로실란계 원료 가스(HCDS가스)를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력 이하의 압력으로 설정하는 것이 바람직하다. 즉 전술한 압력 P1, P2, P3를 P3≥P2 또는 P1≥P2의 관계를 만족시키도록 설정하는 것이 바람직하고, 또한 P3, P1≥P2의 관계를 만족시키도록 설정하거나 하는 것이 더 바람직하다.
즉 아민계 가스를 공급할 때의 처리실(201) 내의 압력을 적절히 제어하는 것에 의해, 형성되는 SiOCN막 또는 SiOC막 내의 탄소 농도를 미세 조정하는 것이 가능하다.
불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용해도 좋다.
[스텝3]
(O2가스 공급)
스텝2가 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제3 가스 공급관(232c)의 밸브(243c)를 열어 제3 가스 공급관(232c) 내에 O2가스를 흘린다. 제3 가스 공급관(232c) 내를 흐른 O2가스는 매스 플로우 컨트롤러(241c)에 의해 유량 조정된다. 유량 조정된 O2가스는 제3 노즐(249c)의 가스 공급공(250c)을 통하여 처리실(201) 내에 공급된다. 처리실(201) 내에 공급된 O2가스는 열에 의하여 활성화(여기)되어 배기관(231)을 통하여 배기된다. 이 때 웨이퍼(200)에 열에 의하여 활성화된 O2가스가 공급된다. 이 때 동시에 밸브(243h)를 열어 제3 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 O2가스와 함께 처리실(201) 내에 공급되고 배기관(231)을 통하여 배기된다. 또한 이 때 제1 노즐(249a), 제2 노즐(249b) 내로의 O2가스의 침입을 방지하기 위하여 밸브(243f, 243g)를 열어 제1 불활성 가스 공급관(232f), 제2 불활성 가스 공급관(232g) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a) 및 제1 노즐(249a)을 개재하거나 제2 가스 공급관(232b) 및 제2 노즐(249b)을 개재하여 처리실(201) 내에 공급되고 배기관(231)을 통하여 배기된다.
이 때 APC 밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1∼3,000Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 이와 같은 비교적 높은 압력대로 하는 것에 의해 O2가스를 비(非)플라즈마에 의하여 열적으로 활성화시키는 것이 가능하다. 또한 O2가스를 열에 의하여 활성화시켜서 공급하는 것에 의해 소프트한 반응을 발생시킬 수 있고, 후술하는 산화를 소프트하게 수행할 수 있다. 매스 플로우 컨트롤러(241c)에 의하여 제어하는 O2가스의 공급 유량은 예컨대 100∼10,000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241h, 241f, 241g)에 의하여 제어하는 N2가스의 공급 유량은 각각 예컨대 100∼10,000sccm의 범위 내의 유량으로 한다. 이 때 처리실(201) 내의 O2가스의 분압은 0.01∼2,970Pa의 범위 내의 압력으로 한다. 열에 의하여 활성화시킨 O2가스를 웨이퍼(200)에 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1∼120초, 바람직하게는 1∼60초의 범위 내의 시간으로 한다. 이 때의 히터(207)의 온도는 스텝1∼2와 마찬가지로 웨이퍼(200)의 온도가 예컨대 250∼700℃, 바람직하게는 300∼650℃, 보다 바람직하게는 350∼600℃의 범위 내의 온도가 될 수 있는 온도로 설정한다.
이 때 처리실(201) 내에 흘리는 가스는 처리실(201) 내의 압력을 높게 하는 것에 의해 열적으로 활성화된 O2가스이며, 처리실(201) 내에는 HCDS가스도 TEA가스도 흘리지 않는다. 따라서 O2가스는 기상 반응을 일으키지 않고, 활성화된 O2가스는 스텝2에서 웨이퍼(200) 상에 형성된 Si, N 및 C를 포함하는 제1층의 적어도 일부와 반응한다. 이에 의해 제1층은 산화되어 제2층으로서 실리콘, 산소, 탄소 및 질소를 포함하는 층, 즉 실리콘 산탄질화층(SiOCN층), 또는 실리콘, 산소 및 탄소를 포함하는 층, 즉 실리콘 산탄화층(SiOC층)으로 개질된다.
또한 O2가스를 열에 의하여 활성화시켜서 처리실(201) 내에 흘리는 것에 의해 제1층을 열산화하여 SiOCN층 또는 SiOC층으로 개질(변화)할 수 있다. 이 때 제1층에 O성분을 부가하면서 제1층을 SiOCN층 또는 SiOC층으로 개질한다. 또한 이 때 O2가스에 의한 열산화의 작용에 의해 제1층에서의 Si-O결합이 증가하는 한편, Si-N결합, Si-C결합 및 Si-Si결합은 감소하여 제1층에서의 N성분의 비율, C성분의 비율 및 Si성분의 비율이 감소한다. 그리고 이 때 열산화 시간을 연장하거나, 열산화에서의 산화력을 높이거나 하는 것에 의해 N성분의 대부분을 탈리시켜서 N성분을 불순물 레벨에까지 감소시키거나, N성분을 실질적으로 소멸시키는 것이 가능하다. 즉 산소 농도를 증가시키는 방향으로, 또한 질소 농도, 탄소 농도 및 실리콘 농도를 감소시키는 방향으로 조성비를 변화시키면서 제1층을 SiOCN층 또는 SiOC층으로 개질할 수 있다. 또한 이 때 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어하는 것에 의해 SiOCN층 또는 SiOC층에서의 O성분의 비율, 즉 산소 농도를 미세 조정할 수 있어 SiOCN층 또는 SiOC층의 조성비를 보다 치밀하게 제어할 수 있다.
또한 스텝1, 2에 의해 형성된 제1층에서의 C성분은 N성분에 비해 리치 상태에 있다는 것이 판명되어 있다. 예컨대 어느 실험에서는 탄소 농도가 질소 농도의 2배 이상이 되는 경우도 있었다. 즉 O2가스에 의한 열산화의 작용에 의해 제1층에서의 N성분이 완전히 탈리하기 전에, 즉 N성분이 잔류한 상태에서 산화를 멈추는 것에 의해, 제1층에는 C성분과 N성분이 남게 되어 제1층은 SiOCN층으로 개질된다. 또한 O2가스에 의한 열산화의 작용에 의해 제1층에서의 N성분의 대부분이 탈리가 끝난 단계에서도 제1층에는 C성분이 남게 되고, 이 상태에서 산화를 멈추는 것에 의해 제1층은 SiOC층으로 개질된다. 즉 가스 공급 시간(산화 처리 시간)이나 산화력을 제어하는 것에 의해 C성분의 비율, 즉 탄소 농도를 제어할 수 있고, SiOCN층 및 SiOC층 중 어느 하나의 층을 조성비를 제어하면서 형성할 수 있다. 또한 이 때 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어하는 것에 의해 SiOCN층 또는 SiOC층에서의 O성분의 비율, 즉 산소 농도를 미세 조정할 수 있어 SiOCN층 또는 SiOC층의 조성비를 보다 치밀하게 제어할 수 있다.
또한 이 때 제1층의 산화 반응은 포화시키지 않도록 하는 것이 바람직하다. 예컨대 스텝1, 2에서 1원자층 미만으로부터 수원자층 정도의 두께의 제1층을 형성한 경우에는 그 제1층의 일부를 산화시키도록 하는 것이 바람직하다. 이와 같은 경우, 1원자층 미만으로부터 수원자층 정도의 두께의 제1층의 전체를 산화시키지 않도록 제1층의 산화 반응이 불포화가 되는 조건 하에서 산화를 수행한다.
또한 제1층의 산화 반응을 불포화로 하기 위해서는 스텝3에서의 처리 조건을 전술한 처리 조건으로 하면 좋지만, 또한 스텝3에서의 처리 조건을 다음 처리 조건으로 하는 것에 의해 제1층의 산화 반응을 불포화로 하는 것이 용이해진다.
웨이퍼 온도: 500∼650℃
처리실 내 압력: 133∼2,666Pa
O2가스 분압: 33∼2,515Pa
O2가스 공급 유량: 1,000∼5,000sccm
N2가스 공급 유량: 300∼3,000sccm
O2가스 공급 시간: 6∼60초
(잔류 가스 제거)
제2층이 형성된 후, 제3 가스 공급관(232c)의 밸브(243c)를 닫고, O2가스의 공급을 정지한다. 이 때 배기관(231)의 APC 밸브(244)는 연 상태로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여 처리실(201) 내에 잔류하는 미반응의 또는 제2층의 형성에 기여한 후의 O2가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 이 때 밸브(243h, 243f, 243g)는 연 상태로 하여 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응의 또는 제2층의 형성에 기여한 후의 O2가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면 그 후에 수행되는 스텝4에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203) 또는 처리실(201)의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝4에서 악영향이 발생하지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능하다.
산소 함유 가스로서는 O2가스 외에 아산화질소(N2O) 가스, 일산화질소(NO) 가스, 이산화질소(NO2) 가스, 오존(O3) 가스, 수소(H2) 가스+산소(O2) 가스, H2가스+O3가스, 수증기(H2O) 가스, 일산화탄소(CO) 가스, 이산화탄소(CO2) 가스 등을 이용해도 좋다. 불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용해도 좋다.
[스텝4]
(H2가스 공급)
스텝3이 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제5 가스 공급관(232e)의 밸브(243e)를 열어 제5 가스 공급관(232e) 내에 H2가스를 흘린다. 제5 가스 공급관(232e) 내를 흐른 H2가스는 매스 플로우 컨트롤러(241e)에 의해 유량 조정된다. 유량 조정된 H2가스는 제3 노즐(249c)의 가스 공급공(250c)을 통하여 처리실(201) 내에 공급된다. 처리실(201) 내에 공급된 H2가스는 열에 의하여 활성화(여기)되어 배기관(231)을 통하여 배기된다. 이 때 웨이퍼(200)에 열에 의하여 활성화된 H2가스가 공급된다. 이 때 동시에 밸브(243h)를 열어 제3 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 H2가스와 함께 처리실(201) 내에 공급되고 배기관(231)을 통하여 배기된다. 또한 이 때 제1 노즐(249a), 제2 노즐(249b) 내로의 H2가스의 침입을 방지하기 위하여 밸브(243f, 243g)를 열어 제1 불활성 가스 공급관(232f), 제2 불활성 가스 공급관(232g) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a) 및 제1 노즐(249a)을 개재하거나 제2 가스 공급관(232b) 및 제2 노즐(249b)을 개재하여 처리실(201) 내에 공급되고 배기관(231)을 통하여 배기된다.
이 때 APC 밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1∼3,000Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 이와 같은 비교적 높은 압력대로 하는 것에 의해 H2가스를 비(非)플라즈마에 의하여 열적으로 활성화시키는 것이 가능하다. 또한 H2가스를 열에 의하여 활성화시켜서 공급하는 것에 의해 소프트한 반응을 발생시킬 수 있어 후술하는 표면 처리를 소프트하게 수행할 수 있다. 매스 플로우 컨트롤러(241e)에 의하여 제어하는 H2가스의 공급 유량은 예컨대 100∼10,000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241h, 241f, 241g)에 의하여 제어하는 N2가스의 공급 유량은 각각 예컨대 100∼10,000sccm의 범위 내의 유량으로 한다. 이 때 처리실(201) 내의 H2가스의 분압은 0.01∼2,970Pa의 범위 내의 압력으로 한다. 열에 의하여 활성화시킨 H2가스를 웨이퍼(200)에 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1∼120초, 바람직하게는 1∼60초의 범위 내의 시간으로 한다. 이 때의 히터(207)의 온도는 스텝1∼3과 마찬가지로 웨이퍼(200)의 온도가 예컨대 250∼700℃, 바람직하게는 300∼650℃, 보다 바람직하게는 350∼600℃의 범위 내의 온도가 될 수 있는 온도로 설정한다.
이 때 처리실(201) 내에 흘리는 가스는 처리실(201) 내의 압력을 높게 하는 것에 의해 열적으로 활성화된 H2가스이며, 처리실(201) 내에는 HCDS가스도 TEA가스도 O2가스도 흘리지 않는다. 따라서 H2가스는 기상 반응을 일으키지 않고, 활성화된 H2가스는 스텝3에서 웨이퍼(200) 상에 형성된 제2층의 일부와 반응한다. 이에 의해 제2층의 표면은 OH기(흡착 사이트)를 많이 포함하는 표면으로 개질된다. 제2층의 표면을 이와 같이 개질하는 것에 의해, 다음 사이클에서 스텝1을 다시 실시할 때에 제2층 상에서의 Cl을 포함하는 실리콘 함유층의 형성, 즉 제2층 상에서의 Si의 퇴적이나 HCDS가스의 흡착을 촉진시킬 수 있다. 그리고 이에 의해 SiOCN막 또는 SiOC막의 성막 속도를 향상시킬 수 있다.
(잔류 가스 제거)
제2층의 표면이 개질된 후, 제5 가스 공급관(232e)의 밸브(243e)를 닫고 H2가스의 공급을 정지한다. 이 때 배기관(231)의 APC 밸브(244)는 연 상태로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여 처리실(201) 내에 잔류하는 미반응의 또는 제2층의 표면의 개질에 기여한 후의 H2가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 이 때 밸브(243h, 243f, 243g)는 연 상태로 하여 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이로써 처리실(201) 내에 잔류하는 미반응의 또는 제2층의 표면의 개질에 기여한 후의 H2가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면 그 후에 수행되는 스텝1에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203) 또는 처리실(201)의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝1에서 악영향이 발생하지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋(throughput)을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제할 수 있다.
수소 함유 가스로서는 H2가스 외에 암모니아(NH3) 가스 등을 이용해도 좋다. 불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용해도 좋다.
(소정 횟수 실시)
전술한 스텝1∼4를 1사이클로 하여 이 사이클을 1회 이상(소정 횟수) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘, 산소, 탄소 및 질소를 포함하는 막, 즉 실리콘 산탄질화막(SiOCN막), 또는 실리콘, 산소 및 탄소를 포함하는 막, 즉 실리콘 산탄화막(SiOC막)을 성막할 수 있다. 또한 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉 1사이클당 형성하는 SiOCN층 또는 SiOC층의 두께를 원하는 막 두께보다도 작게 하여, 전술한 사이클을 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
또한 사이클을 복수 회 수행하는 경우, 적어도 2사이클째 이후의 각 스텝에서 「웨이퍼(200)에 소정의 가스를 공급한다」고 기재한 부분은 「웨이퍼(200) 상에 형성되는 층에 대하여, 즉 적층체로서의 웨이퍼(200)의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하고, 「웨이퍼(200) 상에 소정의 층을 형성한다」고 기재한 부분은 「웨이퍼(200) 상에 형성되는 층 상, 즉 적층체로서의 웨이퍼(200)의 최표면 상에 소정의 층을 형성한다」는 것을 의미한다. 이와 같은 점은 전술한 바와 같다. 또한 이와 같은 점은 후술하는 각 변형예, 다른 실시 형태에서도 마찬가지이다.
(퍼지 및 대기압 복귀)
소정 조성을 가지는 소정 막 두께의 SiOCN막 또는 SiOC막을 형성하는 성막 처리가 이루어지면, 밸브(243f, 243g, 243h)를 열어 제1 불활성 가스 공급관(232f), 제2 불활성 가스 공급관(232g), 제3 불활성 가스 공급관(232h)의 각각을 통하여 불활성 가스로서의 N2가스를 처리실(201) 내에 공급하고 배기관(231)을 통하여 배기한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내가 불활성 가스에 의하여 퍼지되어 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 환되고(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
그 후, 보트 엘리베이터(115)에 의해 씰 캡(219)이 하강되어, 반응관(203)의 하단이 개구되는 것과 함께, 처리 완료된 웨이퍼(200)가 보트(217)에 지지된 상태에서 반응관(203)의 하단으로부터 반응관(203)의 외부에 반출(보트 언로드)된다. 그 후, 처리 완료된 웨이퍼(200)는 보트(217)에 의해 취출(取出)된다(웨이퍼 디스차지).
(3) 본 실시 형태에 따른 효과
본 실시 형태에 의하면, 이하에 도시하는 1개 또는 복수의 효과를 갖는다.
(a) 본 실시 형태에 의하면, 스텝1∼3을 실시하여 제2층을 형성한 후, 수소 함유 가스인 H2가스를 공급하여 제2층의 표면을 OH기(흡착 사이트)를 많이 포함하는 표면으로 개질하는 스텝4를 수행하는 것에 의해, 다음 사이클에서 스텝1을 다시 실시할 때에 제2층 상에서의 Cl을 포함하는 실리콘 함유층의 형성, 즉 제2층 상에서의 Si의 퇴적이나 HCDS가스의 흡착을 촉진시킬 수 있다. 그 결과, SiOCN막 또는 SiOC막의 성막 속도를 향상시켜 성막 시의 생산성을 향상시킬 수 있다.
(b) 본 실시 형태에 의하면, 스텝1, 2를 교호적으로 1회 수행하는 것에 의해 Si, N 및 C를 포함하는 제1층을 형성한 후, 제2 반응 가스로서 산소 함유 가스인 O2가스를 공급하여 제1층을 산화시켜 제2층으로서의 SiOCN층 또는 SiOC층으로 개질하는 스텝3을 수행하는 것에 의해, 형성되는 SiOCN막 또는 SiOC막 내의 산소와 탄소와 질소의 조성비를 조정하는 것이 가능하다. 또한 이 때 O2가스를 열에 의하여 활성화시켜서 공급하는 것에 의해, 열산화의 작용에 의해 SiOCN막 또는 SiOC막 내의 Si-O결합을 증가시키는 한편, Si-C결합, Si-N결합 및 Si-Si결합을 감소시키는 것이 가능하다. 즉 산소 농도를 증가시키는 방향으로, 또한 질소 농도, 탄소 농도 및 실리콘 농도를 감소시키는 방향으로 조성비를 변화시키는 것이 가능하다. 또한 이 때 열산화 시간을 연장하거나, 열산화에서의 산화력을 높이거나 하는 것에 의해 산소 농도를 또한 증가시키는 방향으로, 또한 질소 농도, 탄소 농도 및 실리콘 농도를 더욱 감소시키는 방향으로 조성비를 변화시키는 것이 가능하다. 또한 이 때 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어하는 것에 의해 SiOCN막 또는 SiOC막 내의 O성분의 비율, 즉 산소 농도를 미세 조정할 수 있어 SiOCN막 또는 SiOC막의 조성비를 더욱 치밀하게 제어하는 것이 가능하다. 이에 의해 형성하는 SiOCN막 또는 SiOC막의 유전율을 조정하거나 에칭 내성을 향상시키거나 리크 내성을 향상시키거나 하는 것이 가능하다.
(c) 본 실시 형태에 의하면, 제1 반응 가스로서 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중(1분자 중)에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 아민계 가스를 이용하는 것에 의해 SiOCN막 또는 SiOC막 내의 탄소 농도를 높게 할 수 있다.
특히 제1 반응 가스로서 그 조성식 중(1분자 중)에서 탄소(C) 원자를 포함하는 리간드를 복수 포함하는 아민계 가스, 즉 그 조성식 중(1분자 중)에서 알킬기 등의 탄화수소기를 복수 포함하는 아민계 가스를 이용하는 것에 의해 SiOCN막 또는 SiOC막 내의 탄소 농도를 높게 할 수 있다. 구체적으로는 제1 반응 가스로서 그 조성식 중(1분자 중)에서 탄소(C) 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 3개 포함하는 TEA가스, TMA가스, TPA가스, TIPA가스, TBA가스, TIBA가스나, 그 조성식 중(1분자 중)에서 탄소(C) 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 2개 포함하는 DEA가스, DMA가스, DPA가스, DIPA가스, DBA가스, DIBA가스 등을 이용하는 것에 의해 SiOCN막 또는 SiOC막 내의 탄소 농도를 높게 할 수 있다.
(d) 본 실시 형태에 의하면, 제1 반응 가스에 포함되는 탄소 원자를 포함하는 리간드의 수(알킬기 등의 탄화수소기의 수)에 의해, 즉 제1 반응 가스의 종류를 적절히 바꾸는 것에 의해 사이클 레이트(단위 사이클당 형성되는 SiOCN층 또는 SiOC층의 두께)나, SiOCN막 또는 SiOC막 내의 질소 농도나 탄소 농도를 미세 조정하는 것이 가능하다.
예컨대 제1 반응 가스로서 DEA가스 등과 같이 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 2개 포함하는 아민계 가스를 이용하는 것에 의해, TEA가스 등과 같이 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 3개 포함하는 아민계 가스를 이용하는 경우보다도 사이클 레이트를 향상시키는 것이 가능하고, 또한 SiOCN막 또는 SiOC막 내의 탄소 농도에 대한 질소 농도의 비율(질소 농도/탄소 농도의 비)을 높게 하는 것이 가능하다.
또한 예컨대 제1 반응 가스로서 TEA가스 등과 같이 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 3개 포함하는 아민계 가스를 이용하는 것에 의해, DEA가스 등과 같이 그 조성식 중(1분자 중)에서 탄소 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 2개 포함하는 아민계 가스를 이용하는 경우보다도 SiOCN막 또는 SiOC막 내의 질소 농도에 대한 탄소 농도의 비율(탄소 농도/질소 농도의 비)을 높게 하는 것이 가능하다.
(e) 본 실시 형태에 의하면, 제1 반응 가스를 공급할 때의 처리실(201) 내의 압력을 제어하는 것에 의해 SiOCN막 또는 SiOC막 내의 탄소 농도를 미세 조정하는 것이 가능하다.
예컨대 스텝2에서 TEA가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력을 스텝1에서 HCDS가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력보다도 크게 하는 것에 의해, SiOCN막 또는 SiOC막 내의 탄소 농도를 더욱 높게 할 수 있다. 또한 TEA가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력을 스텝3에서 O2가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력보다도 크게 하는 것에 의해 SiOCN막 또는 SiOC막 내의 탄소 농도를 한층 더 높게 할 수 있다.
또한 예컨대 스텝2에서 TEA가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력을 스텝3에서 O2가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력 이하의 압력으로 설정하거나, 스텝1에서 HCDS가스를 웨이퍼(200)에 공급할 때의 처리실(201) 내의 압력 이하의 압력으로 설정하는 것에 의해, SiOCN막 또는 SiOC막 내의 탄소 농도의 증가량을 적절히 억제할 수 있다.
(f) 본 실시 형태에 의하면, 제1 반응 가스로서 탄소, 질소 및 수소의 3원소로 구성되고 실리콘 및 금속 비함유의 아민계 가스인 TEA가스를 이용하는 것에 의해, SiOCN막 또는 SiOC막을 형성할 때의 반응 제어성, 특히 조성 제어성을 향상시키는 것이 가능하다. 즉 제1 반응 가스로서 TEA가스를 이용하는 본 실시 형태의 성막 시퀀스에서는 제1 반응 가스로서 예컨대 하프늄, 탄소, 질소 및 수소의 4원소로 구성되는 테트라키스에틸메틸아미노하프늄(Hf[N(C2H5)(CH3)]4, 약칭: TEMAH) 가스 등을 이용하는 성막 시퀀스와 비교하여, 제1 반응 가스와 Cl을 포함하는 실리콘 함유층을 반응시켜서 제1층을 형성할 때의 반응 제어성, 특히 조성 제어성을 향상시킬 수 있다. 이에 의해 SiOCN막 또는 SiOC막의 조성 제어를 용이하게 실시할 수 있다.
(g) 본 실시 형태에 의하면, 제1 반응 가스로서 탄소, 질소 및 수소의 3원소로 구성되고 실리콘 및 금속 비함유의 아민계 가스인 TEA가스를 이용하는 것에 의해, 형성하는 SiOCN막 또는 SiOC막 내의 불순물 농도를 저감시키는 것이 가능하다. 즉 제1 반응 가스로서 TEA가스를 이용하는 본 실시 형태의 성막 시퀀스에서는 제1 반응 가스로서 예컨대 하프늄, 탄소, 질소 및 수소의 4원소로 구성되는 TEMAH가스 등을 이용하는 성막 시퀀스와 비교하여, 제1 반응 가스와 Cl을 포함하는 실리콘 함유층의 반응에 의해 형성되는 제1층 내로의 불순물 원소의 혼입 확률을 저감시킬 수 있고, 형성하는 SiOCN막 또는 SiOC막 내의 불순물 농도를 저감시키는 것이 가능하다.
(h) 본 실시 형태에 의하면, 제1 반응 가스로서 탄소, 질소 및 수소의 3원소로 구성되고 실리콘 및 금속 비함유의 아민계 가스인 TEA가스를 이용하는 것에 의해, SiOCN막 또는 SiOC막의 웨이퍼(200) 면내 및 웨이퍼(200) 면간의 막 두께 균일성을 각각 향상시킬 수 있다. 즉 탄소, 질소 및 수소의 3원소로 구성되는 TEA가스는 예컨대 하프늄, 탄소, 질소 및 수소의 4원소로 구성되는 TEMAH가스 등과 비교하여, Cl을 포함하는 실리콘 함유층에 대하여 높은 반응성을 가지기 때문에 제1 반응 가스로서 TEA가스를 이용하는 본 실시 형태의 성막 시퀀스는 제1 반응 가스와 Cl을 포함하는 실리콘 함유층의 반응을 웨이퍼(200) 면내 및 웨이퍼(200) 면간에 걸쳐 확실하게 또한 균일하게 수행할 수 있다. 그 결과, SiOCN막 또는 SiOC막의 웨이퍼(200) 면내 및 웨이퍼(200) 면간의 막 두께 균일성을 각각 향상시키는 것이 가능하다.
(4) 변형예
도 4, 도 5에 도시한 전술의 성막 시퀀스에서는 스텝1∼4를 1사이클로 하여 이 사이클을 반복하는 예에 대하여 설명하였지만, 본 실시 형태에 따른 성막 시퀀스는 이와 같은 형태에 한정되지 않고, 이하와 같이 변경해도 좋다.
예컨대 도6a에 도시하는 변형예1과 같이, 스텝1, 2, 4를 1세트로 하여 이 세트를 소정 횟수(m회) 수행한 후, 스텝3, 4를 수행하고 이를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 도 6a는 스텝1, 2, 4의 세트를 1사이클당 2회 수행하는 예, 즉 스텝1, 2, 4의 세트를 2회 수행하는 공정과 스텝3, 4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행하는 예를 도시한다. 본 변형예와 도 4, 도 5에 도시한 전술의 성막 시퀀스의 차이점은 스텝1, 2, 4의 세트를 소정 횟수(m회) 수행하고 나서 스텝3, 4를 수행하고 이를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 이 세트로부터 스텝4를 생략하여 스텝1, 2를 1세트로 하고 이 세트를 소정 횟수(m회) 수행한 후, 스텝3, 4를 수행하여 이를 1사이클로 하고 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝4를 사이클의 마지막에 한번만 수행해도 좋다.
또한 예컨대 도 6b에 도시하는 변형예2와 같이, 스텝1, 2, 4, 1, 3, 4를 이 순서대로 수행하고 이를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 또한 본 변형예와 도 4, 도 5에 도시한 전술의 성막 시퀀스의 차이점은 스텝1, 2, 4, 1, 3, 4를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 이 사이클로부터 1회째의 스텝4를 생략하여 스텝1, 2, 1, 3, 4를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝4를 사이클의 마지막에 한번만 수행해도 좋다.
또한 예컨대 도 6c에 도시하는 변형예3과 같이, 스텝1, 2, 4를 1세트로 하여 이 세트를 소정 횟수(m회) 수행한 후, 스텝1, 3, 4를 1세트로 하여 이 세트를 소정 횟수(m'회) 수행하고, 이 조합들을 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 도 6c는 스텝1, 2, 4의 세트 및 스텝1, 3, 4의 세트를 1사이클당 각각 2회 수행하는 예, 즉 스텝1, 2, 4의 세트를 2회 수행하는 공정과 스텝1, 3, 4의 세트를 2회를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행하는 예를 도시한다. 본 변형예와 도 4, 도 5에 도시한 전술의 성막 시퀀스의 차이점은 스텝1, 2, 4의 세트를 소정 횟수(m회) 수행한 후 스텝1, 3, 4의 세트를 소정 횟수(m'회) 수행하고 이를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 본 변형예에서 스텝1, 2, 4의 세트의 실시 횟수(m)를 1회로 하고 스텝1, 3, 4의 세트의 실시 횟수(m')를 1회로 하는 케이스가 도 6b에 도시한 변형예2의 성막 시퀀스에 상당한다. 또한 각 세트로부터 스텝4를 생략하여 스텝1, 2를 1세트로 하고 이 세트를 소정 횟수(m회) 수행한 후, 스텝1, 3을 1세트로 하고 이 세트를 소정 횟수(m'회) 수행한 다음 스텝4를 수행하고, 이를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝4를 사이클의 마지막에 한번만 수행해도 좋다.
이와 같은 변형예에서도 도 4, 도 5에 도시한 전술의 성막 시퀀스와 마찬가지의 효과를 갖을 수 있다. 또한 이와 같은 변형예에 의하면, SiOCN막 또는 SiOC막에서의 실리콘 성분, 질소 성분, 탄소 성분, 산소 성분의 비율을 더욱 치밀하게 제어할 수 있고, SiOCN막 또는 SiOC막의 조성비의 제어성을 향상시킬 수 있다.
예컨대 변형예1에서 스텝1, 2, 4를 포함하는 세트의 세트수(m)를 늘리는 것에 의해 제1층의 실리콘 성분, 질소 성분, 탄소 성분의 절대량을 증가시킬 수 있고, 이와 같이 하여 각 성분의 절대량을 증가시킨 제1층을 스텝3에서 산화하는 것에 의해 SiOCN층 또는 SiOC층의 산소 성분에 대한 실리콘 성분, 질소 성분, 탄소 성분의 비율이 높아지도록 제어할 수 있고, 최종적으로 형성하는 SiOCN막 또는 SiOC막의 산소 성분에 대한 실리콘 성분, 질소 성분, 탄소 성분의 비율이 높아지도록 제어할 수 있다.
또한 예컨대 변형예1에서 스텝1, 2, 4를 포함하는 세트의 세트수(m)를 줄이는 것에 의해 제1층의 실리콘 성분, 질소 성분, 탄소 성분의 절대량을 감소시킬 수 있고, 이와 같이 하여 각 성분의 절대량을 감소시킨 제1층을 스텝3에서 산화시키는 것에 의해 SiOCN층 또는 SiOC층의 산소 성분에 대한 실리콘 성분, 질소 성분, 탄소 성분의 비율이 낮아지도록 제어할 수 있어 최종적으로 형성하는 SiOCN막 또는 SiOC막의 산소 성분에 대한 실리콘 성분, 질소 성분, 탄소 성분의 비율을 낮아지도록 제어할 수 있다.
변형예2, 3에서도 같은 원리에 의해 SiOCN막 또는 SiOC막에서의 실리콘 성분, 질소 성분, 탄소 성분, 산소 성분의 비율을 더욱 치밀하게 제어할 수 있다.
또한 이와 같은 변형예에 의하면, 1사이클당 형성하는 층의 두께를 증가시킬 수 있어 사이클 레이트(단위 사이클당 형성되는 SiOCN층 또는 SiOC층의 두께)를 향상시키는 것이 가능하다. 그리고 이에 의해 성막 레이트를 향상시키는 것도 가능하다.
예컨대 변형예1, 3에서, 스텝1, 2, 4를 포함하는 세트의 세트수(m)를 늘리는 것에 의해 1사이클당 형성되는 제1층의 층수, 즉 1사이클당 형성하는 제1층의 두께를 세트수(m)만큼 증가시킬 수 있어 사이클 레이트를 향상시키는 것이 가능하다. 또한 변형예3에서 스텝1, 3, 4를 포함하는 세트의 세트수(m')를 늘리는 것에 의해 1사이클당 형성하는 제2층으로서의 SiO층의 층수, 즉 1사이클당 형성하는 제2층의 두께를 세트수(m')만큼 증가시킬 수 있어 이에 의해서도 사이클 레이트를 향상시키는 것이 가능하다. 또한 변형예2에서는 1사이클당 스텝1을 2회 수행하기 때문에 변형예2에서도 사이클 레이트를 향상시키는 것이 가능하다. 그리고 이에 의해 성막 레이트를 향상시키는 것도 가능하다.
또한 변형예2, 3에서는 Si, N 및 C를 포함하는 제1층을 형성하는 공정과 제2층으로서 SiO층을 형성하는 공정의 순서를 바꿔서, 제2층(SiO층)을 형성하는 공정을 수행한 후에 제1층을 형성하는 공정을 수행하여 이를 1사이클로 해도 좋다. 또한 이와 같은 변형예는 임의적으로 조합하여 이용할 수 있다.
<본 발명의 제2 실시 형태>
다음으로 본 발명의 제2 실시 형태에 대하여 설명한다.
전술한 제1 실시 형태에서는 제2 반응 가스로서 산소 함유 가스(O2가스)를 이용하여 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 산탄질화막 또는 실리콘 산탄화막을 형성하는 예에 대하여 설명하였지만, 본 실시 형태에서는 제2 반응 가스로서 질소 함유 가스(NH3가스)를 이용하여 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 탄질화막을 형성하는 예에 대하여 설명한다.
즉 본 실시 형태에서는,
처리실(201) 내의 웨이퍼(200)에 원료 가스로서 클로로실란계 원료 가스인 HCDS가스를 공급하는 공정과, 처리실(201) 내의 웨이퍼(200)에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중(1분자 중)에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스로서 그 조성식 중에서 탄소 원자를 포함하는 리간드(에틸기)를 복수(3개) 포함하는 아민계 가스인 TEA가스를 공급하는 공정을 교호적으로 1회 수행하는 것에 의해 웨이퍼(200) 상에 실리콘, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;
처리실(201) 내의 웨이퍼(200)에 원료 가스 및 제1 반응 가스와는 다른 제2 반응 가스로서 질소 함유 가스(질화 가스)인 NH3가스를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 실리콘 탄질화층 (SiCN층)을 형성하는 공정; 및
처리실(201) 내의 웨이퍼(200)에 수소 함유 가스(환원 가스)로서 H2가스를 공급하는 것에 의해 제2층의 표면을 개질하는 공정;
을 포함하는 사이클을 반복하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 탄질화막(SiCN막)을 형성하는 예에 대하여 설명한다.
도 7은 본 실시 형태에서의 성막 플로우를 도시하는 도면이다. 도 8은 본 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이다. 또한 본 실시 형태와 제1 실시 형태의 차이점은 스텝3에서 제2 반응 가스로서 열에 의하여 활성화시킨 NH3가스를 이용하는 점뿐이며, 그 외는 제1 실시 형태와 마찬가지이다. 이하, 본 실시 형태의 스텝3에 대하여 설명한다.
[스텝3]
(NH3가스 공급)
스텝2가 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제4 가스 공급관(232d)의 밸브(243d)를 열어 제4 가스 공급관(232d) 내에 NH3가스를 흘린다. 제4 가스 공급관(232d) 내를 흐른 NH3가스는 매스 플로우 컨트롤러(241d)에 의해 유량 조정된다. 유량 조정된 NH3가스는 제3 노즐(249c)의 가스 공급공(250c)을 통하여 처리실(201) 내에 공급된다. 처리실(201) 내에 공급된 NH3가스는 열에 의하여 활성화(여기)되어 배기관(231)을 통하여 배기된다. 이 때 웨이퍼(200)에 열에 의하여 활성화된 NH3가스가 공급된다. 이 때 동시에 밸브(243h)를 열어 제3 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 NH3가스와 함께 처리실(201) 내에 공급되어 배기관(231)을 통하여 배기된다. 또한 이 때 제1 노즐(249a), 제2 노즐(249b) 내로의 NH3가스의 침입을 방지하기 위하여 밸브(243f, 243g)를 열어 제1 불활성 가스 공급관(232f), 제2 불활성 가스 공급관(232g) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a) 및 제1 노즐(249a)을 개재하거나 제2 가스 공급관(232b) 및 제2 노즐(249b)을 개재하여 처리실(201) 내에 공급되고 배기관(231)을 통하여 배기된다.
이 때 APC 밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1∼3,000Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 이와 같은 비교적 높은 압력대로 하는 것에 의해 NH3가스를 비(非)플라즈마에 의하여 열적으로 활성화시키는 것이 가능하다. 또한 NH3가스를 열에 의하여 활성화시켜서 공급하는 것에 의해 소프트한 반응을 발생시킬 수 있어 후술하는 질화를 소프트하게 수행할 수 있다. 매스 플로우 컨트롤러(241d)에 의하여 제어하는 NH3가스의 공급 유량은 예컨대 100∼10,000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241h, 241f, 241g)에 의하여 제어하는 N2가스의 공급 유량은 각각 예컨대 100∼10,000sccm의 범위 내의 유량으로 한다. 이 때 처리실(201) 내의 NH3가스의 분압은 0.01∼2,970Pa의 범위 내의 압력으로 한다. 열에 의하여 활성화시킨 NH3가스를 웨이퍼(200)에 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1∼120초, 바람직하게는 1∼60초의 범위 내의 시간으로 한다. 이 때의 히터(207)의 온도는 스텝1∼2와 마찬가지로 웨이퍼(200)의 온도가 예컨대 250∼700℃, 바람직하게는 300∼650℃, 보다 바람직하게는 350∼600℃의 범위 내의 온도가 될 수 있는 온도로 설정한다.
이 때 처리실(201) 내에 흘리는 가스는 처리실(201) 내의 압력을 높게 하는 것에 의해 열적으로 활성화된 NH3가스이며, 처리실(201) 내에는 HCDS가스도 TEA가스도 흘리지 않는다. 따라서 NH3가스는 기상 반응을 일으키지 않고, 활성화된 NH3가스는 스텝2에서 웨이퍼(200) 상에 형성된 Si, N 및 C를 포함하는 제1층의 적어도 일부와 반응한다. 이에 의해 제1층은 질화되어 제2층으로서 실리콘, 탄소 및 질소를 포함하는 층, 즉 실리콘 탄질화층(SiCN층)으로 개질된다.
또한 NH3가스를 열에 의하여 활성화시켜서 처리실(201) 내에 흘리는 것에 의해 제1층을 열질화하여 SiCN층으로 개질(변화)할 수 있다. 이 때 제1층에서의 N성분의 비율을 증가시키면서 제1층을 SiCN층으로 개질한다. 한편 이 때 NH3가스에 의한 열질화의 작용에 의해 제1층에서의 Si-N결합이 증가하는 한편, Si-C결합 및 Si-Si결합은 감소하여 제1층에서의 C성분의 비율 및 Si성분의 비율이 감소한다. 즉 질소 농도를 증가시키는 방향으로, 또한 탄소 농도 및 실리콘 농도를 감소시키는 방향으로 조성비를 변화시키면서 제1층을 SiCN층으로 개질할 수 있다. 또한 이 때 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어하는 것에 의해 SiCN층에서의 N성분의 비율, 즉 질소 농도를 미세 조정할 수 있어 SiCN층의 조성비를 더욱 치밀하게 제어할 수 있다.
또한 이 때 제1층의 질화 반응은 포화시키지 않도록 하는 것이 바람직하다. 예컨대 스텝1, 2에서 1원자층 미만으로부터 수원자층 정도의 두께의 제1층을 형성한 경우에는 그 제1층의 일부를 질화시키도록 하는 것이 바람직하다. 이와 같은 경우, 1원자층 미만으로부터 수원자층 정도의 두께의 제1층의 전체를 질화시키지 않도록 제1층의 질화 반응이 불포화가 되는 조건 하에서 질화를 수행한다.
또한 제1층의 질화 반응을 불포화로 하기 위해서는 스텝3에서의 처리 조건을 전술한 처리 조건으로 하면 좋지만, 또한 스텝3에서의 처리 조건을 다음 처리 조건으로 하는 것에 의해 제1층의 질화 반응을 불포화로 하는 것이 용이해진다.
웨이퍼 온도: 500∼650℃
처리실 내 압력: 133∼2,666Pa
NH3가스 분압: 33∼2,515Pa
NH3가스 공급 유량: 1,000∼5,000sccm
N2가스 공급 유량: 300∼3,000sccm
NH3가스 공급 시간: 6∼60초
(잔류 가스 제거)
제2층이 형성된 후, 제4 가스 공급관(232d)의 밸브(243d)를 닫고 NH3가스의 공급을 정지한다. 이 때 배기관(231)의 APC 밸브(244)는 연 상태로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여 처리실(201) 내에 잔류하는 미반응의 또는 제2층 형성에 기여한 후의 NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 이 때 밸브(243h, 243f, 243g)는 연 상태로 하여 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응의 또는 제2층 형성에 기여한 후의 NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면 그 후에 수행되는 스텝4에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203) 또는 처리실(201)의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝4에서 악영향이 발생하지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능하다.
질소 함유 가스로서는 NH3가스 외에 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8가스 및 이들의 화합물을 포함하는 가스 등을 이용해도 좋다. 불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용해도 좋다.
(소정 횟수 실시)
전술한 스텝1∼4를 1사이클로 하여, 이 사이클을 1회 이상(소정 횟수) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘, 탄소 및 질소를 포함하는 막, 즉 실리콘 탄질화막(SiCN막)을 성막할 수 있다. 또한 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉 1사이클당 형성하는 SiCN층의 두께를 원하는 막 두께보다도 작게 하여, 전술한 사이클을 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
본 실시 형태에 의하면, 스텝1∼3을 실시하여 제2층을 형성한 후, 수소 함유 가스인 H2가스를 공급하여 제2층의 표면을 OH기(흡착 사이트)를 많이 포함하는 표면으로 개질하는 스텝4를 수행하는 것에 의해, 다음 사이클에서 스텝1을 다시 실시할 때에 제2층 상에서의 Cl을 포함하는 실리콘 함유층의 형성, 즉 제2층 상에서의 Si의 퇴적이나 HCDS가스의 흡착을 촉진시킬 수 있다. 그 결과, SiCN막의 성막 속도를 향상시켜 성막 시의 생산성을 향상시킬 수 있다.
또한 본 실시 형태에 의하면, 스텝1, 2를 교호적으로 1회 수행하는 것에 의해 Si, N 및 C를 포함하는 제1층을 형성한 후, 제2 반응 가스로서 질소 함유 가스인 NH3가스를 공급하고 제1층을 질화시켜 제2층으로서의 SiCN층으로 개질하는 스텝3을 수행하는 것에 의해, 형성되는 SiCN막 내의 탄소와 질소의 조성비를 조정하는 것이 가능하다. 또한 이 때 NH3가스를 열에 의하여 활성화시켜서 공급하는 것에 의해, 열질화의 작용에 의해 SiCN막 내의 Si-N결합을 증가시키는 한편, Si-C결합 및 Si-Si결합을 감소시키는 것이 가능하다. 즉 질소 농도를 증가시키는 방향으로, 또한 탄소 농도 및 실리콘 농도를 감소시키는 방향으로 조성비를 변화시키는 것이 가능하다. 또한 이 때 열질화 시간을 연장하거나, 열질화에서의 질화력을 향상시키거나 하는 것에 의해 질소 농도를 더욱 증가시키는 방향으로, 또한 탄소 농도 및 실리콘 농도를 더욱 감소시키는 방향으로 조성비를 변화시키는 것이 가능하다. 또한 이 때 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어하는 것에 의해 SiCN막 내의 N성분의 비율, 즉 질소 농도를 미세 조정할 수 있어 SiCN막의 조성비를 더욱 치밀하게 제어하는 것이 가능하다. 이에 의해 형성하는 SiCN막의 유전율을 조정하거나 에칭 내성을 향상시키거나 리크 내성을 향상시키거나 하는 것이 가능하다.
그 외에 본 실시 형태에 의하면, 전술한 제1 실시 형태와 마찬가지의 효과를 갖는다. 즉 제1 반응 가스로서 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많고 실리콘 및 금속 비함유의 아민계 가스인 TEA가스를 이용하는 것에 의해, SiCN막 내의 탄소 농도를 높게 하거나, SiCN막을 형성할 때의 반응 제어성, 특히 조성 제어성을 향상시키거나, 막 내의 불순물 농도를 저감시키거나, 웨이퍼(200) 면내 및 웨이퍼(200) 면간의 막 두께 균일성을 각각 향상시키거나 하는 것이 가능하다.
(변형예)
도 7, 도 8에 도시한 전술의 성막 시퀀스에서는 스텝1∼4를 1사이클로 하여 이 사이클을 반복하는 예에 대하여 설명하였지만, 본 실시 형태에 따른 성막 시퀀스는 이와 같은 형태에 한정되지 않고, 이하와 같이 변경해도 좋다.
예컨대 도 9a에 도시하는 변형예1과 같이 스텝1, 2, 4를 1세트로 하여 이 세트를 소정 횟수(m회) 수행한 후, 스텝3, 4를 수행하고 이를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 도 9a는 스텝1, 2, 4의 세트를 1사이클당 2회 수행하는 예, 즉 스텝1, 2, 4의 세트를 2회 수행하는 공정과, 스텝3, 4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행하는 예를 도시한다. 본 변형예와 도 7, 도 8에 도시한 전술의 성막 시퀀스의 차이점은 스텝1, 2, 4의 세트를 소정 횟수(m회) 수행하고 나서 스텝3, 4를 수행하여 이를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 이 세트로부터 스텝4를 생략하여, 스텝1, 2를 1세트로 하고 이 세트를 소정 횟수(m회) 수행한 후, 스텝3, 4를 수행하고 이를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝4를 사이클의 마지막에 한번만 수행해도 좋다.
또한 예컨대 도 9b에 도시하는 변형예2와 같이 스텝1, 2, 4, 1, 3, 4를 이 순서대로 수행하고 이를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 또한 본 변형예와 도 7, 도 8에 도시한 전술의 성막 시퀀스의 차이점은 스텝1, 2, 4, 1, 3, 4를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 이 사이클로부터 1회째의 스텝4를 생략하여, 스텝1, 2, 1, 3, 4를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝4를 사이클의 마지막에 한번만 수행해도 좋다.
또한 예컨대 도 9c에 도시하는 변형예3과 같이, 스텝1, 2, 4를 1세트로 하고 이 세트를 소정 횟수(m회) 수행한 후, 스텝1, 3, 4를 1세트로 하고 이 세트를 소정 횟수(m'회) 수행하고, 이 조합들을 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 도 9c는 스텝1, 2, 4의 세트 및 스텝1, 3, 4의 세트를 1사이클당 각각 2회 수행하는 예, 즉 스텝1, 2, 4의 세트를 2회 수행하는 공정과, 스텝1, 3, 4의 세트를 2회를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행하는 예를 도시한다. 본 변형예와 도 7, 도 8에 도시한 전술의 성막 시퀀스의 차이점은 스텝1, 2, 4의 세트를 소정 횟수(m회) 수행한 후, 스텝1, 3, 4의 세트를 소정 횟수(m'회) 수행하여 이를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 본 변형예에서 스텝1, 2, 4의 세트의 실시 횟수(m)를 1회로 하여 스텝1, 3, 4의 세트의 실시 횟수(m')를 1회로 하는 케이스가 도 9b에 도시한 변형예2의 성막 시퀀스에 상당한다. 또한 각 세트로부터 스텝4를 생략하여, 스텝1, 2를 1세트로 하여 이 세트를 소정 횟수(m회) 수행한 후, 스텝1, 3을 1세트로 하여 이 세트를 소정 횟수(m'회) 수행하고, 그 후, 스텝4를 수행하고 이를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝4를 사이클의 마지막에 한번만 수행해도 좋다.
이와 같은 변형예들에 의해서도 도 7, 도 8에 도시한 전술의 성막 시퀀스와 마찬가지의 효과를 얻을 수 있다. 또한 이와 같은 변형예에 의하면, SiCN막에서의 실리콘 성분, 질소 성분, 탄소 성분의 비율을 더욱 치밀하게 제어할 수 있게 되고, SiCN막의 조성비의 제어성을 향상시킬 수 있다.
예컨대 변형예1에서 스텝1, 2, 4를 포함하는 세트의 세트수(m)를 늘리는 것에 의해 제1층의 실리콘 성분, 질소 성분, 탄소 성분의 절대량을 증가시킬 수 있고, 이와 같이 하여 각 성분의 절대량을 증가시킨 제1층을 스텝3에서 질화시키는 것에 의해 SiCN층의 질소 성분에 대한 실리콘 성분, 탄소 성분의 비율이 높아지도록 제어할 수 있고, 최종적으로 형성하는 SiCN막의 질소 성분에 대한 실리콘 성분, 탄소 성분의 비율이 낮아지도록 제어할 수 있다.
또한 예컨대 변형예1에서 스텝1, 2, 4를 포함하는 세트의 세트수(m)를 저감하는 것에 의해 제1층의 실리콘 성분, 질소 성분, 탄소 성분의 절대량을 감소시킬 수 있고, 이와 같이 하여 각 성분의 절대량을 감소시킨 제1층을 스텝3에서 질화시키는 것에 의해 SiCN층의 질소 성분에 대한 실리콘 성분, 탄소 성분의 비율을 낮아지도록 제어할 수 있고, 최종적으로 형성하는 SiCN막의 질소 성분에 대한 실리콘 성분, 탄소 성분의 비율을 낮아지도록 제어할 수 있다.
변형예2, 3에서도 같은 원리에 의해 SiCN막에서의 실리콘 성분, 질소 성분, 탄소 성분의 비율을 더욱 치밀하게 제어할 수 있다.
또한 이와 같은 변형예에 의하면, 1사이클당 형성되는 층의 두께를 증가시킬 수 있고, 사이클 레이트(단위 사이클당 형성되는 SiCN층의 두께)를 향상시키는 것이 가능하다. 그리고 이에 의해 성막 레이트를 향상시키는 것도 가능하다.
예컨대 변형예1, 3에서, 스텝1, 2, 4를 포함하는 세트의 세트수(m)를 늘리는 것에 의해 1사이클당 형성하는 제1층의 층수, 즉 1사이클당 형성하는 제1층의 두께를 세트수(m)만큼 증가시킬 수 있고, 사이클 레이트를 향상시키는 것이 가능하다. 또한 변형예3에서 스텝1, 3, 4를 포함하는 세트의 세트수(m')를 늘리는 것에 의해 1사이클당 형성하는 제2층으로서의 SiN층의 층수, 즉 1사이클당 형성하는 제2층의 두께를 세트수(m')만큼 증가시킬 수 있고, 이에 의해서도 사이클 레이트를 향상시키는 것이 가능하다. 또한 변형예2에서는 1사이클당 스텝1을 2회 수행하기 때문에 변형예2에서도 사이클 레이트를 향상시키는 것이 가능하다. 그리고 이에 의해 성막 레이트를 향상시키는 것도 가능하다.
또한 변형예2, 3에서는 Si, N 및 C를 포함하는 제1층을 형성하는 공정과 제2층으로서 SiN층을 형성하는 공정의 순서를 바꿔서, 제2층(SiN층)을 형성하는 공정을 수행한 후에 제1층을 형성하는 공정을 수행하여 이를 1사이클로 해도 좋다. 또한 이와 같은 변형예는 임의적으로 조합하여 이용할 수 있다.
<본 발명의 제3 실시 형태>
다음으로 본 발명의 제3 실시 형태에 대하여 설명한다.
전술한 제1 실시 형태에서는 제2 반응 가스로서 산소 함유 가스(O2가스)를 이용하여 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 산탄질화막 또는 실리콘 산탄화막을 형성하는 예에 대하여 설명하였지만, 본 실시 형태에서는 제2 반응 가스로서 질소 함유 가스(NH3가스)와 산소 함유 가스(O2가스)를 이용하여 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 산탄질화막을 형성하는 예에 대하여 설명한다.
즉 본 실시 형태에서는,
처리실(201) 내의 웨이퍼(200)에 원료 가스로서 클로로실란계 원료 가스인 HCDS가스를 공급하는 공정과, 처리실(201) 내의 웨이퍼(200)에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중(1분자 중)에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스로서 그 조성식 중에서 탄소 원자를 포함하는 리간드(에틸기)를 복수(3개) 포함하는 아민계 가스인 TEA가스를 공급하는 공정을 교호적으로 1회 수행하는 것에 의해 웨이퍼(200) 상에 실리콘, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;
처리실(201) 내의 웨이퍼(200)에 원료 가스 및 제1 반응 가스와는 다른 제2 반응 가스로서 질소 함유 가스(질화 가스)인 NH3가스와 산소 함유 가스(산화 가스)인 O2가스를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 실리콘 산탄질화층(SiOCN층)을 형성하는 공정; 및
처리실(201) 내의 웨이퍼(200)에 수소 함유 가스(환원 가스)로서 H2가스를 공급하는 것에 의해 제2층의 표면을 개질하는 공정;
을 포함하는 사이클을 반복하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 산탄질화막(SiOCN막)을 형성하는 예에 대하여 설명한다.
도 10은 본 실시 형태에서의 성막 플로우를 도시하는 도면이다. 도 11은 본 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이다. 또한 본 실시 형태와 제1 실시 형태의 차이점은 스텝1, 2를 수행하는 것에 의해 제1층을 형성한 후 제2 반응 가스로서 질소 함유 가스인 NH3가스를 공급하여 제1층을 질화시키는 스텝3과, 제2 반응 가스로서 산소 함유 가스인 O2가스를 공급하여 질화 후의 제1층을 산화시켜 제2층으로서의 SiOCN층으로 개질하는 스텝4과, 처리실(201) 내의 웨이퍼(200)에 수소 함유 가스로서 H2가스를 공급하는 것에 의해 제2층의 표면을 개질하는 스텝5를 수행하고, 스텝1∼5를 1사이클로 하여 이 사이클을 반복하는 점뿐이며, 그 외는 제1 실시 형태와 같다. 또한 본 실시 형태의 스텝3의 순서 및 처리 조건이나, 이에 의해 발생하는 반응은 제2 실시 형태의 스텝3의 순서 및 처리 조건이나, 이에 의해 발생하는 반응과 같다. 또한 본 실시 형태의 스텝4의 순서 및 처리 조건이나, 이에 의해 발생하는 반응은 제1 실시 형태의 스텝3의 순서 및 처리 조건이나, 이에 의해 발생하는 반응과 같다. 또한 본 실시 형태의 스텝5의 순서 및 처리 조건이나, 이에 의해 발생하는 반응은 제1 실시 형태나 제2 실시 형태의 스텝4의 순서 및 처리 조건이나, 이에 의해 발생하는 반응과 같다.
본 실시 형태에 의하면, 스텝1∼4를 실시하여 제2층을 형성한 후, 수소 함유 가스인 H2가스를 공급하여 제2층의 표면을 OH기(흡착 사이트)를 많이 포함하는 표면으로 개질하는 스텝5를 수행하는 것에 의해, 다음 사이클에서 스텝1을 다시 실시할 때에 제2층 상에서의 Cl을 포함하는 실리콘 함유층의 형성, 즉 제2층 상에서의 Si의 퇴적이나 HCDS가스의 흡착을 촉진시킬 수 있다. 그 결과, SiOCN막의 성막 속도를 향상시켜 성막 시의 생산성을 향상시킬 수 있다.
또한 본 실시 형태에 의하면, 스텝1, 2를 교호적으로 1회 수행하는 것에 의해 Si, N 및 C를 포함하는 제1층을 형성한 후, 제2 반응 가스로서 질소 함유 가스인 NH3가스를 공급하여 제1층을 질화시키고, SiCN층으로 개질하는 스텝3과, 제2 반응 가스로서 산소 함유 가스인 O2가스를 공급하고 질화 후의 제1층(SiCN층)을 산화시켜 제2층으로서의 SiOCN층으로 개질하는 스텝4를 수행하는 것에 의해, 형성되는 SiOCN막 내의 산소와 탄소와 질소와의 조성비를 조정하는 것이 가능하다. 이에 의해 형성하는 SiOCN막의 유전율을 조정하거나 에칭 내성을 향상시키거나 리크 내성을 향상시키거나 하는 것이 가능하다.
그 외에 본 실시 형태에 의하면, 전술한 제1 및 제2 실시 형태와 마찬가지의 효과를 갖는다. 즉 제1 반응 가스로서 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많고 실리콘 및 금속 비함유의 아민계 가스인 TEA가스를 이용하는 것에 의해, SiOCN막 내의 탄소 농도를 높게 하거나, SiOCN막을 형성할 때의 반응 제어성, 특히 조성 제어성을 향상시키거나, 막 내의 불순물 농도를 저감시키거나, 웨이퍼(200) 면내 및 웨이퍼(200) 면간의 막 두께 균일성을 각각 향상시키거나 하는 것이 가능하다. 또한 제2 반응 가스로서 NH3가스나 O2가스를 열에 의하여 활성화(여기)시켜서 공급하는 것에 의해 SiOCN막의 조성비를 적절히 조정하는 것이 가능하다.
또한 H2가스를 이용하여 제2층의 표면을 개질하는 것에 의해 성막 속도를 향상시켜 성막시의 생산성을 향상시키거나 하는 것이 가능하다.
(변형예)
도 10, 도 11에 도시한 전술의 성막 시퀀스에서는 스텝1∼5를 1사이클로 하여 이 사이클을 반복하는 예에 대하여 설명하였지만, 본 실시 형태에 따른 성막 시퀀스는 이와 같은 형태에 한정되지 않고, 이하와 같이 변경해도 좋다.
예컨대 도 12a에 도시하는 변형예1과 같이, 스텝1, 2, 5를 1세트로 하여 이 세트를 소정 횟수(m회) 수행한 후, 스텝3, 4, 5를 순서대로 수행하고 이를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 도 12a는 스텝1, 2, 5의 세트를 1사이클당 2회 수행하는 예, 즉 스텝1, 2, 5의 세트를 2회 수행하는 공정과, 스텝3, 4, 5를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행하는 예를 도시한다. 또한 본 변형예와 도 10, 도 11에 도시한 전술의 성막 시퀀스의 차이점은 스텝1, 2, 5의 세트를 소정 횟수(m회) 수행하고 나서 스텝3, 4, 5를 순서대로 수행하여 이를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 이 세트로부터 스텝5를 생략하여 스텝1, 2를 1세트로 하고 이 세트를 소정 횟수(m회) 수행한 후, 스텝3, 4, 5를 수행하고 이를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝5를 사이클의 마지막에 한번만 수행해도 좋다.
또한 예컨대 도 12b에 도시하는 변형예2와 같이, 스텝1∼3, 5를 1세트로 하고 이 세트를 소정 횟수(m회) 수행한 후, 스텝4, 5를 수행하고 이를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 도 12b는 스텝1∼3, 5의 세트를 1사이클당 2회 수행하는 예, 즉 스텝1∼3, 5의 세트를 2회 수행하는 공정과, 스텝4, 5를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행하는 예를 도시한다. 또한 본 변형예와 도 10, 도 11에 도시한 전술의 성막 시퀀스의 차이점은 스텝1∼3, 5의 세트를 소정 횟수(m회) 수행하고 나서 스텝4, 5를 수행하여 이를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 이 세트로부터 스텝5를 생략하여 스텝1∼3을 1세트로 하여 이 세트를 소정 횟수(m회) 수행한 후, 스텝4, 5를 수행하여 이를 1사이클로 하고 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝5를 사이클의 마지막에 한번만 수행해도 좋다.
또한 예컨대 도 12c에 도시하는 변형예3과 같이, 스텝1, 2, 3, 5, 1, 4, 5를 이 순서대로 수행하고 이를 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 또한 본 변형예와 도 10, 도 11에 도시한 전술의 성막 시퀀스의 차이점은 스텝1, 2, 3, 5, 1, 4, 5를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 이 사이클로부터 1회째의 스텝5를 생략하여 스텝1, 2, 3, 1, 4, 5를 1사이클로 하고 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝5를 사이클의 마지막에 한번만 수행해도 좋다.
또한 예컨대 도 13a에 도시하는 변형예4와 같이, 스텝1∼3, 5를 1세트로 하고 이 세트를 소정 횟수(m회) 수행한 후, 스텝1, 4, 5를 1세트로 하여 이 세트를 소정 횟수(m'회) 수행하고, 이 조합들을 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 도 13a는 스텝1∼3, 5의 세트 및 스텝1, 4, 5의 세트를 1사이클당 각각 2회 수행하는 예, 즉 스텝1∼3, 5의 세트를 2회 수행하는 공정과, 스텝1, 4, 5의 세트를 2회 수행하는 공정을 1사이클로 하고 이 사이클을 소정 횟수(n회) 수행하는 예를 도시한다. 본 변형예와 도 10, 도 11에 도시한 전술의 성막 시퀀스의 차이점은 스텝1∼3, 5의 세트를 소정 횟수(m회) 수행한 후, 스텝1, 4, 5의 세트를 소정 횟수(m'회) 수행하여 이를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 본 변형예에서 스텝1∼3, 5의 세트의 실시 횟수(m)를 1회로 하고 스텝1, 4, 5의 세트의 실시 횟수(m')를 1회로 하는 케이스가 도 12c에 도시한 변형예3의 성막 시퀀스에 상당한다. 또한 각 세트로부터 스텝5를 생략하여 스텝1∼3을 1세트로 하여 이 세트를 소정 횟수(m회) 수행한 후, 스텝1, 4를 1세트로 하고 이 세트를 소정 횟수(m'회) 수행하고, 그 후, 스텝5를 수행하여 이를 1사이클로 하고 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝5를 사이클의 마지막에 한번만 수행해도 좋다.
또한 예컨대 도 13b에 도시하는 변형예5와 같이, 스텝1, 2, 5, 1, 3, 4, 5를 이 순서대로 수행하고 이를 1사이클로 하여 이 사이클을 소정 횟수 수행해도 좋다. 본 변형예와 도 10, 도 11에 도시한 전술의 성막 시퀀스의 차이점은 스텝1, 2, 5, 1, 3, 4, 5를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 이 사이클로부터 1회째의 스텝5를 생략하여, 스텝1, 2, 1, 3, 4, 5를 1사이클로 하고 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝5를 사이클의 마지막에 한번만 수행해도 좋다.
또한 예컨대 도 13c에 도시하는 변형예6과 같이, 스텝1, 2, 5를 1세트로하고 이 세트를 소정 횟수(m회) 수행한 후, 스텝1, 3, 4, 5를 1세트로 하고 이 세트를 소정 횟수(m'회) 수행하고, 이 조합들을 1사이클로 하여 이 사이클을 소정 횟수(n회) 수행해도 좋다. 본 변형예와 도 10, 도 11에 도시한 전술의 성막 시퀀스의 차이점은 스텝1, 2, 5의 세트를 소정 횟수(m회) 수행한 후, 스텝1, 3, 4, 5의 세트를 소정 횟수(m'회) 수행하여 이를 1사이클로 하는 점뿐이며, 그 외는 전술한 성막 시퀀스와 마찬가지이다. 또한 각 세트로부터 스텝5를 생략하여, 스텝1, 2를 1세트로 하고 이 세트를 소정 횟수(m회) 수행한 후, 스텝1, 3, 4를 1세트로 하여 이 세트를 소정 횟수(m'회) 수행하고, 그 후, 스텝5를 수행하여 이를 1사이클로 하고 이 사이클을 소정 횟수(n회) 수행해도 좋다. 즉 스텝5를 사이클의 마지막에 한번만 수행해도 좋다.
이와 같은 변형예에 의해서도, 도 10, 도 11에 도시한 전술의 성막 시퀀스와 마찬가지의 효과를 얻을 수 있다. 또한 이와 같은 변형예에 의하면, SiOCN막에서의 실리콘 성분, 질소 성분, 탄소 성분, 산소 성분의 비율을 더욱 치밀하게 제어할 수 있게 되고, SiOCN막의 조성비의 제어성을 향상시킬 수 있다. 또한 이와 같은 변형예에 의하면, 1사이클당 형성하는 층의 두께를 증가시킬 수 있고, 사이클 레이트(단위 사이클당 형성되는 SiOCN층의 두께)를 향상시키는 것이 가능하다. 그리고 이에 의해 성막 레이트를 향상시키는 것도 가능하다.
또한 변형예3, 4에서는, 제1층으로서 SiCN층을 형성하는 공정과 제2층으로서 SiO층을 형성하는 공정의 순서를 바꿔서, SiO층을 형성하는 공정을 수행한 후에 SiCN층을 형성하는 공정을 수행하여 이를 1사이클로 해도 좋다. 또한 변형예5, 6에서는, Si, N 및 C를 포함하는 제1층을 형성하는 공정과 제2층으로서 SiON층을 형성하는 공정의 순서를 바꿔서, SiON층을 형성하는 공정을 수행한 후에 제1층을 형성하는 공정을 수행하여 이를 1사이클로 해도 좋다. 또한 이와 같은 변형예는 임의적으로 조합하여 이용할 수 있다.
<본 발명의 다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였지만, 본 발명은 전술한 실시 형태에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 갖가지 변경이 가능하다.
예컨대 전술한 실시 형태에서는 Si, N 및 C를 포함하는 제1층을 형성할 때에 처리실(201) 내의 웨이퍼(200)에 클로로실란계 원료 가스를 공급하고, 그 후, 아민계 가스를 공급하는 예에 대하여 설명하였지만, 이 가스들의 공급 순서는 반대로 하여도 좋다. 즉 아민계 가스를 공급하고, 그 후 클로로실란계 원료 가스를 공급해도 좋다. 즉, 클로로실란계 원료 가스 및 아민계 가스 중 일방의 가스를 공급하고, 그 후, 타방의 가스를 공급하도록 하면 된다. 이와 같이 가스의 공급 순서를 바꾸는 것에 의해 형성되는 박막의 막질이나 조성비를 변화시키는 것도 가능하다.
또한 예컨대 전술한 실시 형태에서는 스텝1에서 소정 원소(실리콘)와 할로겐 원소(염소)를 포함하는 초기층을 형성할 때에 원료 가스로서 클로로실란계 원료 가스를 이용하는 예에 대하여 설명하였지만, 클로로실란계 원료 가스의 대신에 클로로기 이외의 할로겐계의 리간드를 가지는 실란계 원료 가스를 이용해도 좋다. 예컨대 클로로실란계 원료 가스의 대신에 플루오로실란계 원료 가스를 이용해도 좋다. 여기서 플루오로실란계 원료 가스란 기체 상태의 플루오로실란계 원료, 예컨대 상온 상압 하에서 액체 상태인 플루오로실란계 원료를 기화하는 것에 의해 얻어지는 가스나, 상온 상압 하에서 기체 상태인 플루오로실란계 원료 등이다. 또한 플루오로실란계 원료란 할로겐기로서의 플루오르기를 포함하는 실란계 원료이며, 적어도 실리콘(Si) 및 불소(F)를 포함하는 원료다. 즉 여기서 말하는 플루오로실란계 원료는 할로겐화물의 일종이라고도 말할 수 있다. 플루오로실란계 원료 가스로서는 예컨대 테트라플루오로실란, 즉 실리콘테트라플루오라이드(SiF4) 가스나, 헥사플루오로디실란(Si2F6) 가스 등의 불화 실리콘 가스를 이용할 수 있다. 이와 같은 경우, 소정 원소와 할로겐 원소를 포함하는 초기층을 형성할 때에 처리실(201) 내의 웨이퍼(200)에 플루오로실란계 원료 가스를 공급한다. 이와 같은 경우, 초기층은 Si 및 F를 포함하는 층, 즉 F를 포함하는 실리콘 함유층이 된다.
또한 예컨대 전술한 실시 형태에서는 초기층으로서의 Cl을 포함하는 실리콘 함유층을 Si, N 및 C를 포함하는 제1층으로 변화(개질)시킬 때에 제1 반응 가스로서 아민계 가스를 이용하는 예에 대하여 설명하였지만, 아민계 가스 대신에 제1 반응 가스로서 예컨대 유기 히드라진 화합물을 포함하는 가스, 즉 유기 히드라진계 가스를 이용해도 좋다. 또한 유기 히드라진 화합물을 포함하는 가스를 단순히 유기 히드라진 화합물 가스, 또는 유기 히드라진 가스라고 부를 수도 있다. 여기서 유기 히드라진계 가스란 기체 상태의 유기 히드라진, 예컨대 상온 상압 하에서 액체 상태인 유기 히드라진을 기화하는 것에 의해 얻어지는 가스나, 상온 상압 하에서 기체 상태인 유기 히드라진 등의 히드라진기를 포함하는 가스다. 유기 히드라진계 가스는 탄소(C), 질소(N) 및 수소(H)의 3원소로 구성되는 실리콘 비함유의 가스이며, 또한 실리콘 및 금속 비함유의 가스다. 유기 히드라진계 가스로서는 예컨대 모노메틸히드라진[(CH3)HN2H2, 약칭: MMH], 디메틸히드라진[(CH3)2N2H2, 약칭: DMH), 트리메틸히드라진[(CH3)2N2(CH3)H, 약칭: TMH] 등이 기화된 메틸 히드라진계 가스나, 에틸히드라진[(C2H5)HN2H2, 약칭: EH] 등이 기화된 에틸 히드라진계 가스를 바람직하게 이용할 수 있다. 이와 같은 경우, 초기층으로서의 Cl을 포함하는 실리콘 함유층을 Si, N 및 C를 포함하는 제1층으로 변화(개질)시킬 때에 처리실(201) 내의 웨이퍼(200)에 유기 히드라진계 가스를 공급한다. 또한 유기 히드라진계 가스로서는 탄소, 질소 및 수소의 3원소로 구성되고 그 조성식 중(1분자 중)에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 가스를 이용하는 것이 바람직하다. 또한 유기 히드라진계 가스로서는 그 조성식 중(1분자 중)에서 탄소(C) 원자를 포함하는 리간드를 복수 포함하는 가스, 즉 그 조성식 중(1분자 중)에서 알킬기 등의 탄화수소기를 복수 포함하는 가스를 이용하는 것이 바람직하다. 구체적으로는 유기 히드라진계 가스로서는 그 조성식 중(1분자 중)에서 탄소(C) 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 3개, 또는 2개 포함하는 가스를 이용하는 것이 바람직하다.
또한 예컨대 전술한 실시 형태에서는 Si, N 및 C를 포함하는 제1층을 형성할 때에 처리실(201) 내의 웨이퍼(200)에 클로로실란계 원료 가스를 공급하고, 그 후, 아민계 가스를 공급하는 예에 대하여 설명하였지만, 도 14a과 같이 클로로실란계 원료 가스와 아민계 가스를 동시에 처리실(201) 내의 웨이퍼(200)에 공급하여 CVD반응을 발생시키도록 해도 좋다.
도 14a 및 도 14b의 시퀀스는 처리실(201) 내의 웨이퍼(200)에 클로로실란계 원료 가스(HCDS가스)와, 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 아민계 가스(TEA가스)를 동시에 공급하는 것에 의해, 웨이퍼(200) 상에 실리콘, 질소 및 탄소를 포함하는 제1층을 형성하는 공정; 처리실(201) 내의 웨이퍼(200)에 제2 반응 가스로서 산소 함유 가스(O2가스)를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 SiOCN층 또는 SiOC층을 형성하는 공정; 및 처리실(201) 내의 웨이퍼(200)에 수소 함유 가스로서 H2가스를 공급하는 것에 의해 제2층의 표면을 개질하는 공정;을 포함하는 사이클을 반복하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiOCN막 또는 SiOC막을 형성하는 예다. 또한 도 14a는 HCDS가스와 TEA가스를 동시에 공급하는 공정을 1사이클당 1회 수행하는 케이스를 도시하고, 도 14b는 HCDS가스와 TEA가스를 동시에 공급하는 공정을 1사이클당 복수 회(2회) 수행하는 케이스를 도시한다. 또한 이와 같은 경우에서의 처리 조건도 전술한 실시 형태에서의 처리 조건과 마찬가지의 처리 조건으로 하면 된다.
이와 같이 처리실(201) 내의 웨이퍼(200)에 클로로실란계 원료 가스와 아민계 가스를 순차 공급하지 않고 동시에 공급해도, 전술한 실시 형태와 마찬가지의 작용 효과를 얻을 수 있다. 단, 전술한 실시 형태와 같이 클로로실란계 원료 가스와 아민계 가스를 처리실(201) 내의 퍼지를 개재하여 교호적으로 공급하는 것이 클로로실란계 원료 가스와 아민계 가스를 표면 반응이 지배적인 조건 하에서 적절하게 반응시킬 수 있고, 막 두께 제어의 제어성을 향상시킬 수 있다.
또한 예컨대 전술한 실시 형태에서는 제1층을 형성할 때에 처리실(201) 내의 웨이퍼(200)에 클로로실란계 원료 가스와 아민계 가스를 공급하는 예에 대하여 설명하였지만, 도 15a 내지 도 15d와 같이 아민계 가스를 공급하지 않고 제1층을 형성해도 좋다.
또한 도 15a의 시퀀스는 처리실(201) 내의 웨이퍼(200)에 클로로실란계 원료 가스(HCDS가스)를 공급하는 공정과 처리실(201) 내의 웨이퍼(200)에 질소 함유 가스(NH3가스)을 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 실리콘, 질소를 포함하는 제1층을 형성하는 공정; 및 처리실(201) 내의 웨이퍼(200)에 수소 함유 가스로서 H2가스를 공급하는 것에 의해 제1층의 표면을 개질하는 공정;을 교호적으로 소정 횟수 수행하는 것에 의해 소정 조성 및 소정 막 두께의 SiN막을 형성하는 예다. 또한 도 15a는 제1층을 형성하는 공정에서, HCDS가스와 NH3가스를 교호적으로 1회 공급하는 케이스를 도시한다.
또한 도 15b의 시퀀스는 처리실(201) 내의 웨이퍼(200)에 클로로실란계 원료 가스(HCDS가스)를 공급하는 공정과 처리실(201) 내의 웨이퍼(200)에 질소 함유 가스(NH3가스)를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 실리콘, 질소를 포함하는 제1층을 형성하는 공정; 처리실(201) 내의 웨이퍼(200)에 산소 함유 가스(O2가스)를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 SiON층을 형성하는 공정; 및 처리실(201) 내의 웨이퍼(200)에 수소 함유 가스로서 H2가스를 공급하는 것에 의해 제2층의 표면을 개질하는 공정;을 교호적으로 소정 횟수 수행하는 것에 의해 소정 조성 및 소정 막 두께의 SiON막을 형성하는 예다. 또한 도 15b는 제1층을 형성하는 공정에서 HCDS가스와 NH3가스를 교호적으로 1회 공급하는 케이스를 도시한다.
또한 도 15c의 시퀀스는 처리실(201) 내의 웨이퍼(200)에 클로로실란계 원료 가스(HCDS가스)를 공급하는 공정, 처리실(201) 내의 웨이퍼(200)에 산소 함유 가스(O2가스)를 공급하는 공정 및 처리실(201) 내의 웨이퍼(200)에 수소 함유 가스(H2가스)를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 실리콘, 산소를 포함하는 제1층을 형성하는 공정; 및 처리실(201) 내의 웨이퍼(200)에 클로로실란계 원료 가스(HCDS가스)를 공급하는 공정, 처리실(201) 내의 웨이퍼(200)에 질소 함유 가스(NH3가스)를 공급하는 공정 및 처리실(201) 내의 웨이퍼(200)에 수소 함유 가스(H2가스)를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해 제1층 상에 제2층으로서 SiN층을 형성하는 공정;을 교호적으로 소정 횟수 수행하는 것에 의해 제1층과 제2층이 교호적으로 적층되어 이루어지는 소정 조성 및 소정 막 두께의 SiON막을 형성하는 예다. 또한 도 15c는 제1층을 형성하는 공정에서 HCDS가스와 O2가스와 H2가스를 교호적으로 1회 공급하고, 또한 제2층을 형성하는 공정에서 HCDS가스와 NH3가스와 H2가스를 교호적으로 1회 공급하는 케이스를 도시한다.
또한 도 15d의 시퀀스는 처리실(201) 내의 웨이퍼(200)에 클로로실란계 원료 가스(HCDS가스)를 공급하는 공정, 처리실(201) 내의 웨이퍼(200)에 탄소 함유 가스[프로필렌(C3H6) 가스]를 공급하는 공정 및 처리실(201) 내의 웨이퍼(200)에 질소 함유 가스(NH3가스)를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 실리콘, 탄소 및 질소를 포함하는 제1층을 형성하는 공정; 처리실(201) 내의 웨이퍼(200)에 산소 함유 가스(O2가스)를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 SiOCN층을 형성하는 공정; 및 처리실(201) 내의 웨이퍼(200)에 수소 함유 가스로서 H2가스를 공급하는 것에 의해 제2층의 표면을 개질하는 공정;을 교호적으로 소정 횟수 수행하는 것에 의해 소정 조성 및 소정 막 두께의 SiOCN막을 형성하는 예다. 또한 도 15d는 제1층을 형성하는 공정에서 HCDS가스와 C3H6가스와 NH3가스를 교호적으로 1회 공급하는 케이스를 도시한다.
전술한 각 실시 형태나 각 변형예의 방법에 의해 형성한 실리콘 절연막을 사이드월 스페이서(sidewall spacer)로서 사용하는 것에 의해 리크 전류가 적고 가공성이 뛰어난 디바이스의 형성 기술을 제공하는 것이 가능하다.
또한 전술한 각 실시 형태나 각 변형예의 방법에 의해 형성한 실리콘 절연막을 에칭 스토퍼(stopper)로서 사용하는 것에 의해 가공성이 뛰어난 디바이스의 형성 기술을 제공하는 것이 가능하다.
전술한 각 실시 형태나 각 변형예에 의하면, 저온 영역에서도 플라즈마를 이용하지 않고 이상적인 화학양론비에 따른 실리콘 절연막을 형성할 수 있다. 또한 플라즈마를 이용하지 않고 실리콘 절연막을 형성할 수 있는 것에 의하여, 예컨대 DPT의 SADP막 등, 플라즈마 데미지가 문제되는 공정에 대한 적용도 가능하다.
또한 전술한 실시 형태에서는 산탄질화막, 산탄화막, 탄질화막으로서 반도체 원소인 실리콘을 포함하는 실리콘계 절연막(SiOCN막, SiOC막, SiCN막)을 형성하는 예에 대하여 설명하였지만, 본 발명은 예컨대 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈(Ta), 알루미늄(Al), 몰리브덴(Mo) 등의 금속 원소를 포함하는 금속계 박막을 형성할 경우에도 적용할 수 있다.
즉 본 발명은 예컨대 티타늄산탄질화막(TiOCN막), 지르코늄산탄질화막(ZrOCN막), 하프늄산탄질화막(HfOCN막), 탄탈산탄질화막(TaOCN막), 알루미늄산탄질화막(AlOCN막), 몰리브덴산탄질화막(MoOCN막) 등의 금속산탄질화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 예컨대 본 발명은 티타늄산탄화막(TiOC막), 지르코늄산탄화막(ZrOC막), 하프늄산탄화막(HfOC막), 탄탈산탄화막(TaOC막), 알루미늄산탄화막(AlOC막), 몰리브덴산탄화막(MoOC막) 등의 금속산탄화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 예컨대 본 발명은 티타늄탄질화막(TiCN막), 지르코늄탄질화막(ZrCN막), 하프늄탄질화막(HfCN막), 탄탈탄질화막(TaCN막), 알루미늄탄질화막(AlCN막), 몰리브덴탄질화막(MoCN막) 등의 금속탄질화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
이와 같은 경우, 전술한 실시 형태에서 클로로실란계 원료 가스 대신에 금속 원소와 할로겐 원소를 포함하는 원료 가스를 이용하여 전술한 실시 형태와 마찬가지의 시퀀스에 의해 성막을 수행할 수 있다. 즉 처리실(201) 내의 웨이퍼(200)에 금속 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 공정과, 처리실(201) 내의 웨이퍼(200)에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 금속 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정; 및 처리실(201) 내의 웨이퍼(200)에 원료 가스 및 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 제1층을 개질하여 제2층을 형성하는 공정;을 포함하는 사이클을 반복하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 금속계 박막(금속산탄질화막, 금속산탄화막, 금속탄질화막)을 형성할 수 있다.
예컨대 Ti를 포함하는 금속계 박막(TiOCN막, TiOC막, TiCN막)을 형성하는 경우에는 원료 가스로서 티타늄테트라클로라이드(TiCl4) 등의 Ti 및 클로로기를 포함하는 가스나, 티타늄테트라플루오라이드(TiF4) 등의 Ti 및 플루오로기를 포함하는 가스를 이용할 수 있다. 제1 반응 가스, 제2 반응 가스, 수소 함유 가스로서는 각각 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 또한 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Zr을 포함하는 금속계 박막(ZrOCN막, ZrOC막, ZrCN막)을 형성하는 경우에는 원료 가스로서 지르코늄테트라클로라이드(ZrCl4) 등의 Zr 및 클로로기를 포함하는 가스나, 지르코늄테트라플루오라이드(ZrF4) 등의 Zr 및 플루오로기를 포함하는 가스를 이용할 수 있다. 제1 반응 가스, 제2 반응 가스, 수소 함유 가스로서는 각각 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 또한 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Hf를 포함하는 금속계 박막(HfOCN막, HfOC막, HfCN막)을 형성하는 경우에는 원료 가스로서 하프늄테트라클로라이드(HfCl4) 등의 Hf 및 클로로기를 포함하는 가스나, 하프늄테트라플루오라이드(HfF4) 등의 Hf 및 플루오로기를 포함하는 가스를 이용할 수 있다. 제1 반응 가스, 제2 반응 가스, 수소 함유 가스로서는 각각 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 또한 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Ta를 포함하는 금속계 박막(TaOCN막, TaOC막, TaCN막)을 형성하는 경우에는 원료 가스로서 탄탈펜타클로라이드(TaCl5) 등의 Ta 및 클로로기를 포함하는 가스나, 탄탈펜타플루오라이드(TaF5) 등의 Ta 및 플루오로기를 포함하는 가스를 이용할 수 있다. 제1 반응 가스, 제2 반응 가스, 수소 함유 가스로서는 각각 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 또한 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Al을 포함하는 금속계 박막(AlOCN막, AlOC막, AlCN막)을 형성하는 경우에는 원료 가스로서 알루미늄트리클로라이드(AlCl3) 등의 Al 및 클로로기를 포함하는 가스나, 알루미늄트리플루오라이드(AlF3) 등의 Al 및 플루오르기를 포함하는 가스를 이용할 수 있다. 제1 반응 가스, 제2 반응 가스, 수소 함유 가스로서는 각각 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 또한 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Mo를 포함하는 금속계 박막(MoOCN막, MoOC막, MoCN막)을 형성하는 경우에는 원료 가스로서 몰리브덴펜타클로라이드(MoCl5) 등의 Mo 및 클로로기를 포함하는 가스나, 몰리브덴펜타플루오라이드(MoF5) 등의 Mo 및 플루오르기를 포함하는 가스를 이용할 수 있다. 제1 반응 가스, 제2 반응 가스, 수소 함유 가스로서는 각각 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 또한 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
즉 본 발명은 반도체 원소나 금속 원소 등의 소정 원소를 포함하는 박막을 형성하는 경우에 바람직하게 적용할 수 있다.
또한 전술한 실시 형태에서는 한번에 복수 매의 기판을 처리하는 배치(batch)식의 기판 처리 장치를 이용하여 박막을 성막하는 예에 대하여 설명하였지만, 본 발명은 이에 한정되지 않고, 한번에 1매 또는 수 매의 기판을 처리하는 매엽식(枚葉式)의 기판 처리 장치를 이용하여 박막을 성막할 경우에도 바람직하게 적용할 수 있다. 또한 전술한 실시 형태에서는 핫 월(hot wall)형의 처리로를 포함하는 기판 처리 장치를 이용하여 박막을 성막하는 예에 대하여 설명하였지만, 본 발명은 이에 한정되지 않고, 콜드 월(cold wall)형의 처리로를 포함하는 기판 처리 장치를 이용하여 박막을 성막할 경우에도, 바람직하게 적용할 수 있다.
또한 전술한 각 실시 형태나 각 변형예나 각 응용예 등은 적절히 조합하여 이용할 수 있다.
또한 본 발명은 예컨대 기존의 기판 처리 장치의 프로세스 레시피를 변경하는 것으로도 실현된다. 프로세스 레시피를 변경하는 경우에는 본 발명에 따른 프로세스 레시피를 전기 통신 회선이나 상기 프로세스 레시피를 기록한 기록 매체를 개재하여 기존의 기판 처리 장치에 인스톨하거나, 또한 기존의 기판 처리 장치의 입출력 장치를 조작하여 그 프로세스 레시피 자체를 본 발명에 따른 프로세스 레시피로 변경하는 것도 가능하다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대하여 부기(附記)한다.
(부기1)
본 발명의 일 형태에 의하면,
기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;
상기 기판에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 공정; 및
상기 기판에 수소 함유 가스를 공급하는 것에 의해 상기 제2층의 표면을 개질하는 공정;
을 포함하는 사이클을 반복하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
여기서 「원료 가스를 공급하는 공정과, 제1 반응 가스를 공급하는 공정을 교호적으로 소정 횟수 수행한다」란 원료 가스 및 제1 반응 가스 중 일방의 가스를 공급하는 공정과, 그 후, 원료 가스 및 제1 반응 가스 중 상기 일방의 가스와는 다른 타방의 가스를 공급하는 공정을 1세트로 한 경우, 이 세트를 1회 수행하는 경우와, 이 세트를 복수 회 반복할 경우의 양쪽을 포함한다. 즉 이 세트를 1회 이상(소정 횟수) 수행하는 것을 의미한다.
또한 「제1층을 형성하는 공정과, 제2층을 형성하는 공정과, 제2층의 표면을 개질하는 공정을 포함하는 사이클을 반복한다」란 제1층을 형성하는 공정과, 제2층을 형성하는 공정과, 제2층의 표면을 개질하는 공정을 1사이클로 한 경우, 이 사이클을 복수 회 반복하는 것을 의미한다. 즉 이 사이클을 2회 이상(복수 회) 수행하는 것을 의미한다.
또한 본 명세서에서 이들과 같은 표현은 이들과 같은 의미로서 이용된다.
(부기2)
부기1의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스는 그 조성식 중에서 탄소 원자를 포함하는 리간드를 복수 포함한다.
(부기3)
부기1 또는 2의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스는 그 조성식 중에서 탄소 원자를 포함하는 리간드를 3개 포함한다.
(부기4)
부기1 또는 2의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스는 그 조성식 중에서 탄소 원자를 포함하는 리간드를 2개 포함한다.
(부기5)
부기1 내지 4 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스는 아민 및 유기 히드라진 중 적어도 어느 하나를 포함한다.
(부기6)
부기1 내지 4 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스는 에틸아민, 메틸아민, 프로필아민, 이소프로필아민, 부틸아민 및 이소부틸아민으로 이루어지는 군으로부터 선택되는 적어도 1개의 아민을 포함한다.
(부기7)
부기1 또는 2의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스는 트리에틸아민, 디에틸아민, 트리메틸아민, 디메틸아민, 트리프로필아민, 디프로필아민, 트리이소프로필아민, 디이소프로필아민, 트리부틸아민, 디부틸아민, 트리이소부틸아민 및 디이소부틸아민으로 이루어지는 군으로부터 선택되는 적어도 1개의 아민을 포함한다.
(부기8)
부기1 또는 2의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스는 디에틸아민, 디메틸아민, 디프로필아민, 디이소프로필아민, 디부틸아민 및 디이소부틸아민으로 이루어지는 군으로부터 선택되는 적어도 1개의 아민을 포함한다.
(부기9)
부기1 내지 8 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스는 실리콘 비함유의 가스다.
(부기10)
부기1 내지 8 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스는 실리콘 및 금속 비함유의 가스다.
(부기11)
부기1 내지 10 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 소정 원소는 실리콘 또는 금속을 포함하고, 상기 할로겐 원소는 염소 또는 불소를 포함한다.
(부기12)
부기1 내지 11 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1층을 형성하는 공정에서는 상기 원료 가스에 포함되는 상기 할로겐 원소와 상기 제1 반응 가스에 포함되는 수소를 가스로서 배출하면서 상기 기판 상에 상기 제1층을 형성한다.
(부기13)
부기1 내지 12 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 원료 가스를 공급하는 공정에서는 상기 소정 원소와 상기 할로겐 원소를 포함하는 초기층을 형성하고,
상기 제1 반응 가스를 공급하는 공정에서는 상기 초기층과 상기 제1 반응 가스를 반응시켜서 상기 제1층을 형성한다.
(부기14)
부기 13의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스를 공급하는 공정에서는 상기 초기층과 상기 제1 반응 가스를 반응시켜서 상기 초기층에 포함되는 상기 할로겐 원소 중 적어도 일부를 상기 초기층으로부터 인발하는 것과 함께, 상기 제1 반응 가스에 포함되는 리간드 중 적어도 일부를 상기 제1 반응 가스로부터 분리시킨다.
(부기15)
부기 14의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스를 공급하는 공정에서는 상기 초기층과 상기 제1 반응 가스를 반응시켜서 상기 초기층에 포함되는 상기 할로겐 원소 중 적어도 일부를 상기 초기층으로부터 인발하는 것과 함께, 상기 제1 반응 가스에 포함되는 리간드 중 적어도 일부를 상기 제1 반응 가스로부터 분리시켜 상기 리간드 중 적어도 일부가 분리된 상기 제1 반응 가스의 질소와 상기 초기층에 포함되는 상기 소정 원소를 결합시킨다.
(부기16)
부기 15의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 반응 가스를 공급하는 공정에서는 상기 초기층과 상기 제1 반응 가스를 반응시켜서 상기 초기층에 포함되는 상기 할로겐 원소 중 적어도 일부를 상기 초기층으로부터 인발하는 것과 함께 상기 제1 반응 가스에 포함되는 리간드 중 적어도 일부를 상기 제1 반응 가스로부터 분리시켜 상기 리간드 중 적어도 일부가 분리된 상기 제1 반응 가스의 질소와 상기 초기층에 포함되는 상기 소정 원소를 결합시켜, 또한 상기 리간드에 포함되는 탄소와 상기 초기층에 포함되는 상기 소정 원소를 결합시킨다.
(부기17)
부기1 내지 16 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 박막을 형성하는 공정은 상기 기판을 처리실 내에 수용한 상태에서 수행되고,
상기 제1 반응 가스를 공급하는 공정에서의 상기 처리실 내의 압력을 상기 제2 반응 가스를 공급하는 공정에서의 상기 처리실 내의 압력보다도 크게 하고, 상기 제2 반응 가스를 공급하는 공정에서의 상기 처리실 내의 압력을 상기 원료 가스를 공급하는 공정에서의 상기 처리실 내의 압력보다도 크게 한다.
(부기18)
부기1 내지 17 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제2층을 형성하는 공정에서는 상기 기판에 상기 제2 반응 가스로서 산소 함유 가스를 공급하는 것에 의해 상기 제2층으로서 상기 소정 원소, 산소, 탄소 및 질소를 포함하는 층(상기 소정 원소를 포함하는 산탄질화층), 또는 상기 소정 원소, 산소 및 탄소를 포함하는 층(상기 소정 원소를 포함하는 산탄화층)을 형성하고,
상기 박막을 형성하는 공정에서는 상기 박막으로서 상기 소정 원소, 산소, 탄소 및 질소를 포함하는 막(상기 소정 원소를 포함하는 산탄질화막), 또는 상기 소정 원소, 산소 및 탄소를 포함하는 막(상기 소정 원소를 포함하는 산탄화막)을 형성한다.
(부기19)
부기1 내지 17 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제2층을 형성하는 공정에서는 상기 기판에 상기 제2 반응 가스로서 질소 함유 가스를 공급하는 것에 의해 상기 제2층으로서 상기 소정 원소, 탄소 및 질소를 포함하는 층(상기 소정 원소를 포함하는 탄질화층)을 형성하고,
상기 박막을 형성하는 공정에서는 상기 박막으로서 상기 소정 원소, 탄소 및 질소를 포함하는 막(상기 소정 원소를 포함하는 탄질화막)을 형성한다.
(부기20)
부기1 내지 17 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제2층을 형성하는 공정에서는 상기 기판에 상기 제2 반응 가스로서 질소 함유 가스와 산소 함유 가스를 공급하는 것에 의해 상기 제2층으로서 상기 소정 원소, 산소, 탄소 및 질소를 포함하는 층(상기 소정 원소를 포함하는 산탄질화층)을 형성하고,
상기 박막을 형성하는 공정에서는 상기 박막으로서 상기 소정 원소, 산소, 탄소 및 질소를 포함하는 막(상기 소정 원소를 포함하는 산탄질화막)을 형성한다.
(부기21)
부기1 내지 17 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제2층을 형성하는 공정에서는 상기 기판에 상기 제2 반응 가스로서 질소 함유 가스를 공급하고, 그 후, 상기 제2 반응 가스로서 산소 함유 가스를 공급하는 것에 의해 상기 제2층으로서 상기 소정 원소, 산소, 탄소 및 질소를 포함하는 층(상기 소정 원소를 포함하는 산탄질화층)을 형성하고,
상기 박막을 형성하는 공정에서는 상기 박막으로서 상기 소정 원소, 산소, 탄소 및 질소를 포함하는 막(상기 소정 원소를 포함하는 산탄질화막)을 형성한다.
(부기22)
본 발명의 다른 형태에 의하면,
기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 반응 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 소정 원소, 질소 및 탄소를 포함하는 층을 형성하는 공정; 및
상기 기판에 수소 함유 가스를 공급하는 것에 의해 전기층의 표면을 개질하는 공정;
을 포함하는 사이클을 반복하는 것에 의해 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기23)
본 발명의 또 다른 형태에 의하면,
기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스와, 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 것에 의해, 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;
상기 기판에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 공정; 및
상기 기판에 수소 함유 가스를 공급하는 것에 의해 상기 제2층의 표면을 개질하는 공정;
을 포함하는 사이클을 반복하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기24)
본 발명의 다른 형태에 의하면,
기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;
상기 기판에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 공정; 및
상기 기판에 수소 함유 가스를 공급하는 것에 의해 상기 제2층의 표면을 개질하는 공정;
을 포함하는 사이클을 반복하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 공정을 포함하는 기판 처리 방법이 제공된다.
(부기25)
본 발명의 또 다른 형태에 의하면,
기판을 수용하는 처리실;
상기 처리실 내에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 원료 가스 공급계;
상기 처리실 내에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 제1 반응 가스 공급계;
상기 처리실 내에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 제2 반응 가스 공급계;
상기 처리실 내에 수소 함유 가스를 공급하는 수소 함유 가스 공급계; 및
상기 처리실 내의 상기 기판에 상기 원료 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 상기 제1 반응 가스를 공급하는 처리를 교호적으로 소정 횟수 수행하는 것에 의해, 상기 소정 원소, 질소 및 탄소를 포함하는 제1 층을 형성하는 처리와, 상기 처리실 내의 상기 기판에 상기 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 처리와, 상기 처리실 내의 상기 기판에 상기 수소 함유 가스를 공급하는 것에 의해 상기 제2층의 표면을 개질하는 처리를 포함하는 사이클을 반복하는 것에 의해, 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 처리를 수행하도록 상기 원료 가스 공급계, 상기 제1 반응 가스 공급계, 상기 제2 반응 가스 공급계 및 상기 수소 함유 가스 공급계를 제어하는 제어부;
를 포함하는 기판 처리 장치가 제공된다.
(부기26)
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 처리실 내의 기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 순서를 교호적으로 소정 횟수 수행하는 것에 의해 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 순서;
상기 처리실 내의 상기 기판에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 순서; 및
상기 처리실 내의 상기 기판에 수소 함유 가스를 공급하는 것에 의해 상기 제2층의 표면을 개질하는 순서;
를 포함하는 사이클을 반복하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 순서를 컴퓨터에 실행시키는 프로그램이 제공된다.
(부기27)
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 처리실 내의 기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 순서를 교호적으로 소정 횟수 수행하는 것에 의해, 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 순서;
상기 처리실 내의 상기 기판에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 순서; 및
상기 처리실 내의 상기 기판에 수소 함유 가스를 공급하는 것에 의해 상기 제2층의 표면을 개질하는 순서;
를 포함하는 사이클을 반복하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 순서를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121: 컨트롤러(제어부) 200: 웨이퍼(기판)
201: 처리실 202: 처리로
203: 반응관 207: 히터
231: 배기관 232a: 제1 가스 공급관
232b: 제2 가스 공급관 232c: 제3 가스 공급관
232d: 제4 가스 공급관 232e: 제5 가스 공급관

Claims (20)

  1. 기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;
    상기 기판에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 공정; 및
    상기 기판에 수소 함유 가스를 공급하는 것에 의해 상기 제2층의 표면을 개질하는 공정;
    을 포함하는 사이클을 반복하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 제1 반응 가스는 그 조성식 중에서 탄소 원자를 포함하는 리간드를 복수 포함하는 반도체 장치의 제조 방법.
  3. 제1항에 있어서, 상기 제1 반응 가스는 그 조성식 중에서 탄소 원자를 포함하는 리간드를 3개 포함하는 반도체 장치의 제조 방법.
  4. 제1항에 있어서, 상기 제1 반응 가스는 그 조성식 중에서 탄소 원자를 포함하는 리간드를 2개 포함하는 반도체 장치의 제조 방법.
  5. 제1항에 있어서, 상기 제1 반응 가스는 아민 및 유기 히드라진 중 적어도 어느 하나를 포함하는 반도체 장치의 제조 방법.
  6. 제1항에 있어서, 상기 제1 반응 가스는 에틸아민, 메틸아민, 프로필아민, 이소프로필아민, 부틸아민 및 이소부틸아민으로 이루어지는 군(群)으로부터 선택되는 적어도 1개의 아민을 포함하는 반도체 장치의 제조 방법.
  7. 제1항에 있어서, 상기 제1 반응 가스는 트리에틸아민, 디에틸아민, 트리메틸아민, 디메틸아민, 트리프로필아민, 디프로필아민, 트리이소프로필아민, 디이소프로필아민, 트리부틸아민, 디부틸아민, 트리이소부틸아민 및 디이소부틸아민으로 이루어지는 군으로부터 선택되는 적어도 1개의 아민을 포함하는 반도체 장치의 제조 방법.
  8. 제1항에 있어서, 상기 제1 반응 가스는 디에틸아민, 디메틸아민, 디프로필아민, 디이소프로필아민, 디부틸아민 및 디이소부틸아민으로 이루어지는 군으로부터 선택되는 적어도 1개의 아민을 포함하는 반도체 장치의 제조 방법.
  9. 제1항에 있어서, 상기 제1 반응 가스는 실리콘 비함유의 가스인 반도체 장치의 제조 방법.
  10. 제1항에 있어서, 상기 제1 반응 가스는 실리콘 및 금속 비함유의 가스인 반도체 장치의 제조 방법.
  11. 제1항에 있어서, 상기 소정 원소는 실리콘 또는 금속을 포함하고, 상기 할로겐 원소는 염소 또는 불소를 포함하는 반도체 장치의 제조 방법.
  12. 제1항에 있어서, 상기 제1층을 형성하는 공정에서는 상기 원료 가스에 포함되는 상기 할로겐 원소와 상기 제1 반응 가스에 포함되는 수소를 가스로서 배출하면서 상기 기판 상에 상기 제1층을 형성하는 반도체 장치의 제조 방법.
  13. 제1항에 있어서, 상기 원료 가스를 공급하는 공정에서는 상기 소정 원소와 상기 할로겐 원소를 포함하는 초기층을 형성하고,
    상기 제1 반응 가스를 공급하는 공정에서는 상기 초기층과 상기 제1 반응 가스를 반응시켜서 상기 제1층을 형성하는 반도체 장치의 제조 방법.
  14. 제13항에 있어서, 상기 제1 반응 가스를 공급하는 공정에서는 상기 초기층과 상기 제1 반응 가스를 반응시켜 상기 초기층에 포함되는 상기 할로겐 원소 중 적어도 일부를 상기 초기층으로부터 인발(引拔)하는 것과 함께, 상기 제1 반응 가스에 포함되는 리간드 중 적어도 일부를 상기 제1 반응 가스로부터 분리시키는 반도체 장치의 제조 방법.
  15. 제13항에 있어서, 상기 제1 반응 가스를 공급하는 공정에서는 상기 초기층과 상기 제1 반응 가스를 반응시켜서, 상기 초기층에 포함되는 상기 할로겐 원소 중 적어도 일부를 상기 초기층으로부터 인발하는 것과 함께, 상기 제1 반응 가스에 포함되는 리간드 중 적어도 일부를 상기 제1 반응 가스로부터 분리시켜 상기 리간드 중 적어도 일부가 분리된 상기 제1 반응 가스의 질소와 상기 초기층에 포함되는 상기 소정 원소를 결합시키는 반도체 장치의 제조 방법.
  16. 제13항에 있어서, 상기 제1 반응 가스를 공급하는 공정에서는 상기 초기층과 상기 제1 반응 가스를 반응시켜서, 상기 초기층에 포함되는 상기 할로겐 원소 중 적어도 일부를 상기 초기층으로부터 인발하는 것과 함께, 상기 제1 반응 가스에 포함되는 리간드 중 적어도 일부를 상기 제1 반응 가스로부터 분리시켜, 상기 리간드 중 적어도 일부가 분리된 상기 제1 반응 가스의 질소와 상기 초기층에 포함되는 상기 소정 원소를 결합시키고, 또한 상기 리간드에 포함되는 탄소와 상기 초기층에 포함되는 상기 소정 원소를 결합시키는 반도체 장치의 제조 방법.
  17. 제1항에 있어서, 상기 박막을 형성하는 공정은 상기 기판을 처리실 내에 수용한 상태에서 수행되고,
    상기 제1 반응 가스를 공급하는 공정에서의 상기 처리실 내의 압력을 상기 제2 반응 가스를 공급하는 공정에서의 상기 처리실 내의 압력보다도 크게 하고, 상기 제2 반응 가스를 공급하는 공정에서의 상기 처리실 내의 압력을 상기 원료 가스를 공급하는 공정에서의 상기 처리실 내의 압력보다도 크게 하는 반도체 장치의 제조 방법.
  18. 기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;
    상기 기판에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 공정; 및
    상기 기판에 수소 함유 가스를 공급하는 것에 의해 상기 제2층의 표면을 개질하는 공정;
    을 포함하는 사이클을 반복하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 공정을 포함하는 기판 처리 방법.
  19. 기판을 수용하는 처리실;
    상기 처리실 내에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 원료 가스 공급계;
    상기 처리실 내에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 제1 반응 가스 공급계;
    상기 처리실 내에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 제2 반응 가스 공급계;
    상기 처리실 내에 수소 함유 가스를 공급하는 수소 함유 가스 공급계; 및
    상기 처리실 내의 상기 기판에 상기 원료 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 상기 제1 반응 가스를 공급하는 처리를 교호적으로 소정 횟수 수행하는 것에 의해, 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 처리와, 상기 처리실 내의 상기 기판에 상기 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 처리와, 상기 처리실 내의 상기 기판에 상기 수소 함유 가스를 공급하는 것에 의해 상기 제2층의 표면을 개질하는 처리를 포함하는 사이클을 반복하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 처리를 수행하도록 상기 원료 가스 공급계, 상기 제1 반응 가스 공급계, 상기 제2 반응 가스 공급계 및 상기 수소 함유 가스 공급계를 제어하는 제어부;
    를 포함하는 기판 처리 장치.
  20. 기판 처리 장치의 처리실 내의 기판에 소정 원소와 할로겐 원소를 포함하는 원료 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 탄소, 질소 및 수소의 3원소로 구성되고 조성식 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 제1 반응 가스를 공급하는 순서를 교호적으로 소정 횟수 수행하는 것에 의해 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 순서;
    상기 처리실 내의 상기 기판에 상기 원료 가스 및 상기 제1 반응 가스와는 다른 제2 반응 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 순서; 및
    상기 처리실 내의 상기 기판에 수소 함유 가스를 공급하는 것에 의해 상기 제2층의 표면을 개질하는 순서;
    를 포함하는 사이클을 반복하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 박막을 형성하는 순서를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
KR1020120141818A 2011-12-09 2012-12-07 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체 KR101402644B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2011-270724 2011-12-09
JP2011270724 2011-12-09
JPJP-P-2012-233851 2012-10-23
JP2012233851A JP6049395B2 (ja) 2011-12-09 2012-10-23 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
KR20130065610A KR20130065610A (ko) 2013-06-19
KR101402644B1 true KR101402644B1 (ko) 2014-06-03

Family

ID=48572369

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120141818A KR101402644B1 (ko) 2011-12-09 2012-12-07 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체

Country Status (3)

Country Link
US (2) US9053927B2 (ko)
JP (1) JP6049395B2 (ko)
KR (1) KR101402644B1 (ko)

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6039996B2 (ja) * 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20140117437A (ko) * 2011-12-28 2014-10-07 도호쿠 다이가쿠 배선 구조체, 배선 구조체를 구비한 반도체 장치 및 그 반도체 장치의 제조 방법
JP5869923B2 (ja) * 2012-03-09 2016-02-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6035161B2 (ja) * 2012-03-21 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5788448B2 (ja) * 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6224258B2 (ja) * 2014-09-08 2017-11-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102396111B1 (ko) 2015-06-18 2022-05-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP5957128B2 (ja) * 2015-07-29 2016-07-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102454894B1 (ko) * 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
KR102458309B1 (ko) * 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6594804B2 (ja) 2016-03-11 2019-10-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6529927B2 (ja) * 2016-04-15 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10367080B2 (en) * 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6602261B2 (ja) * 2016-05-23 2019-11-06 東京エレクトロン株式会社 成膜方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN109585267B (zh) 2017-09-29 2023-12-01 住友电气工业株式会社 氮化硅膜的形成方法
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP6946989B2 (ja) * 2017-12-06 2021-10-13 住友電気工業株式会社 窒化珪素パッシベーション膜の成膜方法及び半導体装置の製造方法
CN111433390B (zh) 2017-12-22 2022-09-27 株式会社村田制作所 成膜装置
WO2019124099A1 (ja) * 2017-12-22 2019-06-27 株式会社村田製作所 成膜装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP2019145589A (ja) 2018-02-16 2019-08-29 東芝メモリ株式会社 半導体装置の製造方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102541454B1 (ko) * 2018-04-26 2023-06-09 삼성전자주식회사 저유전막의 형성 방법, 및 반도체 소자의 형성방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP6905505B2 (ja) * 2018-12-13 2021-07-21 株式会社Kokusai Electric 半導体装置の製造方法、表面処理方法、基板処理装置、およびプログラム
US11322347B2 (en) * 2018-12-14 2022-05-03 Applied Materials, Inc. Conformal oxidation processes for 3D NAND
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
JP7249930B2 (ja) * 2019-11-20 2023-03-31 東京エレクトロン株式会社 成膜方法および成膜装置
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7437362B2 (ja) * 2021-09-28 2024-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、基板処理方法及びプログラム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040005568A (ko) * 2002-07-08 2004-01-16 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
KR20040107354A (ko) * 2003-06-12 2004-12-20 삼성전자주식회사 원자층 증착법에 의한 이산화실리콘막 형성 방법 및이로부터 얻어지는 반도체 소자
KR20070100409A (ko) * 2005-12-05 2007-10-10 동경 엘렉트론 주식회사 유전체막의 성막 방법
US20120009802A1 (en) 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7171254B2 (en) 2003-03-21 2007-01-30 General Electric Company RF coil embedded with homogeneity enhancing material
JP2006024668A (ja) 2004-07-07 2006-01-26 Fujitsu Ltd 半導体装置の製造方法
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
KR101060633B1 (ko) * 2006-07-20 2011-08-31 신에쓰 가가꾸 고교 가부시끼가이샤 반도체 디바이스의 제조 방법 및 기판 처리 장치
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
KR101266135B1 (ko) * 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
TWI498447B (zh) 2010-04-01 2015-09-01 Air Liquide 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積
JP5374638B2 (ja) * 2010-04-09 2013-12-25 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP6039996B2 (ja) * 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040005568A (ko) * 2002-07-08 2004-01-16 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
KR20040107354A (ko) * 2003-06-12 2004-12-20 삼성전자주식회사 원자층 증착법에 의한 이산화실리콘막 형성 방법 및이로부터 얻어지는 반도체 소자
KR20070100409A (ko) * 2005-12-05 2007-10-10 동경 엘렉트론 주식회사 유전체막의 성막 방법
US20120009802A1 (en) 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition

Also Published As

Publication number Publication date
US9437422B2 (en) 2016-09-06
KR20130065610A (ko) 2013-06-19
JP2013140945A (ja) 2013-07-18
US9053927B2 (en) 2015-06-09
US20150235843A1 (en) 2015-08-20
US20130149874A1 (en) 2013-06-13
JP6049395B2 (ja) 2016-12-21

Similar Documents

Publication Publication Date Title
KR101402644B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101396255B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101338979B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101440449B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101549775B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101680940B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101378478B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR101610628B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101461310B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독가능한 기록 매체
KR101493389B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101628211B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP6239079B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170504

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180503

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190503

Year of fee payment: 6