KR101389801B1 - 기판 표면을 세정하기 위한 방법 및 장치 - Google Patents

기판 표면을 세정하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR101389801B1
KR101389801B1 KR1020117022788A KR20117022788A KR101389801B1 KR 101389801 B1 KR101389801 B1 KR 101389801B1 KR 1020117022788 A KR1020117022788 A KR 1020117022788A KR 20117022788 A KR20117022788 A KR 20117022788A KR 101389801 B1 KR101389801 B1 KR 101389801B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
plasma
cleaning
processing
Prior art date
Application number
KR1020117022788A
Other languages
English (en)
Other versions
KR20110120359A (ko
Inventor
에롤 안토니오 씨. 산체즈
요하네스 스웬버그
데이비드 케이. 칼슨
로이신 엘. 도허티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110120359A publication Critical patent/KR20110120359A/ko
Application granted granted Critical
Publication of KR101389801B1 publication Critical patent/KR101389801B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/976Temporary protective layer

Abstract

본 발명은 반도체 기판 상에 깨끗하고 손상 없는 표면을 형성하기 위한 장치 및 방법을 일반적으로 제공한다. 본 발명의 일 실시예는 위에 에피택셜층을 형성하기 이전에 플라즈마 세정 프로세스로 기판의 표면을 노출시키도록 이루어진 세정 챔버를 포함한 시스템을 제공한다. 일 실시예에서, 기판 상에서 세정 프로세스를 수행하기 이전에 세정 챔버의 내부면 상에 게터링 물질을 증착시킴에 의해 세정 챔버에서 처리된 기판의 오염을 감소시키는 방법이 이용된다. 일 실시예에서, 그 위에 위치한 에피택셜을 가질 수 있는 기판 상에 깨끗한 표면을 생성하거나 또는 노출시키도록 세정 챔버의 기판 상에서 산화 및 에칭 단계가 반복적으로 수행된다. 일 실시예에서, 낮은 에너지 플라즈마가 세정 단계 동안 이용된다.

Description

기판 표면을 세정하기 위한 방법 및 장치 {METHOD AND APPARATUS FOR CLEANING A SUBSTRATE SURFACE}
본 발명의 실시예들은 일반적으로 반도체 기판을 프로세싱하기 위한 방법 및 장치에 관한 것이다. 더욱 구체적으로, 본 발명의 실시예들은 실리콘 기판의 표면을 세정하기 위한 방법 및 장치에 관한 것이다.
집적 회로는 실리콘 및 다른 반도체 기판 내에 그리고 기판 상에 형성된다. 단결정 실리콘의 경우에, 기판은 용해된 실리콘 배스(bath)로부터 잉곳(ingot)을 성장시키고 이후 고형화된 잉곳을 다수의 웨이퍼로 소우잉(sawing) 함에 의해 만들어진다. 에피택셜 실리콘층(epitaxial silicon layer)은 이후 단결정 실리콘 웨이퍼 상에 형성될 수 있고, 이에 의해 도핑되거나 또는 도핑되지 아니할 수 있는 결함 없는 실리콘층을 형성한다. 트랜지스터와 같은 반도체 소자는 에피택셜 실리콘층으로 제조된다. 형성된 에피택셜 실리콘층의 전기적 성질은 일반적으로 단결정 실리콘 기판의 성질보다 뛰어날 것이다.
단결정 실리콘의 표면 및 에피택셜 실리콘층의 표면은 일반적인 대기 환경에 노출될 때 오염에 민감하다. 따라서, 기판은 에피택셜층의 형성과 같은 다양한 반도체 프로세스를 수행하기 이전에 실리콘 웨이퍼 표면 상에서 발견된 불순물 및 입자를 제거하도록 세정될 필요가 있다.
종래에는 반도체 기판은 습식 세정 프로세스 또는 종래의 플라즈마 세정 프로세스를 이용하여 세정되었다. 그러나, 습식 세정 프로세스는 "대기열 시간(queue time)" 문제를 갖고, 이는 로트 내의(in a lot) 서로 상이한 웨이퍼들에 대한 아이들 시간(idle times)을 변경시킴에 의해 웨이퍼 로트에서 웨이퍼 마다의 차이를 야기할 수 있다. 종래의 원격 또는 인시츄 플라즈마 세정 프로세스에서는 세정 프로세스 동안 또는 가스상에서 형성된 원하지 않는 종들의 생성에 의한 챔버에서 처리되는 기판 및 챔버의 오염물질에 의해, 매우 많은 문제가 발생될 수 있다. 이러한 원하지 않는 종은 원하는 종의 세정 작용을 제한하거나 또는 세정 프로세스에 다른 복잡함을 유도한다.
따라서, 특히 에피택셜 증착 프로세스를 수행하기 이전에 기판 표면을 세정하기 위한, 기판 표면 세정 방법 및 장치에 대한 요구가 있다.
본 발명은 기판을 프로세싱하기 위한 장치를 일반적으로 제공하고, 이 장치는: 프로세싱 영역을 형성하는 하나 이상의 벽을 포함한 낮은 에너지 세정 챔버; 프로세싱 영역으로 전자기 에너지를 전달하도록 이루어진 플라즈마 생성 소스; 프로세싱 영역으로 실리콘 함유 가스를 전달하도록 이루어진 제 1 가스 소스; 프로세싱 영역으로 산화 가스를 전달하도록 이루어진 제 2 가스 소스; 에피택셜층 증착 챔버 그리고 낮은 에너지 세정 챔버 내의 제 1 위치와 에피택셜층 증착 챔버의 제 1 위치 사이에서 기판을 전달하도록 이루어진 로봇; 및 전달 영역을 에워싸는 하나 이상의 벽을 가진 전달 챔버;를 포함한다.
또한, 본 발명의 실시예는 프로세싱 영역을 형성하는 하나 이상의 벽; 프로세싱 영역으로 전자기 에너지를 전달하도록 이루어진 플라즈마 생성 소스; 프로세싱 영역 내에 위치한 쉴드로서, 상기 쉴드가 실리콘, 이트륨, 이트륨 산화물, 게르마늄, 붕소, 인 및 실리콘 게르마늄 화합물로 이루어진 그룹으로부터 선택된 물질로 제조되는, 쉴드; 기판 지지면 및 RF 전력 서플라이에 의해 바이어스되도록 이루어진 바이어싱 전극을 가지는 기판 지지대; 프로세싱 영역으로 산화 가스를 전달하도록 이루어진 제 1 가스 소스; 프로세싱 영역으로 비활성 가스를 전달하도록 이루어진 제 2 가스 소스; 및 프로세싱 영역으로 실리콘 함유 가스를 전달하도록 이루어진 제 3 가스 소스를 포함하는, 낮은 에너지 세정 프로세스를 수행하기 위한 장치를 제공한다.
또한, 본 발명의 실시예는 에피택셜층을 형성하는 방법을 제공하고, 이러한 방법은 세정 챔버의 프로세싱 영역에 위치한 챔버 구성요소의 표면 상에 게터링층을 증착시키는 단계, 세정 챔버의 프로세싱 영역 내에 위치한 기판 지지대 상에 기판을 위치시키는 단계, 기판 지지대 상에 위치한 기판의 표면을 산화시키는 단계, 기판 지지대 상에 위치한 기판의 산화 표면의 적어도 일부분을 제거하는 단계, 수소 함유 가스에 표면을 노출시킴에 의해 산화 표면의 적어도 일부분을 제거한 이후 기판의 표면을 패시베이트하는 단계, 세정 챔버로부터 에피택셜층 증착 챔버로 기판을 전달하는 단계, 및 패시베이트된 표면의 적어도 일부분 상에 에피택셜층을 증착시키는 단계를 포함한다.
또한, 본 발명의 일 실시예는 낮은 에너지 세정 프로세스를 수행하기 위한 장치를 제공하고, 그러한 장치는: 프로세싱 영역을 형성하는 하나 이상의 벽으로서, 상기 하나 이상의 벽 중 적어도 하나는 하나 이상의 벽의 적어도 하나의 온도를 제어하도록 열교환 소자를 포함하는, 하나 이상의 벽; 프로세싱 영역으로 전자기 에너지를 전달하도록 이루어진 플라즈마 생성 소스; 프로세싱 영역 내에 위치한 쉴드; 기판 지지면, RF 전력 서플라이에 의해 바이어스 되도록 이루어진 바이어싱 전극 및 기판 지지면의 온도를 제어하기 위한 기판 지지 열교환 소자를 갖는 기판 지지대; 프로세싱 영역으로 산화 가스를 전달하도록 이루어진 제 1 가스 소스; 및 프로세싱 영역으로 에칭 가스를 전달하도록 이루어진 제 2 가스 소스를 포함한다.
본 발명의 상기 언급된 특징들을 더욱 자세하게 이해할 수 있도록, 상기에서 간략히 요약된 본 발명의 보다 상세한 설명은 실시예를 참고로 하여 설명될 수 있고, 이 중 일부는 첨부된 도면에서 도시된다. 그러나, 첨부된 도면들은 본 발명의 오직 전형적인 실시예들 만을 도시하는 것이고 따라서 그 범위를 제한하려는 의도는 아니며 본 발명은 다른 동등하게 효과적인 실시예를 허용할 수 있음을 주의해야 한다.
도 1은 본 발명의 일 실시예에 따른 세정 챔버의 측단면도를 개략적으로 도시한다.
도 2a는 본 발명의 다른 실시예에 따른 세정 챔버의 측단면도를 개략적으로 도시한다.
도 2b는 본 발명의 일 실시예에 따른 세정 챔버의 측단면도를 개략적으로 도시한다.
도 3은 본 발명의 일 실시예에 따른 반도체 기판을 세정하기 위한 방법의 순서도를 도시한다.
도 4는 세정 챔버의 부분적 측단면도를 개략적으로 도시한다.
도 5는 본 발명의 일 실시예에 따른 클러스터 툴의 평면도를 개략적으로 도시한다.
이해를 돕기 위해, 동일한 도면 부호가 가능한 도면에서 공통적인 동일한 요소들을 지칭하도록 이용되었다. 일 실시예에서 개시된 요소들은 특별한 언급이 없이 다른 실시예 상에서 이롭게 이용될 수 있음을 고려해야 한다.
본 발명은 반도체 기판(또는 웨이퍼) 상에 깨끗하고 손상 없는 표면을 형성하기 위한 장치 및 방법을 일반적으로 제공한다. 본 발명의 일 실시예는 에피택셜층을 위에 형성하기 이전에 플라즈마 세정 프로세스에 기판 표면을 노출시키도록 이루어진 세정 챔버를 포함한 시스템을 제공한다. 일 실시예에서, 기판 상의 세정 프로세스를 수행하기 이전에 세정 챔버의 내부면 상에 게터링 물질(gettering material)을 증착함에 의해 세정 챔버에서 프로세스된 기판의 오염을 감소시키는 방법이 이용된다. 게터링 물질은 세정 챔버에서 발견된 오염 물질을 포획하는 경향이 있을 것이고, 따라서 프로세스된 기판이 깨끗한 것을 보장하며 챔버에서 프로세스되는 추후의 기판들이 동일한 원하는 세정 결과를 가질 것이라는 것을 보장한다. 일 실시예에서, 산화 및 에칭 단계들은 세정 챔버에서 기판 상에서 반복적으로 수행되고, 이에 의해 기판 상에 깨끗한 표면을 만들거나 또는 노출시키며, 이러한 깨끗한 표면은 이후 그 위에 위치된 에피택셜 필름을 가질 수 있다. 일 실시예에서, 낮은 에너지 플라즈마가 에칭 단계 동안 이용된다. 플라즈마의 낮은 에너지는 기판 RF 바이어스 전력을 낮추는 단계, 기판을 가로질러 가해진 바이어스 포텐셜을 조절하는 단계, 프로세싱 챔버에서 플라즈마를 생성하는데 이용된 RF 전력을 펄스하는 단계, 기판 RF 바이어스 전력을 펄스하는 단계, 가벼운 원자핵종(light atomic species)을 포함한 플라즈마를 형성하는 단계, 플라즈마를 한정하도록 플라즈마 쉴드를 이용하는 단계, 플라즈마에 대해 기판의 프로세싱 위치를 조절하는 단계, 및/또는 이의 조합에 의해 이루어질 수 있다.
세정 챔버
도 1은 본 발명의 일 실시예에 따른 세정 챔버(100)의 측단면도를 개략적으로 도시한다. 세정 챔버(100)는 프로세싱 영역(122)에서 기판(102)을 세정할 수 있는 유도적으로 커플링된 플라즈마 프로세싱 챔버이다. 일 실시예에서, 세정 챔버(100)는 유도적으로 커플링된 라디오 주파수(RF) 소스를 이용하는 산타 클라라의 어플라이드 머티어리얼스사로부터 구입 가능한 변경된 디커플된 플라즈마 질화(Decoupled Plasma Nitridation; DPN) 챔버이다. DPN 챔버의 상세한 설명은 여기서 설명된 프로세스들 중 하나 이상을 수행하도록 이루어질 수 있고, 이는 여기서 전체가 참조로서 통합된 "기판을 프로세싱하기 위한 플라즈마 방법 및 장치"라는 명칭의 미국 특허 제 6,660,659호 및 "플라즈마 질화된 게이트 유전체층에서 질소 프로파일을 향상시키기 위한 방법"이라는 명칭의 미국 특허 제 7,122,454호에서 찾을 수 있다.
세정 챔버(100)는 일반적으로 RF 소스 어셈블리(191), 프로세스 챔버 어셈블리(193) 및 기판 지지 어셈블리(194)를 포함한다. 프로세스 챔버 어셈블리(193)는 프로세싱 영역(122)에서 진공을 형성하는데 이용되는 다수의 구성요소를 일반적으로 포함하고, 이에 의해 플라즈마 프로세스는 그 안에서 수행될 수 있다. 일반적으로 프로세스 챔버 어셈블리(193)는 프로세싱 영역(122)을 밀봉 가능하게 에워싸는 챔버 베이스(127), 챔버 벽(128) 및 챔버 뚜껑(129)을 포함한다. 프로세싱 영역(122)은 챔버 벽(128) 및/또는 챔버 베이스(127)를 통해 프로세싱 영역(122)에 연결된 진공 펌프(110)를 이용함에 의해 원하는 진공 압력으로 비워질 수 있다. 일반적으로, 챔버 벽(128) 및 챔버 베이스(127)는 알루미늄과 같은 금속 또는 다른 적절한 물질로 형성될 수 있다.
일 실시예에서, 챔버 벽(128) 및 챔버 뚜껑(129)은 온도 제어될 수 있다. 종래의 방법들 및/또는 열교환 소자들은 다양한 챔버 구성요소를 가열하고 냉각하는데 이용될 수 있다. 예를 들면, 챔버 벽(128) 및 챔버 뚜껑(129)은 프로세스 챔버 어셈블리(193)의 외부에 위치한 램프 배열과 같은 히터(미도시)에 의해 가열될 수 있다. 다른 예에서, 냉각 가스들은 프로세스 챔버 어셈블리(193) 외부에서 순환될 수 있고, 이에 의해 챔버 벽(128) 및 챔버 뚜껑(129)을 냉각시킨다. 다른 예에서, 챔버 벽(128) 및 챔버 뚜껑(129)에 내장될(embedded) 수 있는 가열 및/또는 냉각 도관은 온도를 제어하기 위해 유체 가열기/냉각기 소자에 연결될 수 있다. 프로세스 챔버 어셈블리(193)의 온도를 제어하는데 이용될 수 있는 방법 및 장치는 여기서 참조로서 통합된 "프로세싱 동안 반응 챔버의 벽의 온도를 제어하기 위한 방법"이라는 명칭의 미국 특허 제 6,083,323호에서 찾을 수 있다.
일 실시예에서, RF 소스 어셈블리(191)는 코일(109)에 연결된 RF 매치 회로(108A) 및 RF 생성기(RF generator; 108)를 일반적으로 포함한 유도성 유형의 RF 소스이다. 코일(109)은 챔버 뚜껑(129)에 인접하여 위치한다. 일 실시예에서, RF 생성기(108)는 약 400kHz 내지 약 60MHz의 주파수에서 약 0 내지 약 3000W에서 작동할 수 있다. 일례에서, RF 생성기(108)는 13.56MHz의 주파수에서 작동한다. 일 실시예에서, RF 생성기(108)는 코일(109)에 RF 에너지의 펄스를 제공할 수 있고, 이에 의해 감소된 에너지 레벨 및/또는 플라즈마 밀도를 갖는 플라즈마를 생성한다.
챔버 뚜껑(129)은 일반적으로 유도성 RF 소스 어셈블리(191)로부터 전달된 RF 에너지가 프로세싱 영역(122)에서 플라즈마를 형성하는 것을 가능하게 하도록 이루어진 유전체 구성요소(예를 들어 석영, 세라믹 물질(예를 들어 알루미나))이다.
일 실시예에서, 프로세스 챔버 어셈블리(193)는 또한, 챔버 베이스(127), 챔버 벽(128) 및 챔버 뚜껑(129)에 의해 형성된 프로세싱 영역(122)으로 하나 이상의 프로세스 가스를 전달하도록 이루어진 가스 전달 시스템(150)을 포함한다. 일 실시예에서, 프로세싱 영역(122)은 챔버에서 수행된 준비 프로세스 및 생성된 플라즈마로부터 챔버 벽(128) 및/또는 챔버 뚜껑(129)을 보호하는 것으로 의도된 하나 이상의 쉴드(shield; 130)로 둘러싸인다. 일 실시예에서, 가스 전달 시스템(150)은 높은 농도 오존(O3)을 함유한 가스의 흐름을 생성하도록 구성된 오존 발생기(ozonator)를 포함한다. 일 실시예에서, 가스 전달 시스템은 실리콘 함유 가스(예를 들어 실란), 수소 함유 가스(예를 들어 H2), 게르마늄 함유 가스, 염소 함유 가스, 산소 함유 가스(예를 들어 O2), 트리플루오르화 질소(NF3), 붕소 함유 가스(예를 들어 디보란), 및/또는 인 함유 가스(예를 들어 포스핀)와 같은 반응성 가스를 전달하도록 이루어지고, 이러한 반응성 가스들의 소수만 열거하였다. 일 실시예에서, 가스 전달 시스템은 아르곤(Ar), 헬륨(He), 크립톤(Kr) 및/또는 질소(N2)와 같은 비활성 가스를 전달하도록 이루어진다. 프로세싱 영역(122)에서의 압력은 진공 펌프(110)의 펌핑 속도 및 가스 전달 시스템(150)에 의해 전달된 가스의 유량(flow rate)을 조절함에 의해 제어될 수 있다. 스로틀 밸브(throttle valve; 111)는 진공 펌프(110)의 펌핑 속도를 조절하는데 이용될 수 있다.
기판 지지 어셈블리(194)는 기판 지지 부재(162A)를 포함한 기판 지지대(162)를 일반적으로 포함한다. 기판 지지 부재(162A)는 프로세싱 동안 기판을 능동적(actively)으로 지지하는데 이용될 수 있는 종래의 정전기적 처크일 수 있거나 또는 간단한 기판 지지대를 포함할 수 있다. 온도 제어기(161)는 일반적으로 종래의 열 교환기(미도시)에 커플링된 내장된 저항성 가열 요소 또는 유체 냉각 채널과 같은 열교환 소자 및 온도 제어기(161)를 이용하여 원하는 온도로 기판 지지 부재(162A)를 가열 및/또는 냉각시키도록 이루어진다. 일 실시예에서, 온도 제어기(161)는 약 20℃ 내지 약 800℃의 온도로 기판 지지 부재(162A) 상에 위치한 기판(102)을 작동시키고 가열하도록 이루어진다.
프로세싱 동안, 기판 지지대(162)는 RF 생성기(123)에 연결될 수 있고, 이에 의해 RF 바이어스가 기판 지지대(162)의 일부분 내에 배치된 전도성 요소에 가해질 수 있으며 이로써 프로세싱 영역(122)에 형성된 플라즈마 내에 존재하는 이온을 기판(102)의 표면으로 끌어당긴다. 일 실시예에서, RF 생성기(123)는 기판 세정 프로세스의 하나 이상의 부분 동안 기판 상의 캐소딕 또는 애노딕 바이어스를 생성하도록 이루어지고, 이에 의해 기판 표면의 이온 및 플라즈마 충돌의 양을 제어하고 및/또는 기판 상에 존속하는 전하를 조절한다. 일 실시예에서, 기판 지지 부재(162A)는 접지되거나 또는 DC(직류) 바이어스된다. 다른 실시예에서, 기판 지지 부재(162A) 및 기판은 플라즈마 프로세스 동안 전기적으로 플로팅(floating) 상태이고 이에 의해 기판(102)의 이온 충돌 손상을 최소화한다.
도 1을 참고하면, RF 생성기(108)로부터 프로세싱 영역(122)으로 RF 에너지를 전달함에 의해 프로세싱 영역(122)의 가스 원자들을 이온화시킨다. 기판이 세정 프로세스 동안 프로세싱 영역(122)에서 생성된 플라즈마에 노출될 때, 기판(102)의 표면을 때리는 플라즈마의 이온화된 원자들에 의해 전달된 에너지에 의해 기판(102) 표면 상의 오염 물질이 표면으로부터 제거(desorb)되거나 또는 떨어져나갈 수 있다. 일 실시예에서, 플라즈마 내의 이온화된 가스 원자들은 기판 지지 부재(162A)를 통해 기판(102)에 가해진 바이어스에 의해 기판(102)의 표면으로 당겨질 수 있다.
일 실시예에서, RF 생성기(108)에 의해 코일(109)로 전달된 RF 전력은 낮은 에너지 플라즈마를 형성하도록 펄스된다. 일 실시예에서, 펄스된 플라즈마 프로세스는 일반적으로 RF 생성기(108)에 의한 코일(109)을 이용하여 시간의 함수로서 프로세싱 영역(122)으로 전달되는 일련의 순차적 에너지 펄스이다. 프로세싱 영역(122)에서 형성된 플라즈마를 여기시키기 위해 유도성 RF 소스를 펄스하는 것은 종래의 플라즈마 프로세싱 챔버에서 공통적으로 형성되는 플라즈마 포텐셜에 의해 기판 표면으로 야기되는 손상의 양을 최소화할 것이다. 세정 프로세스에 의해 기판 표면에 야기된 어떠한 손상을 최소화하거나 또는 제거하는데에 대한 요구는 에피택셜층을 그 위에 형성하기 위해 준비되는 단결정 기판에 있어서 특히 중요하다. 기판의 표면에 대한 손상은 형성된 에피택셜층에서의 결함 및 응력의 수를 줄이기 위해 최소화될 필요가 있다. 따라서, 유도성 RF 소스 전력을 펄스하는 것은 낮은 전자 온도 및 낮은 이온 에너지 플라즈마를 생성하고 유지시키는 것을 가능하게 한다. 일반적으로, 펄스된 RF 유도성 플라즈마에 의해 생성된 이온은 낮은 이온 에너지(예를 들어 < 10eV)를 가진 이온을 만들고, 이는 플라즈마 내에 위치한 기판에 손상을 입히지 않을 것이다. 여기서 설명된 하나 이상의 실시예를 유익하게 하도록 이루어질 수 있는 RF 전력을 펄스하는 방법의 예는 여기서 참조로서 통합된 2003년 6월 12일 출원되고 공통으로 양도된 미국 특허 제 6,831,021호에서 추가적으로 논의된다.
도 2a는 본 발명의 다른 실시예에 따른 세정 챔버(100a)의 측단면도를 개략적으로 도시한다. 세정 챔버(100a)는 용량성으로 커플링된 플라즈마 챔버이다. 세정 챔버(100a)는 프로세스 영역(133)을 형성하고 프로세스 챔버 어셈블리(196)에 밀봉 가능하게 커플링된 챔버 뚜껑(129)을 포함한다. 이러한 구성에서, 챔버 뚜껑 어셈블리(130)는 가스 분배 플레이트(132)(또한 샤워 헤드라고도 알려져 있음) 및 상기 가스 분배 플레이트(132)에 거의 평행한 블로커 플레이트(blocker plate; 134)를 가진 베이스 플레이트(131)를 포함한다. 가스 분배 플레이트(132)는 전기적 절연체(135)를 이용하여 챔버벽(128)으로부터 절연된다. 챔버 뚜껑 어셈블리(130)는 가스 전달 어셈블리(150)에 연결된다. 가스 전달 시스템(150)으로부터의 세정 가스 및/또는 반응 물질은 가스 통로(136)를 통해 프로세스 영역(133)으로 유동할 수 있다. RF 소스 어셈블리(191)는 플라즈마 생성을 위해 RF 전력 소스를 제공하는 베이스 플레이트(131)에 커플링된다. 용량성 플라즈마 생성을 위한 RF 소스는 일반적으로 예를 들어 13.56MHz RF 생성기와 같은 라디오 주파수(RF) 전력원을 포함한다. 프로세싱 동안, 기판 지지 부재(162A)는 접지될 수 있다. 기판 지지 부재(162A) 및 베이스 플레이트(131) 사이의 바이어스 포텐셜은 프로세스 영역(133)에서 플라즈마를 점화할 수 있다. 플라즈마에서 활성화된 종은 기판(102)을 프로세스하는데 이용될 수 있다. 프로세싱 동안 기판 지지대(162A)는 RF 생성기(123)에 연결될 수 있고, 이에 의해 RF 바이어스가 기판 지지 부재(162A)의 부분들에 배치된 전도성 요소에 가해질 수 있으며, 이에 의해 기판(102)의 표면으로 프로세싱 영역(122)에서 생성되었던 플라즈마에 존재하는 이온들을 끌어당긴다. 용량성으로 커플링된 플라즈마 반응기의 더욱 구체적인 설명은 여기서 참조로서 통합된 "화학 기상 증착 시스템에서 가스를 분배하기 위한 장치"라는 명칭의 미국 특허 제 6,495,233호에서 찾을 수 있다.
상기 설명된 세정 챔버(100 및 100a)(도 1 및 2)는 반도체 기판을 세정하는데 이용될 수 있다. 특히, 본 발명의 세정 챔버(100 및 100a)는 실리콘 표면에 대해 손상 없는 세정을 수행하는데 이용될 수 있다.
다른 실시예에서, 세정 챔버는 마이크로파 에너지 소스(미도시)를 이용할 수 있고, 이에 의해 여기서 논의된 세정 프로세스를 수행하는데 이용되는 플라즈마를 생성한다.
기판 표면을 세정하기 위한 방법
도 3은 본 발명의 일 실시예에 따른 반도체 기판을 세정하기 위한 방법(200)의 흐름도를 도시한다. 일 실시예에서, 상기 방법(200)은 상기 설명된 세정 챔버(100 또는 100a)에서 수행될 수 있다. 일 실시예에서, 세정 프로세스는 일반적으로 플라즈마 세정 프로세스를 이용하여 반도체 기판 상에 깨끗하고 손상 없는 표면을 형성하는 방법을 제공한다.
단계(212)에서, 세정 챔버(100) 또는 세정 챔버(100a)와 같은 세정 챔버의 내부면이 재생될 수 있다. 일 실시예에서, 단계(212)는 세정 챔버의 다양한 내부면에서 발견된 어느 원하지 않는 잔류 물질 및/또는 오염 물질을 제거하는 에칭 프로세스를 수행하는 단계를 포함한다. 종래의 스퍼터 에칭 및/또는 화학적으로 보조되는 에칭 프로세스는 챔버벽 또는 쉴드(130)와 같은 세정 챔버의 내부면을 재생하도록 수행될 수 있다.
일 실시예에서, 반응성 가스의 원격 또는 인시츄 플라즈마는 세정 챔버의 내부면 상에서 오염 물질을 제거하는데 이용될 수 있다. 반응성 가스는 일반적으로 이용되는 할로겐 및 할로겐 화합물을 포함한 넓은 범위의 가스들로부터 선택될 수 있다. 예를 들면, 반응성 가스는 염소, 플루오르 또는 이의 화합물일 수 있고, 이는 예를 들어 제거되는 증착 물질에 따라 트리플루오르화 질소(NF3), 테트라플루오르화 탄소(CF4), 헥사플루오르화 황(SF6), 헥사플루오로에탄(C2F6), 테트라클로르화 탄소(CCl4), 헥사클로로에탄(C2Cl6), 또는 이의 조합물이다.
일 실시예에서, 아르곤, 질소, 헬륨, 수소 또는 산소 등과 같은 캐리어 가스는 세정 챔버의 프로세싱 영역으로 전달될 수 있고, 이에 의해 원하지 않는 종의 제거를 돕고 및/또는 에칭 프로세스를 돕거나, 또는 세정 챔버에서 플라즈마를 개시하고 및/또는 안정화하는 것을 돕는다.
일 실시예에서, 세정 가스는 세정 챔버내로 전달될 수 있고, 이에 의해 세정 챔버의 내부면 상의 실리콘(Si)과 같은 게터링 물질(이하에서 논의됨)을 포함한 코팅을 에칭한다. 세정 가스는 가열된 트리플루오르화 질소(NF3), 염화 수소(HCl) 또는 이의 조합을 포함할 수 있다. 일 실시예에서, 종래의 원격 플라즈마 소스(RPS)는 프로세스 챔버의 프로세싱 영역에 커플링될 수 있다. RPS는 일반적으로 분해된 플루오르와 같은 반응성 세정제를 제공하고, 이는 진공 펌프(110)에 의해 비워진 증착물 및 다른 프로세스 부산물을 챔버 구성요소로부터 제거한다.
단계(214)에서, 셔터 디스크(shutter disk) 또는 더미 기판(dummy substrate)은 도 1 및 2a의 기판 지지 부재(162A)와 같은 기판 지지 부재의 상부면을 커버하는데 이용될 수 있다. 셔터 디스크 또는 더미 기판은 단계(216)와 같은 후속 증착 동안 기판 지지 부재 상에 어떠한 증착도 방지시키는데 이용되고, 이에 의해 처리되는 기판은 세정 챔버의 내부에 형성된 어떠한 코팅과도 접촉하지 않을 것이다. 또한, 기판 지지 부재를 커버하는 것은 기판 지지 부재가 프로세싱 동안 기판을 지지하도록 구성된 정전기적 처크일 때 처킹 문제를 피할 수 있다.
단계(216)에서, 일 실시예에서, 챔버벽(128), 쉴드(130), 쉐도우 링(shadow ring; 138), 챔버 뚜껑(129)과 같은 세정 챔버 구성요소의 하나 이상은 그 위에 게터링 코팅을 증착함에 의해 조절(conditioning)될 수 있다. 게터링 코팅은 하나 이상의 게터링 물질을 포함할 수 있다. 게터링 물질이란 용어는 세정 프로세스 이전에 또는 세정 프로세스 동안 세정 챔버에서 발견되는 어떠한 이물질을 흡수(물리적 흡수 또는 화학적 흡수) 및/또는 부동화시키는데 이용되는 임의 물질을 일반적으로 지칭한다. 게터링 물질은 새로운 부산물의 생성, 입자 생성, RF 전력의 원하지 않는 소산 또는 프로세싱 영역(122)에서 발견되는 바람직한 종들의 제거와 같은 다른 문제점들을 유발하지 않으면서 세정 챔버에서 원하지 않는 부산물을 제거하도록 선택된다. 단계(216) 동안 형성된 증착된 게터링 코팅의 두께는 약 10Å 내지 약 1μm일 수 있다. 내부면 상의 코팅은 순차적으로 프로세스된 기판의 오염을 막거나 또는 감소시키는데 이용될 수 있다. 코팅은 순수한 실리콘 물질(예를 들어 에피택셜 Si 층, 다결정 Si 층, 비정질 Si 층), 실리콘 함유층, 게르마늄 함유층 및/또는 하나 이상의 공통 도펀트 물질(예를 들어 붕소(B), 인(P))의 원하는 레벨을 함유한 실리콘 또는 게르마늄층, 또는 이의 조합을 포함할 수 있다. 순수 실리콘으로 형성된 코팅은 에피택셜층이 위에 형성될 수 있는 실리콘 기판 상에서 공통적으로 발견되는 가장 많은 오염 물질에 대해 강한 게터링 영향을 가질 것이라 여겨진다. 실리콘의 이용은 또한 소자 수율에 미치는 입자 오염의 영향을 최소화시키는 것에서 유리할 수 있고, 그러한 영향은 기판의 세정된 표면 상에 후속하여 형성되는 에피택셜층의 금속 오염 또는 포이즈닝(poisoning)에 의해 야기될 것이다. 일례에서, 게터링 코팅은 약 200W 내지 약 2kW의 RF 전력 및 약 0.1 내지 약 5.0Torr의 압력으로 프로세싱 챔버의 프로세싱 영역 내로 전달된 실란(SiH4) 함유 가스를 이용하여 증착되고, 이 경우 챔버 구성요소 온도는 약 200℃ 내지 약 500℃의 범위에서 유지된다.
일 실시예에서, 게터 산소로 구성된 게터링 물질로 된 층은 세정 챔버의 내부면 상에 증착될 수 있다. 일 실시예에서, 코팅은 약 10Å 내지 약 1μm의 두께의 실리콘(Si) 층을 포함한다. 실리콘 코팅은 일반적인 CVD 또는 ALD 유형의 프로세스를 이용하여 증착될 수 있고, 그러한 프로세스는 실리콘 함유 전구체를 챔버의 프로세싱 영역에 위치한 가열된 구성요소로 전달한다. 게터링 물질을 수용하는 구성요소는 외부 램프, 내장된 저항성 가열 요소를 이용하여 가열될 수 있고 및/또는 RF 플라즈마를 이용하여 가열될 수 있다.
단계(216)에서 증착된 게터링 물질로 된 코팅은 세정 프로세스 동안 생성된 바람직하지 못한 종들을 부동화시키거나, 흡수하거나 또는 흡착할 수 있다. 궁극적으로, 코팅의 게터링 능력은 활성 표면이 커버되거나 또는 반응성이 작아짐으로써, 감소될 것이다. 이러한 문제점을 보상하기 위해, 게터링 물질의 프레쉬(새로운) 코팅(fresh coating)이 단계들(212, 214, 216)의 반복에 의해 프로세싱 영역(122)의 구성요소들 상에 형성될 수 있다. 일 실시예에서, 단계들(212, 214, 216)은 세정 챔버 내의 각각의 기판을 프로세싱하기 이전에 반복될 수 있다. 다른 실시예에서, 단계들(212, 214, 216)은 세정 챔버에서 다수의 기판들을 프로세싱한 이후 반복될 수 있다.
게터링 물질로 된 층을 증착한 이후, 셔터 디스크, 더미 디스크 또는 더미 기판은 세정 챔버로부터 제거된다. 다음으로, 세정되어야 하는 기판이 세정 챔버에 배치된다. 세정 챔버는 진공 상태에서 일반적으로 유지되기 때문에, 산소, 탄소, 플루오르, 실리콘 및 염소와 같이 기판 표면 상에서 발견되는 오염 물질 및 입자는 제거되거나 또는 이동될 수 있고, 이에 의해 이들은 세정 챔버의 내부면 상에 형성된 코팅에 의해 게터링될 수 있다.
기판은 이후 이하에서 논의되는 하나 이상의 산화 및 에칭 단계들을 수행함에 의해 세정된다. 산화 프로세스는 기판의 표면 상에서 발견되는 오염된 또는 손상된 실리콘을 소비하는데 이용된다. 이후 형성된 산화층은 새롭고 세정된 실리콘 표면을 노출하기 위해 제거된다. 산화 프로세스는 단계(220)에서 설명되고, 에칭 단계는 단계(222)에서 설명된다.
단계(220)에서, 산화제는 세정 챔버로 전달되고 이에 의해 세정되는 기판의 상부층 상에 산화물을 생성한다. 일 실시예에서, 산화제는 오존(O3)을 포함하고, 이는 비교적 저온에서 실리콘의 산화를 가능하게 한다. 일 실시예에서, 오존은 산소를 플라즈마, 자외선(UV) 에너지, 또는 플라즈마 및 UV 에너지의 조합에 노출시킴으로써 오존 생성기에서 생성될 수 있다. 일 실시예에서, UV 램프(145)는 프로세싱 동안 기판의 표면에 에너지를 전달하도록 위치한다. 일 실시예(미도시)에서, UV 램프는 챔버벽들(128) 중 하나에 형성된 포트를 통해 UV 광을 전달할 수 있도록 위치한다. 산화를 위한 방법의 상세한 설명은 여기서 모두 참조로서 통합된 "기판 상에 에피택셜 실리콘층을 밀봉하기 위한 방법 및 시스템"이라는 명칭의 미국 특허출원 공보 제 2002/0115266호 및 "오존을 이용하여 열적으로 산화되는 실리콘"이라는 명칭의 미국 특허출원 공보 제 2006/0223315호에서 찾을 수 있다.
일 실시예에서, 기판 표면은 고온 산화 프로세스를 이용하여 산화된다. 이러한 경우에, 기판은 도 1의 기판 지지 부재(162A)와 같은 기판 지지 부재 상에서 약 400℃ 내지 약 700℃의 온도로 가열될 수 있다. 산화 동안, 세정 챔버는 기판 보다 낮은 온도에서 유지된다. 예를 들면, 가스 전달 경로를 포함한 세정 챔버 구성요소(예를 들어 벽, 쉴드)는 400℃ 미만의 온도 또는 400℃보다 상당히 낮은 온도에서 유지된다. 일 실시예에서, 기판 지지 부재/히터는 약 700℃에서 유지되고, 세정 챔버는 약 350℃에서 유지된다. 일 실시예에서, 벽들은 프로세싱 챔버의 벽에서 형성된 채널들을 통해 유동하는 유체를 이용하여 온도 제어된다.
단계(222)에 있어서, 뒤 이어 에칭 프로세스는 단계(220)에서 형성된 산화물을 제거하도록 수행된다. 에칭 프로세스는 물리적, 화학적 또는 물리적 및 화학적 에칭 기술의 조합을 이용하여 이루어질 수 있다.
화학적 에칭의 경우에, 에칭 가스는 세정 챔버 내로 전달될 수 있고, 플라즈마는 기판 상의 물질과 화학적으로 반응하는 반응종을 생성하도록 점화될 수 있다. 반응의 휘발성 부산물은 세정 챔버에 연결된 진공 시스템에 의해 제거되고 및/또는 단계(216)에서 챔버 구성요소의 표면 상에 형성된 코팅에 의해 게터링된다. 에칭 가스는 염소, 플루오르 또는 단계(220) 동안 기판 표면 상에 형성된 산화물의 제거에 적절한 다른 화합물을 포함할 수 있다. 일 실시예에서, 에칭 가스는 트리플루오르화 질소(NF3), 염소 이온(Cl-) 및 아르곤과 같은 캐리어 가스를 포함한다.
물리적 에칭은 기판 표면으로부터 물리적으로 물질을 제거하도록 기판 표면을 충돌시키는데 이용되는 에너지 종들을 제공하는 플라즈마를 생성함에 의해 수행된다. 일부 경우에, 기판 표면을 향해 플라즈마에서 형성된 이온들을 가속시키도록 기판 지지대로 바이어스를 가하는 것이 바람직하다. 충돌 이온은 스퍼터링 에칭 작용에 의해 기판 표면 상에서 물질을 물리적으로 제거한다. 기판 표면의 저에너지 물리적 충돌은 일반적으로 기판 표면에서 실리콘 격자에 대한 손상의 양을 감소시키는데 있어서 바람직하다. 낮은 전력의 바이어스는 산화된 층을 제거하고 기판 표면에 대한 손상을 최소화하는데 이용될 수 있다. 종래의 건식 에칭 프로세스는 플라즈마 보조 물질 제거 프로세스에 의해 생성된 기판 물질 격자 손상에 대해 염려할 필요 없이 물질을 빠르게 제거하는데 일반적으로 이용된다. 종래의 스퍼터 에칭 기술은 충돌 이온 및/또는 부산물의 높은 에너지에 의해 에피택셜 증착 단계를 수행하기 이전에 기판을 세정하는데 일반적으로 바람직하지 못하다. 특히, 본 발명의 에칭 프로세스는 단계(222) 동안 기판 표면에서 노출된 결정질 물질에 대한 손상을 최소화하기 위해 에칭 프로세스 동안 형성된 이온들의 에너지를 조절하는 단계를 포함한다. 일 실시예에서, 내부에 배치된 게터링층을 가진 챔버에서 낮은 RF 전력 물질 제거 프로세스를 이용함에 의해, 단계(222)에서 수행된 물질 제거 프로세스는 기판 상에 손상이 없고 깨끗한 표면을 형성할 것이고, 이는 고품질의 에피택셜층이 이후의 증착 프로세스 단계(들) 동안 형성되는 것을 보장하는데 중요하다. 일 실시예에서, RF 생성기(123)는 약 25W 내지 약 500W의 평균 RF 바이어스 전력을 기판 지지대(162)에 배치된 전도성 요소로 전달하도록 이루어지고, 이에 의해 에칭 프로세스를 수행한다.
상기 방법(200)의 대안적인 실시예에서, 단계(216)는 단계(220) 이후에, 하지만 단계(222)를 수행하기 이전에 수행된다. 이러한 대안적인 실시예의 일 태양에서, 단계(220, 222)는 상이한 챔버들에서 수행되고, 이에 의해 게터링 물질은 단계(222)를 수행하기 이전에 산화된 기판의 표면 상에 증착되지 않는다(단계 216). 단일 세정 챔버가 이용되는 대안적인 실시예의 다른 태양에서, 단계(220)는 기판 상에서 수행되고, 이후 기판은 세정 챔버로부터 제거되며, 이에 의해 더미 기판이 삽입될 수 있고 이에 의해 산화된 기판의 표면을 게터링 물질로 코팅하지 아니한 채로 단계(216)가 수행될 수 있으며, 이후 더미 기판은 제거되고 산화된 기판이 재삽입되며 이에 의해 단계(222)가 수행될 수 있다.
도 4는 물리적 에칭 프로세스를 일으킬 수 있는 메커니즘을 일반적으로 도시하는 세정 챔버(300)의 부분적 측단면도를 개략적으로 도시한다. 세정 챔버(300)는 프로세스 영역(302)을 형성하는 챔버 바디(301)를 갖는다. 세정되는 기판(303)은 기판 지지대(304) 상의 프로세스 영역(302)에 배치될 수 있다. 코일(305)은 프로세스 영역(302)의 상부에서 플라즈마(308)를 생성하도록 챔버 바디(301)의 상부 외부에 위치한다. RF 소스(306)는 플라즈마 생성을 위한 RF 에너지를 제공하기 위해 코일(305)에 연결될 수 있다. 바이어스 소스(307)는 기판 지지대(304)에 커플링될 수 있고, 이에 의해 기판(303) 및/또는 기판 지지대(304)로 바이어스 포텐셜을 제공한다. 플라즈마(308)에서 생성된 활성화 종(309) 또는 이온(310)은 기판(303)의 상부면(303A)으로 끌어당겨질 수 있고, 이에 의해 그 위의 물질을 제거한다.
일 실시예에서, 활성화 종(309) 및/또는 이온(310)의 에너지는 조절될 수 있고, 이에 의해 물질 제거 동안 물리적 손상은 상부면(303A)에서 일어나지 않을 것이다. 이러한 조절은 기판 RF 바이어스 전력을 낮추는 단계, 기판에 가로질러 가해진 바이어스 포텐셜을 조절하는 단계, 플라즈마 생성 구성요소(예를 들어 유도적으로 커플링된 소자(예를 들어 코일), 용량성으로 커플링된 소자(예를 들어 샤워헤드, 마이크로파 소스))로 전달되는 RF 전력을 펄스하는 단계, 기판 RF 바이어스 전력을 펄스하는 단계, 에칭 가스에서 가벼운 원자종을 포함한 플라즈마를 형성하는 단계, 플라즈마를 한정하기 위해 플라즈마 쉴드를 이용하는 단계, 플라즈마에 대해 기판의 프로세싱 위치를 조절하는 단계, 및/또는 이의 조합에 의해 이루어질 수 있다.
일 실시예에서, 활성화 종의 에너지는 기판 지지대로 전달된 낮아진 RF 바이어스 전력을 이용함에 의해 감소될 수 있다. 일 실시예에서, 도 4의 바이어스 소스(307)와 같은 바이어스 소스의 전력은 기판의 상부면으로부터 실리콘 디옥사이드를 제거하기 위해 약 50W로 설정될 수 있다.
일 실시예에서, 도 4의 바이어스 소스(307)와 같은 바이어스 소스의 포텐셜은 더 적게 음극성을 갖도록(less cathodic) 조절될 수 있고, 이에 의해 기판 상의 바이어스를 감소시킨다. 일 실시예에서, 바이어스 소스는 제거될 수 있고, 기판은 접지된 기판 지지대 상에 위치한다. 다른 실시예에서, 역(reversed) 바이어스가 가해질 수 있고, 이에 의해 플라즈마에서 이온 및 반응성 이온종에 반발력을 가하도록 인가될 수 있다. 예를 들면, 역 바이어스는 실리콘-온-인슐레이터(silicon-on-insulator) 기판을 세정할 때 이용될 수 있다.
일 실시예에서, 플라즈마 에너지는 RF 소스 및/또는 기판 바이어스 소스를 펄스함에 의해 감소될 수 있다. 에너지 감소의 정도는 플라즈마 생성 구성요소(예를 들어 코일, 샤워 헤드, 마이크로파 소스)로 전달된 RF 펄스의 듀티 사이클(duty cycle)을 조절함에 의해 제어될 수 있다. RF 소스를 펄스함으로써 RF 소스에 의해 생성된 플라즈마에서의 활성화 종의 밀도를 감소시킨다. 일 실시예에서, RF 소스는 세정 프로세스 동안 세정 챔버에서 낮은 에너지 플라즈마를 유지시키도록 펄스된다. RF 소스를 펄스함으로써 플라즈마 프로세싱 영역에서의 전체 플라즈마 및 활성화 종 밀도를 감소시키고, 따라서 기판에 대한 손상을 피하도록 충돌 종의 수 및 에너지를 감소시킨다. 펄스된 플라즈마 프로세스에 대한 상세한 논의는 여기서 참조로서 통합된 "낮은 에너지 플라즈마 장치를 이용하여 높은 유전 상수 트랜지스터 게이트를 제작하기 위한 방법 및 장치"라는 명칭으로 2007년 12월 20일 출원된 미국 특허출원 제 11/614,019(Docket No.APPM 10983)호에서 찾을 수 있다.
일 실시예에서, 에칭 가스는 하나 이상의 보다 가벼운 종을 포함하고, 이 종은 물리적 에칭 프로세스에 의해 기판 표면 상에 생성되는 어떠한 손상이라도 최소화하거나 또는 감소시키도록 낮은 에너지 플라즈마를 생성하는데 이용된다. 일 실시예에서, 헬륨(He), 네온(Ne), 수소(H2) 또는 이의 조합과 같은 보다 가벼운 가스 종은 아르곤(Ar)과 같은 다른 프로세스 가스를 포함한 에칭 가스에 첨가될 수 있다. 일 실시예에서, 에칭 가스는 아르곤 및 헬륨을 포함한다. 다른 실시예에서, 에칭 가스는 실질적으로 아르곤, 헬륨 및 수소 가스를 포함한다. 다른 실시예에서, 에칭 가스는 아르곤 및 수소를 포함한다. 다른 실시예에서, 에칭 가스는 아르곤 및 질소를 포함한다. 또 다른 실시예에서, 에칭 가스는 실질적으로 헬륨(He), 네온(Ne), 또는 수소(H2)를 포함한다.
다른 실시예에서, 플라즈마 쉴드(140)(도 2b)는 프로세싱 동안 기판의 표면 근처에 위치할 수 있다. 도 2b는 플라즈마 쉴드(140)를 포함한 세정 챔버(100)의 일 실시예의 측단면도를 개략적으로 도시한다. 플라즈마 쉴드는 기판의 표면 근처에 충돌 종의 에너지 및/또는 양을 최소화하거나 또는 감소시키는데 이용된다. 플라즈마 쉴드(140)는 천공 또는 다공성 물질일 수 있고, 이에 의해 프로세싱 동안 플라즈마 및/또는 프로세스 가스의 일부가 통과하는 것이 가능하다. 일 실시예에서, 천공은 플라즈마 쉴드를 통과하는 다수의 홀(141)이다. 일 실시예에서, 플라즈마 쉴드는 유전체 물질로 만들어지거나 또는 유전체 물질로 코팅되고, 이러한 물질은 플라즈마 및 프로세스 가스와 양립성이 있다(compatible)(예를 들어 석영, SiO2). 일 실시예에서, 플라즈마 쉴드는 챔버 뚜껑(129) 또는 챔버벽(128)이 만들어지는 물질과 같은 챔버의 다른 구성요소와 동일한 물질로 만들어진다. 일 실시예에서, 플라즈마 쉴드는 실리콘, 이트륨, 이트륨 산화물, 게르마늄, 붕소, 인, 및 실리콘 게르마늄 화합물로 이루어진 그룹으로부터 선택된 물질로 만들어진다.
다른 실시예에서, 세정 챔버에서 생성된 플라즈마 및 처리되는 기판의 상대적 위치는 플라즈마의 이온 또는 활성 종에 의한 기판 표면의 충돌의 양을 조절하도록 조절될 수 있다. 유사한 조절은 여기서 참조로서 통합된 "저온 폴리실리콘 TFT를 위한 다중층 고품질 게이트 유전체"라는 명칭의 공통으로 양도된 미국 특허출원 공보 제 2006/0105114호에서 설명된다.
도 3으로 다시 돌아가면, 단계(222)의 예시적 에칭 프로세스가 도 1의 세정 챔버(100)와 유사한 세정 챔버에서 수행될 수 있고, 이에 의해 기판의 상부면 상에 형성된 실리콘 산화물을 제거한다. 프로세싱 동안, 챔버 압력은 약 1mTorr 내지 약 1Torr에서 유지될 수 있다. 일 실시예에서, 챔버 압력은 약 20mTorr 내지 약 800mTorr에서 유지될 수 있다. 헬륨 및 아르곤을 포함한 에칭 가스는 프로세스 영역에 제공될 수 있다. 일 실시예에서, 챔버 압력은 약 5mTorr 내지 약 20mTorr일 수 있고, 에칭 가스는 주로 헬륨을 포함한다. 처리되는 기판은 또한 최대 약 700℃의 온도로 가열될 수 있다. 세정 챔버는 약 20℃ 내지 약 400℃의 온도에서 유지될 수 있다. 일 실시예에서, 챔버는 약 30℃의 온도에서 유지된다. 챔버벽을 낮은 온도에서 유지시키는 것은 챔버벽의 부식을 감소시키는 데 도움이 된다고 여겨진다.
도 3으로 돌아가면, 단계(220, 222)는 기판이 세정될 때까지 한번 이상 반복될 수 있다. 일단 기판 표면이 세정되면, 이어서 단계(224) 및/또는 단계(226)는 깨끗한 기판 표면 상에서 수행될 수 있다.
단계(224)에서, 패시베이션 처리(passivation treatment)가 세정된 기판에 대해서 수행되고, 이에 의해 기판은 이후의 에피택셜 증착 프로세스 때까지 깨끗한 채로 남아 있다. 일 실시예에서, 패시베이션 처리는 패시베이션 가스를 유동시키는 단계 및 패시베이션 가스로 된 플라즈마를 생성시키는 단계를 포함한다. 일 실시예에서, 패시베이션 가스는 수소로 세정된 실리콘 표면을 마무리짓는데(terminate) 이용되는 묽은 농도의 수소 가스(H2)를 포함한다. 일 실시예에서, 패시베이션 처리는 기판이 약 50 내지 약 500℃의 온도에서 유지되는 동안 약 1%의 수소 가스를 포함한 수소 함유 가스를 전달하는 단계를 포함한다.
단계(226)에서, 에피택셜 실리콘층은 에피택셜 챔버에서 세정된 기판 상에서 성장될 수 있다. CVD 프로세스를 이용하여 실리콘 에피택셜층을 성장시키기 위해, 기판은 예를 들어 약 500℃ 내지 약 800℃의 상승 온도로 그리고 감소된 압력 상태 또는 대기 압력 상태로 설정된 에피택셜 챔버에 위치한다. 상승 온도 및 감소된 압력 상태에서 유지하는 동안, 모노실란 가스 또는 디클로로실란 가스와 같은 실리콘 함유 가스가 에피택셜 챔버로 공급되고, 실리콘 에피택셜층은 증기 상 성장(vapor phase growth)에 의해 성장하여 성장이 이루어지는 기판과 동일한 결정 배향을 갖는 반도체층을 형성한다. 프로세스는 약 0.1Torr 내지 약 760Torr의 압력의 범위에서 작동할 수 있다. 실리콘 함유 필름을 증착시키는데 이용될 수 있는 하드웨어는 미국 캘리포니아 산타클라라에 위치한 어플라이드 머티어리얼스사로부터 구입 가능한 Epi Centura RTM 시스템 및 Poly Gen RTM 시스템을 포함한다. 에피택셜 챔버의 상세한 설명은 여기서 참조로서 통합된, 2007년 6월 25일에 출원된 "모듈형 CVD EPI 300mm 반응기"라는 명칭의 미국 특허출원 제 11/767,619호(Docket No.10394)에서 찾을 수 있다.
상기 방법(200)은 세정 챔버 및 에피택셜 챔버를 포함한 클러스터 툴에서 수행될 수 있다. 종래의 구성에서, 기판은 에피택셜층을 형성하도록 에피택셜 증착 챔버내로 이동되기 이전에 종래의 세정 프로세스(예를 들어 습식 세정 프로세스)를 수행한 이후 일정한 주기의 시간을 기다릴 수 있다. 기다리는 프로세스는 기판 표면의 청결성에 영향을 미칠 수 있고, 이는 웨이퍼 마다의 프로세스 결과에 영향을 미칠 수 있다. 일 실시예에서, 단계(224)의 완료 및 이후의 에피택셜층 증착 프로세스(즉, 단계(226)) 사이의 타이밍은, 패시베이션 프로세스가 완료된 직후 기판이 에피택셜 챔버로 이동되도록, 스케쥴된다. 프로세스 타이밍 또는 스케쥴링을 제어하는 제어기(147)의 이용은 프로세스 반복성 및 소자 수율을 향상시킬 수 있다. 일 실시예에서, 대기열 단계(queuing step)는 단계(224) 이전에 추가될 수 있고, 이에 의해 패시베이션 이후의 기다림을 제거한다. 다른 실시예에서, 단계(222) 및/또는 단계(224)는 그러한 단계(222) 및/또는 단계(224)가 완료된 때 에피택셜 증착 챔버가 기판을 수용할 준비가 되어있을지를 제어기(147)가 확인할 때까지 시작되지 아니한다.
일 실시예에서, 단계(220)는 제 1 세정 챔버(100)에서 수행되고, 단계(222)는 제 2 세정 챔버(100)에서 수행되며, 이에 의해 단계(220)가 단계(222)에 영향을 미치는 또는 그 반대로 영향을 미치는 임의 프로세스를 감소시킨다. 일 실시예에서, 제 1 세정 챔버(100)는 단계(212-220)를 수행할 수 있고, 제 2 세정 챔버(100)는 단계(212-216) 및 단계(222-224)를 수행할 수 있다.
제어기(147)는 일반적으로 제 1 세정 챔버(100) 및 시스템(400)의 제어 및 자동화를 촉진시키도록 설계되고(도 5), 일반적으로 중앙 처리 유닛(CPU)(미도시), 메모리(미도시) 및 지원 회로(또는 I/O)(미도시)를 포함할 수 있다. CPU는 다양한 챔버 프로세스들 및 하드웨어(예를 들어 탐지기, 모터, 유체 전달 하드웨어 등)를 제어하기 위한 산업적 설정에서 이용되는 컴퓨터 프로세서들의 임의의 형태들 중 하나일 수 있고, 시스템 및 챔버 프로세스들(예를 들어 기판 위치, 프로세스 타임, 탐지기 신호 등)을 모니터한다. 메모리는 CPU에 연결되고, 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 로컬 또는 원격의 디지털 저장의 다른 어떠한 형태와 같은 쉽게 이용가능한 메모리의 하나 이상일 수 있다. 소프트웨어 명령 및 데이터는 CPU에 명령하기 위한 메모리 내에 코드화되고 저장될 수 있다. 지원 회로는 또한 종래의 방식으로 프로세서를 지원하기 위해 CPU에 연결된다. 지원 회로는 캐쉬, 전력 서플라이, 클럭 회로, 입력/출력 회로, 하위 시스템 및 이와 유사한 것을 포함할 수 있다. 제어기(147)에 의해 판독 가능한 프로그램(또는 컴퓨터 명령)은 어떠한 작업이 기판 상에서 수행 가능한 지를 결정한다. 바람직하게, 프로그램은 제어기(147)에 의해 판독 가능한 소프트웨어이고, 이는 적어도 프로세스 레서피 순서(process recipe sequencing), 기판 위치 정보, 다양하게 제어된 구성요소의 이동 순서, 프로세스 제어, 프로세스 타이밍, 스케쥴링, 대기열 단계, 및 이의 조합을 생성하고 저장하기 위한 코드를 포함한다.
클러스터 툴
도 5는 본 발명의 일 실시예에 따른 반도체 프로세싱을 위한 클러스터 툴(400)의 평면도를 도시한다. 클러스터 툴은 반도체 제조 프로세스에서 다양한 기능을 수행하는 다수의 챔버를 포함한 모듈형 시스템이다. 클러스터 툴(400)은 한 쌍의 로드락(405)을 통해 전방 단부 환경(404)에 연결된 중앙 전달 챔버(401)를 포함한다. 팩토리 인터페이스 로봇(factory interface robot; 408A, 408B)은 전방 단부 환경(404)에 배치되고, 전방 단부 환경(404) 상에 장착된 다수의 포드(pods; 403) 및 로드락(loadlocks; 405) 사이에서 기판을 셔틀하도록(shuttle) 구성된다.
다수의 챔버(407, 408, 409, 및 410)가 원하는 프로세스를 수행하기 위해 중앙 전달 챔버(401)에 장착된다. 중앙 전달 챔버(401)에 배치된 중앙 로봇(406)은 로드락(405) 및 챔버(407, 408, 409, 410) 사이에서 또는 챔버들(407, 408, 409, 410) 사이에서 기판을 전달하도록 구성된다.
일 실시예에서, 클러스터 툴(400)은 도 1의 세정 챔버(100)와 같은 세정 챔버 및 두 개의 에피택셜 챔버(407, 408)를 포함한다. 챔버(410)는 도 1에서 설명된 세정 챔버(100)와 같은 세정 챔버일 수 있다. 챔버(410)는 에피택셜 성장 프로세스 이전에 기판을 세정하도록 구성된다. 챔버(407, 408)는 에피택셜 성장 프로세스를 수행할 수 있는 에피택셜 챔버일 수 있다. 예시적인 에피택셜 챔버는 여기서 참조로서 통합된 2007년 6월 25일에 "모듈형 CVD EPI 300mm 반응기"라는 명칭으로 출원된 미국 특허출원 제 11/767,619(Docket No.10394)호에서 찾을 수 있다.
클러스터 툴(400)은 상기 설명된 방법(200)을 수행하는데 이용될 수 있다. 프로세싱 동안, 프로세스되는 기판은 포드(403)에서 클러스터 툴(400)에 도달할 수 있다. 기판은 팩토리 인터페이스 로봇(408A 또는 408B)에 의해 포드(403)로부터 진공 양립형 로드락(405)으로 전달된다. 이후 기판은 진공 상태에서 일반적으로 유지되는 전달 챔버(401)에서 중앙 로봇(406)에 의해 집어진다. 이후 중앙 로봇(406)은 세정 챔버(410) 안으로 기판을 로드하고, 그 내부면은 상기 방법(200)의 단계(212, 214, 216)에서 설명된 것처럼 재생되고 코팅되었다. 상기 방법(200)의 단계(220, 222, 224)를 포함한 세정 프로세스는 세정 챔버(410)에서 기판에 대해 수행될 수 있다. 이후 중앙 로봇(406)은 세정 챔버(410)로부터 기판을 집고, 기판을 에피택셜 챔버(407 또는 408) 중 이용가능한 어느 것으로 로드한다. 에피택셜층은 에피택셜 챔버(407 또는 408)에서 세정된 기판 상에서 성장될 수 있다.
일 실시예에서, 클러스터 툴(400)은 챔버(409) 및 챔버(410) 위치에 위치한 두 개의 세정 챔버(100)(또는 100a)(도 5) 및 챔버(407) 또는 챔버(408) 위치에 위치한 두 개의 에피택셜 챔버를 포함하도록 구성된다. 상기에서 언급된 것처럼, 에피택셜 챔버(407, 408) 중 어느 하나에서 에피택셜층 증착 단계(226)를 수행하기 이전에, 이러한 구성에서 하나의 세정 챔버(예를 들어 챔버(410))에서 단계(220)를 수행하고, 나머지 세정 챔버(예를 들어 챔버(409))에서 단계(222, 224)를 수행하는 것이 바람직할 수 있다.
다른 실시예에서, 클러스터 툴은 플라즈마 침지형 이온 주입(plasma immersion ion implantation)(P3I) 챔버를 포함한다. 예를 들면, 챔버(409)는 기판 상에서 에피택셜층으로 하나 이상의 도펀트를 주입하도록 구성된 P3I 챔버일 수 있다. 예시적인 P3I 챔버는 여기서 참조로서 통합된 "플라즈마 침지형 이온 주입을 이용한 실리콘-온-인슐레이터 구조의 제작"이라는 명칭의 미국 특허 제 6,893,907호 및 "이온 분배의 자기적 제어를 가진 외부로 활성화된 토로이달 플라즈마 소스"라는 명칭의 미국 특허 제 6,939,434호에서 찾을 수 있다.
이전의 내용은 본 발명의 실시예에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이 본 발명의 기본적 범위로부터 벗어나지 아니한 채로 고안될 수 있으며, 그 범위는 이하의 청구 범위에 의해 결정된다.

Claims (9)

  1. 프로세싱 챔버의 프로세싱 영역에 기판을 위치시키는 단계;
    상기 프로세싱 챔버에 배치된 상기 기판의 표면을 산소 함유 가스에 노출시켜서 상기 표면 상에 제 1 산소 함유층을 형성하는 단계;
    상기 프로세싱 영역으로부터 상기 기판을 제거하는 단계;
    상기 프로세싱 영역에 배치된 챔버 구성요소의 표면 상에 게터링 층을 증착시키는 단계;
    상기 프로세싱 영역에 상기 기판을 재-위치시키는 단계;
    상기 제 1 산소 함유층을 플라즈마에 노출시켜서 상기 제 1 산소 함유층의 적어도 일부분을 제거하고 그리고 상기 기판의 표면의 적어도 일부분을 노출시키는 단계; 및
    상기 기판의 표면을 산소 함유 가스에 노출시켜서 상기 표면 상에 제 2 산소 함유층을 형성하는 단계를 포함하는
    반도체 기판을 프로세싱하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 제 1 산소 함유층을 형성하는 단계, 상기 제 1 산소 함유층의 적어도 일부분을 제거하는 단계 및 상기 제 2 산소 함유층을 형성하는 단계가 모두 상기 프로세싱 챔버에서 수행되는
    반도체 기판을 프로세싱하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 제 2 산소 함유층의 적어도 일부분을 제거하여서 상기 기판의 표면을 노출시키는 단계; 및
    상기 기판의 노출된 표면의 적어도 일부분 상에 에피택셜층을 증착시키는 단계를 더 포함하는
    반도체 기판을 프로세싱하기 위한 방법.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 프로세싱 챔버의 프로세싱 영역에 상기 기판을 위치시키기 전에 상기 프로세싱 영역에 배치된 챔버 구성요소의 표면 상에 게터링층을 형성하는 단계를 더 포함하는
    반도체 기판을 프로세싱하기 위한 방법.
  6. 제 5 항에 있어서,
    상기 게터링층이 실리콘 및 게르마늄으로 구성된 그룹으로부터 선택된 물질을 포함하는
    반도체 기판을 프로세싱하기 위한 방법.
  7. 제 1 항 내지 제 3 항, 제 5 항 및 제 6 항 중 어느 한 항에 있어서,
    상기 산소 함유 가스가 2원자 산소(O2)를 포함하는
    반도체 기판을 프로세싱하기 위한 방법.
  8. 제 1 항 내지 제 3 항, 제 5 항 및 제 6 항 중 어느 한 항에 있어서,
    상기 산소 함유 가스가 오존(O3)을 포함하는
    반도체 기판을 프로세싱하기 위한 방법.
  9. 제 1 항 내지 제 3 항, 제 5 항 및 제 6 항 중 어느 한 항에 있어서,
    상기 제 1 산소 함유층을 형성하는 단계 또는 상기 제 2 산소 함유층을 형성하는 단계가 상기 기판을 자외선(UV) 광에 노출시키는 단계를 포함하는
    반도체 기판을 프로세싱하기 위한 방법.
KR1020117022788A 2007-07-26 2008-07-08 기판 표면을 세정하기 위한 방법 및 장치 KR101389801B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US95223007P 2007-07-26 2007-07-26
US60/952,230 2007-07-26
US12/146,177 2008-06-25
US12/146,177 US8008166B2 (en) 2007-07-26 2008-06-25 Method and apparatus for cleaning a substrate surface
PCT/US2008/069430 WO2009014894A1 (en) 2007-07-26 2008-07-08 Method and apparatus for cleaning a substrate surface

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020107001821A Division KR101087925B1 (ko) 2007-07-26 2008-07-08 기판 표면을 세정하기 위한 방법 및 장치

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137031794A Division KR20130135404A (ko) 2007-07-26 2008-07-08 기판 표면을 세정하기 위한 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20110120359A KR20110120359A (ko) 2011-11-03
KR101389801B1 true KR101389801B1 (ko) 2014-04-29

Family

ID=40281712

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020137031794A KR20130135404A (ko) 2007-07-26 2008-07-08 기판 표면을 세정하기 위한 방법 및 장치
KR1020107001821A KR101087925B1 (ko) 2007-07-26 2008-07-08 기판 표면을 세정하기 위한 방법 및 장치
KR1020117022788A KR101389801B1 (ko) 2007-07-26 2008-07-08 기판 표면을 세정하기 위한 방법 및 장치

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020137031794A KR20130135404A (ko) 2007-07-26 2008-07-08 기판 표면을 세정하기 위한 방법 및 장치
KR1020107001821A KR101087925B1 (ko) 2007-07-26 2008-07-08 기판 표면을 세정하기 위한 방법 및 장치

Country Status (6)

Country Link
US (3) US8008166B2 (ko)
JP (2) JP2010534935A (ko)
KR (3) KR20130135404A (ko)
CN (2) CN102569136B (ko)
TW (2) TWI455194B (ko)
WO (1) WO2009014894A1 (ko)

Families Citing this family (527)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
KR100954116B1 (ko) * 2006-11-06 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 리세스패턴 형성방법
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI449092B (zh) * 2009-01-08 2014-08-11 United Microelectronics Corp 半導體機台的清潔方法
JP5350043B2 (ja) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FR2949237B1 (fr) * 2009-08-24 2011-09-30 Ecole Polytech Procede de nettoyage de la surface d'un substrat de silicium
EP2390906A1 (en) * 2010-05-26 2011-11-30 Applied Materials, Inc. Apparatus and method for electrostatic discharge (ESD) reduction
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8728944B2 (en) * 2010-08-04 2014-05-20 Applied Material, Inc. Method of removing contaminants and native oxides from a substrate surface
US8926788B2 (en) * 2010-10-27 2015-01-06 Lam Research Ag Closed chamber for wafer wet processing
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9250178B2 (en) * 2011-10-07 2016-02-02 Kla-Tencor Corporation Passivation of nonlinear optical crystals
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP2013093489A (ja) * 2011-10-27 2013-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9698386B2 (en) * 2012-04-13 2017-07-04 Oti Lumionics Inc. Functionalization of a substrate
US8853070B2 (en) * 2012-04-13 2014-10-07 Oti Lumionics Inc. Functionalization of a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US20140151331A1 (en) * 2012-12-05 2014-06-05 Applied Materials, Inc. Deposition shield for plasma enhanced substrate processing
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN107574476A (zh) * 2013-08-09 2018-01-12 应用材料公司 于外延生长之前预清洁基板表面的方法和设备
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9443728B2 (en) 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
KR101455263B1 (ko) 2014-01-06 2014-10-31 연세대학교 산학협력단 기판의 산화물 제거 방법 및 이를 이용한 반도체 소자 제조 방법
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9653282B2 (en) 2014-07-29 2017-05-16 Applied Materials, Inc. Silicon-containing substrate cleaning procedure
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
TWI607492B (zh) * 2014-11-14 2017-12-01 漢辰科技股份有限公司 離子佈植過程中控制壓力的方法及其離子佈植裝置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) * 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
KR20160090698A (ko) * 2015-01-22 2016-08-01 주식회사 엘지실트론 에피택셜 웨이퍼의 성장을 위한 리액터의 재가동 준비 방법
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
KR102189137B1 (ko) * 2015-01-22 2020-12-09 에스케이실트론 주식회사 에피택셜 웨이퍼의 성장을 위한 리액터의 재가동 준비 방법
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9397011B1 (en) * 2015-04-13 2016-07-19 Lam Research Corporation Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106571293A (zh) * 2015-10-09 2017-04-19 北京北方微电子基地设备工艺研究中心有限责任公司 一种硅片刻蚀方法
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
WO2017091327A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. Method for modifying epitaxial growth shape
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
DE112016005550T5 (de) 2015-12-04 2018-08-16 Applied Materials, Inc. Verfahren und Lösungen zur Reinigung von Ingaas (Oder III-V) Substraten
CN108292602B (zh) * 2015-12-18 2023-08-18 应用材料公司 清洁方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10096473B2 (en) * 2016-04-07 2018-10-09 Aixtron Se Formation of a layer on a semiconductor substrate
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
CN107591314A (zh) * 2016-07-08 2018-01-16 上海新昇半导体科技有限公司 一种形成氧化层和外延层的方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10438828B2 (en) 2016-10-03 2019-10-08 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107919298B (zh) 2016-10-08 2021-01-29 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR102511483B1 (ko) * 2017-02-10 2023-03-17 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10544519B2 (en) * 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR20210047961A (ko) 2018-09-24 2021-04-30 어플라이드 머티어리얼스, 인코포레이티드 세정 및 표면 처리를 위한 원자 산소 및 오존 디바이스
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
WO2020096696A1 (en) * 2018-11-05 2020-05-14 Applied Materials, Inc. Methods and apparatus for silicon-germanium pre-clean
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2020156659A1 (en) * 2019-01-30 2020-08-06 Applied Materials, Inc. Method for cleaning a vacuum system, method for vacuum processing of a substrate, and apparatus for vacuum processing a substrate
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN110060944A (zh) * 2019-04-03 2019-07-26 长电科技(宿迁)有限公司 一种具有等离子清洗功能的包封预热台
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220054437A (ko) * 2019-09-06 2022-05-02 어플라이드 머티어리얼스, 인코포레이티드 셔터 디스크
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) * 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11791141B2 (en) 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113458086A (zh) * 2021-06-03 2021-10-01 广东工业大学 一种火箭发动机零件的清洗装置及清洗方法
US20230009692A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc Coated substrate support assembly for substrate processing
WO2023033947A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Cluster tools, systems, and methods having one or more pressure stabilization chambers
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0536653A (ja) * 1991-07-25 1993-02-12 Toshiba Corp 基板表面処理方法
JPH09320970A (ja) * 1996-06-03 1997-12-12 Nec Corp 気体不純物の捕獲方法及び半導体製造装置
JPH118226A (ja) * 1997-06-17 1999-01-12 Oki Electric Ind Co Ltd 半導体基板表面の清浄化方法及びその装置
JP2001319918A (ja) * 2000-05-09 2001-11-16 M Fsi Kk 基板表面の処理方法、半導体素子向け基板表面の処理方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62149117A (ja) * 1985-12-24 1987-07-03 Hitachi Ltd 気相成長方法
JP2870774B2 (ja) * 1988-12-27 1999-03-17 住友金属工業株式会社 単結晶膜の形成方法
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5855677A (en) 1994-09-30 1999-01-05 Applied Materials, Inc. Method and apparatus for controlling the temperature of reaction chamber walls
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3868020B2 (ja) * 1995-11-13 2007-01-17 キヤノンアネルバ株式会社 遠距離スパッタ装置及び遠距離スパッタ方法
JP3823160B2 (ja) 1997-04-03 2006-09-20 野村マイクロ・サイエンス株式会社 半導体基板内部の洗浄方法
US6022587A (en) * 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
US6109206A (en) 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
GB2343550A (en) 1997-07-29 2000-05-10 Silicon Genesis Corp Cluster tool method and apparatus using plasma immersion ion implantation
US6204120B1 (en) 1998-09-28 2001-03-20 Ag Associates (Israel) Ltd. Semiconductor wafer pretreatment utilizing ultraviolet activated chlorine
US6376387B2 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
DE69940114D1 (de) * 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US7105449B1 (en) 1999-10-29 2006-09-12 Matsushita Electric Industrial Co., Ltd. Method for cleaning substrate and method for producing semiconductor device
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6514886B1 (en) 2000-09-22 2003-02-04 Newport Fab, Llc Method for elimination of contaminants prior to epitaxy
US6821378B1 (en) * 2001-05-25 2004-11-23 Lam Research Corporation Pump baffle and screen to improve etch uniformity
US6878636B2 (en) 2001-08-27 2005-04-12 Applied Materials, Inc. Method for enhancing substrate processing
US6455814B1 (en) 2001-11-07 2002-09-24 Applied Materials, Inc. Backside heating chamber for emissivity independent thermal processes
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
JP2004193396A (ja) * 2002-12-12 2004-07-08 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6878639B1 (en) * 2003-09-19 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Borderless interconnection process
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7132372B2 (en) 2004-07-29 2006-11-07 Freescale Semiconductor, Inc. Method for preparing a semiconductor substrate surface for semiconductor device fabrication
US7344951B2 (en) 2004-09-13 2008-03-18 Texas Instruments Incorporated Surface preparation method for selective and non-selective epitaxial growth
US20060105114A1 (en) 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7141514B2 (en) 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
KR100632463B1 (ko) 2005-02-07 2006-10-11 삼성전자주식회사 에피택셜 반도체 기판의 제조 방법과 이를 이용한 이미지센서의 제조 방법, 에피택셜 반도체 기판 및 이를 이용한이미지 센서
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP5324026B2 (ja) * 2006-01-18 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の制御方法
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0536653A (ja) * 1991-07-25 1993-02-12 Toshiba Corp 基板表面処理方法
JPH09320970A (ja) * 1996-06-03 1997-12-12 Nec Corp 気体不純物の捕獲方法及び半導体製造装置
JPH118226A (ja) * 1997-06-17 1999-01-12 Oki Electric Ind Co Ltd 半導体基板表面の清浄化方法及びその装置
JP2001319918A (ja) * 2000-05-09 2001-11-16 M Fsi Kk 基板表面の処理方法、半導体素子向け基板表面の処理方法

Also Published As

Publication number Publication date
CN102569136A (zh) 2012-07-11
JP5599437B2 (ja) 2014-10-01
KR101087925B1 (ko) 2011-11-28
US20090029528A1 (en) 2009-01-29
KR20130135404A (ko) 2013-12-10
WO2009014894A1 (en) 2009-01-29
TWI455194B (zh) 2014-10-01
KR20100041786A (ko) 2010-04-22
JP2013012752A (ja) 2013-01-17
CN101765900B (zh) 2012-04-04
TW201207920A (en) 2012-02-16
US8008166B2 (en) 2011-08-30
TW200915402A (en) 2009-04-01
CN102569136B (zh) 2015-08-19
US20130068390A1 (en) 2013-03-21
CN101765900A (zh) 2010-06-30
US20110263103A1 (en) 2011-10-27
TWI368267B (en) 2012-07-11
US8309440B2 (en) 2012-11-13
JP2010534935A (ja) 2010-11-11
KR20110120359A (ko) 2011-11-03

Similar Documents

Publication Publication Date Title
KR101389801B1 (ko) 기판 표면을 세정하기 위한 방법 및 장치
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
JP7293211B2 (ja) 高エネルギー原子層エッチング
US20170053808A1 (en) Self limiting lateral atomic layer etch
US20170170018A1 (en) Conformal doping using dopant gas on hydrogen plasma treated surface
KR20100124305A (ko) 기판으로부터 폴리머를 제거하는 방법 및 장치
CN112930580A (zh) 处理腔室部件的清洁方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 6