KR101343361B1 - 저온 이온 주입을 위한 기술 - Google Patents

저온 이온 주입을 위한 기술 Download PDF

Info

Publication number
KR101343361B1
KR101343361B1 KR1020097005066A KR20097005066A KR101343361B1 KR 101343361 B1 KR101343361 B1 KR 101343361B1 KR 1020097005066 A KR1020097005066 A KR 1020097005066A KR 20097005066 A KR20097005066 A KR 20097005066A KR 101343361 B1 KR101343361 B1 KR 101343361B1
Authority
KR
South Korea
Prior art keywords
wafer
ion implantation
cooling
implantation process
station
Prior art date
Application number
KR1020097005066A
Other languages
English (en)
Other versions
KR20090049069A (ko
Inventor
조나단 제럴드 잉글랜드
스티븐 알. 월터
리처드 에스. 무카
줄리안 블레이크
폴 제이. 머피
르우엘 비. 리베르트
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Publication of KR20090049069A publication Critical patent/KR20090049069A/ko
Application granted granted Critical
Publication of KR101343361B1 publication Critical patent/KR101343361B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26593Bombardment with radiation with high-energy radiation producing ion implantation at a temperature lower than room temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

저온 이온 주입을 위한 기술이 개시된다. 일 실시예에 있어서, 상기 기술은 저온 이온 주입 장치로서 실현될 수 있다. 상기 장치는 이온 주입기의 엔드 스테이션에 인접하게 위치하는 예비-냉각 스테이션을 포함할 수 있다. 상기 장치는 또한 상기 예비-냉각 스테이션 내의 냉각 메커니즘을 포함할 수 있다. 상기 장치는 또한 상기 예비-냉각 스테이션 및 상기 엔드 스테이션에 연결된 로딩 어셈블리를 포함할 수 있다. 상기 장치는 또한 상기 로딩 어셈블리 및 상기 냉각 메커니즘과 연결되어 웨이퍼를 상기 예비-냉각 스테이션으로 로딩, 상기 웨이퍼를 기 설정된 온도 범위로 냉각, 및 상기 냉각된 웨이퍼를 이온 주입 공정을 받게 되는 상기 엔드 스테이션으로 로딩하는 것을 조정하는 컨트롤러를 포함할 수 있다.

Description

저온 이온 주입을 위한 기술{TECHNIQUE FOR LOW-TEMPERATURE ION IMPLANTATION}
본 발명은 반도체 제조에 관한 것이다. 보다 상세하게는, 본 발명은 저온 이온 주입을 위한 기술에 관한 것이다.
반도체 소자들의 소형화가 계속됨에 따라, 극히 얕은 접합들(ultra-shallow junctions)에 대한 수요가 증가하고 있다. 예를 들면, 현대의 상보적 금속 산화물 반도체(CMOS) 장치들의 요구를 충족시키기 위하여 더 활성적이고, 더 얕으며, 더욱 계단형에 가까운 소스-드레인 연장 접합들을 만들기 위해 엄청난 노력이 기울어져 왔다.
예를 들면, 웨이퍼 표면의 비정질화와 같은 단결정 웨이퍼에 계단형의 극히 얕은 접합을 형성하는 것이 바람직하다. 일반적으로, 얇은 비정질막은 더욱 현저한 채널링, 상기 비정질막에서 한정되는 더 적은 도펀트 원자들, 및 상기 비정질-결정 계면을 지나 EOR(end-of-range) 영역에서 존재하는 더 많은 결정 간극들을 유발하므로, 비교적 두꺼운 비정질 실리콘막이 바람직하다. 그 결과, 더 얇은 비정질막은 더 깊은 정션 깊이, 더 작은 계단형 도핑 프로파일, 불충분한 도펀트들의 활성, 및 열처리 후에 더 많은 EOR 결함들을 일으키고, 이러한 결함들 모두는 현대의 CMOS의 소자 미세화에 있어, 특히 소스-드레인 확장(extension) 도핑에 대하여 주된 장애물들로 나타난다.
이온 주입동안 비교적 낮은 웨이퍼 온도는 실리콘 웨이퍼의 비정질화를 위해 바람직하다. 이온 주입의 현재 응용분야들에 있어서, 웨이퍼들은 일반적으로 냉각장치(chiller)를 사용하는 가스-보조 공정에 의해 상기 주입 공정 동안에 냉각된다. 대부분의 경우들에 있어서, 이러한 냉각 기술들은 상기 웨이퍼 온도를 상기 냉각장치 온도(예를 들면, 15℃)와 포토레지스트 형태를 유지하기 위해 부과된 상한 온도(예를 들면, 100℃) 사이로 유지한다. 이러한 높은 온도는 자기-어닐링(self-annealing) 효과, 즉, 프렌켈 짝들(Frenkel pairs)(이온 빔 충격들로부터 발생된 틈새-짝들(vacancy-interstitial pairs))의 소멸을 증대시킨다. 상기 실리콘의 비정질화는 상기 실리콘 원자들의 충분한 개수가 빔 이온들에 의해 대체될 때만 발생하므로, 높은 온도에서의 상기 프렌켈 짝 소멸의 증가는 많이 요구되는 비정질화 공정에 반대하여 작용하여, 비정질화를 위한 더 높은 도즈 문턱값(dose threshold)을 초래하여 이상적인 얕은 접합들을 더 적게 초래하게 된다.
다른 파라미터들이 같다면, 비정질 실리콘막의 두께는 자기-어닐링 효과의 감소에 따른 주입 온도의 감소와 함께 증가된다. 비정질막이 더 두꺼울수록, 테일 채널링(tail channeling)이 덜 기대된다. 상기 비정질 영역에서 빔 이온들에 의해 생성된 더 많은 손상이 한정되고 상기 비정질-결정 계면을 넘어 상기 결정 영역으로 더 적은 손상이 도입된다. 또한, 후속 어닐링 동안에, 고상 에피택시(solid-phase epitaxy) 공정에 의해 치환 사이트들에서 도펀트들이 많을수록 더 좋은 활성 화가 달성될 수 있다.
더 두꺼운 비정질 실리콘막에 의해 도입된 장점들에 추가적으로, 저온에서 이온 주입을 수행하는 것은 또한 상기 주입 동안에 프렌켈 짝들의 이동을 최소화한다. 그 결과, 고온 주입의 경우와 비교할 때, 더 적은 프렌켈 짝들이 비정질-결정 계면을 지난 영역으로 밀려지게 된다. 대부분의 상기 프렌켈 짝들은 상기 고상 에피택시 공정 동안에 상기 격자내로 다시 성장할 것이고 전이 증대 확산을 유발하거나 확장된 결함들(extended defects)을 형성하는 과도한 틈새들을 유발하지는 않는다. 또한 과도한 틈새들이 적을수록 채널 상에 소스-드레인 확장 도핑 또는 할로 도핑(halo doping)의 충격이 더 적다. 단 채널(short channel)의 억제 효과와 같은, 채널을 압박하는 틈새들 또는 채널 영역으로의 할로 도펀트들이 더 적어지거나 네거티브 커플링이 더 적어지는 효과가 기대된다. 이에 따라, 더 좋은 공정 제어 및 소자 성능의 예측이 달성될 수 있다.
상기 웨이퍼가 예를 들면, 1000℃로 5초 동안 가열되는 급속 열처리는 주입된 도펀트들을 활성화하기 위해 일반적으로 사용되어 왔다. 확산이 없는 열처리는 바람직한 주입 이후의 공정이 되고 있으며, 이러한 공정들에 있어서 웨이퍼의 온도는 예를 들면, 열 소스로서 레이저를 사용하여 (예를 들면, 5ms 동안 1000℃로) 더 빠르게 상승된다. 이렇게 극도로 빠른 열처리 공정들은 너무 빠르게 작용하여 상기 도펀트들은 크게 확장될 시간을 갖지 못하지만, 상기 주입 손상이 치유될 시간 또한 적어지게 된다. 저온 이온 주입은 이러한 확산이 없는 열처리 동안에 주입 손상 치유의 정도를 개선할 수 있다.
저온 이온 주입을 위한 다른 이유들이 또한 존재한다.
지금까지 저온 이온 주입이 시도되어 왔지만, 기존의 접근들은 수많은 결함들을 경험하고 있다. 첫째로, 반도체 산업에 있어 최근 경향은 단일-웨이퍼 이온 주입기들을 선호하는 반면, 대부분 기존의 저온 이온 주입 기술들은 배엽-웨이퍼 이온 주입기들을 대상으로 개발되어 왔다. 배엽-웨이퍼 이온 주입기들은 일반적으로 단일의 진공 챔버에 수용된 다수개의 웨이퍼들(batches)을 처리한다. 종종 연장된 시간 동안 동일한 진공 챔버에서 몇 개의 냉각된 웨이퍼들이 동시에 존재하는 것은, 특별한 인-시튜 냉각 성능을 요구한다. 한 묶음의 전체 웨이퍼들을 예비적으로 냉각하는 것은 각 웨이퍼가 주입되는 순서를 기다리는 동안 다른 온도 상승을 경험할 것이므로 쉬운 선택이 아니다. 추가적으로, 상기 저온 웨이퍼들에 대한 상기 진공 챔버에서의 연장된 노축은 잔류하는 습기로부터의 결빙을 초래할 수 있다.
둘째로, 거의 모든 기존의 저온 이온 주입기들은 이온 주입 동안 웨이퍼들을 직접적으로 냉각한다. 공정 챔버에서의 결빙 문제들을 유발시키는 경우를 별문제로 하고, 직접적 냉각은 냉각 구성요소들(예를 들면, 냉각 파이프라인들, 히트 펌프들, 및 추가적인 전기적 배선들)의 웨이퍼 플레이튼으로의 통합을 요구한다. 일반적으로, 기존의 웨이퍼 플레이튼들은 이미 정교하며 변경하기가 매우 어렵다. 그 결과, 기존의 이온 주입기의 변경 또는 저온 공정들을 채용하는 새로운 이온 주입기의 설계는 엄청나게 비싼 반면 미비한 개선만을 달성하게 된다. 더욱이, 저온 이온 주입을 위한 웨이퍼 플레이튼의 변경은 내부 온도 이온 주입 공정들을 수행하는 이온 주입기의 성능에 원하지 않는 충격을 줄 수도 있다. 추가적으로, 인-시튜 냉 각은 종종 전체 이온 주입 공정을 상당히 느리게 하며 이에 따라 생산 작업 처리량을 저하시킨다.
앞서 말한 관점에서, 상술한 결점들과 부족한 점들을 극복하는 저온 이온 주입을 위한 해결책을 제공하는 것이 바람직하다.
저온 이온 주입을 위한 기술이 개시된다. 일 실시예에 있어서, 상기 기술은 저온 이온 주입 장치로서 실현될 수 있다. 상기 장치는 이온 주입기의 엔드 스테이션에 인접하게 위치하는 예비-냉각 스테이션을 포함할 수 있다. 상기 장치는 또한 상기 예비-냉각 스테이션 내의 냉각 메커니즘을 포함할 수 있다. 상기 장치는 또한 상기 예비-냉각 스테이션 및 상기 엔드 스테이션에 연결된 로딩 어셈블리를 포함할 수 있다. 상기 장치는 또한 상기 로딩 어셈블리 및 상기 냉각 메커니즘과 연결되어 웨이퍼를 상기 예비-냉각 스테이션으로 로딩, 상기 웨이퍼를 기 설정된 온도 범위로 냉각, 및 상기 냉각된 웨이퍼를 이온 주입 공정을 받게 되는 상기 엔드 스테이션으로 로딩하는 것을 조정하는 컨트롤러를 포함할 수 있다.
본 발명의 일 실시예의 다른 관점들에 있어서, 상기 예비-냉각 스테이션은 제1 진공 공간을 형성할 수 있고, 상기 엔드 스테이션은 상기 제1 진공 공간과 분리된 제2 진공 공간을 형성할 수 있다.
본 발명의 일 실시예의 또 다른 관점들에 있어서, 상기 이온 주입기는 상기 엔드 스테이션에서 한번에 하나의 웨이퍼를 처리하는 단일-웨이퍼 이온 주입기일 수 있다.
본 발명의 일 실시예의 또 다른 관점들에 있어서, 상기 컨트롤러는 추가적으로 상기 로딩 어셈블리가 상기 이온 주입 공정 이후에 상기 웨이퍼를 상기 엔드 스테이션으로부터 즉시 제거하도록 할 수 있다.
본 발명의 일 실시예의 일 관점에 있어서, 상기 엔드 스테이션은 상기 이온 주입 공정 동안 상기 웨이퍼를 유지하는 플레이튼을 포함하고, 상기 웨이퍼는 실질적으로 상기 플레이튼으로부터 열적으로 고립될 수 있다. 상기 플레이튼은 상기 웨이퍼를 지지하는 다수개의 메사(mesa) 구조물들을 포함하여, 상기 플레이튼과 상기 웨이퍼 사이의 전체 접촉 면적은 상기 웨이퍼 표면보다 실질적으로 더 적을 수 있다. 그리고, 상기 플레이튼은 상기 웨이퍼의 틸팅 및 회전을 가능하게 할 수 있다.
본 발명의 일 실시예의 또 다른 관점에 있어서, 상기 예비-냉각 스테이션은 냉각을 위해 상기 웨이퍼를 유지하는 고정된 플레이튼을 포함할 수 있다. 상기 고정된 플레이튼은 상기 로딩 어셈블리에 의한 로딩 및 언로딩을 원활히 하기 위한 리프트 핀들을 포함할 수 있다.
본 발명의 일 실시예의 또 다른 관점에 있어서, 상기 웨이퍼는 더 큰 열용량을 달성하기 위한 물체에 부착되어 상기 웨이퍼는 상기 이온 주입 공정 동안 더 작은 온도 상승을 경험할 수 있다. 상기 물체는 상기 웨이퍼보다 더 무거운 캐리어 플레이트일 수 있다. 상기 웨이퍼는 자신의 승화 온도 이하에서 이산화탄소와 함께 상기 캐리어 플레이트에 부착될 수 있고, 상기 캐리어 플레이트는 일체화된 적어도 하나의 열 센서를 포함할 수 있다. 상기 캐리어 플레이트는 일체화된 냉각/가열 메커니즘을 가질 수 있다.
본 발명의 일 실시예의 또 다른 관점에 있어서, 상기 이온 주입 공정은 플라즈마 도핑 공정의 일부일 수 있다.
본 발명의 일 실시예의 또 다른 관점에 있어서, 상기 냉각 메커니즘은 가스 냉각, 냉각제 순환, 냉각제 상태 변화, 펠티어(Peltier) 열전달, 및 내장된 저온 펌프(cryopump)로 구성된 그룹으로부터 선택된 하나 또는 그 이상의 기술들에 근거하여 상기 웨이퍼를 냉각할 수 있다.
본 발명의 일 실시예의 또 다른 관점에 있어서, 상기 이온 주입 공정에 의한 온도 상승량은 상기 웨이퍼에 대해 예상되고, 상기 컨트롤러는 상기 웨이퍼가 상기 예상된 온도 상승량의 적어도 일부에 기초하여 냉각되어 상기 이온 주입 공정 동안 상기 웨이퍼의 과열을 회피하도록 추가적으로 구성될 수 있다.
본 발명의 일 실시예의 또 다른 관점에 있어서, 상기 예비-냉각 스테이션은 상기 엔드 스테이션에 연결되는 로드락의 일부일 수 있다. 이와 다르게, 상기 예비-냉각 스테이션과 상기 냉각 메커니즘의 적어도 일부는 상기 로딩 어셈블리로 병합될 수 있다.
본 발명의 다른 실시예에 있어서, 상기 기술은 저온 이온 주입 방법으로서 실현될 수 있다. 상기 방법은 웨이퍼를 제1 진공 공간으로 로딩하는 단계를 포함할 수 있다. 상기 방법은 또한 상기 웨이퍼가 상기 제1 진공 공간에 있는 동안 상기 웨이퍼를 기 설정된 온도 범위로 냉각시키는 단계를 포함할 수 있다. 상기 방법은 또한 상기 냉각된 웨이퍼를 상기 제1 진공 공간으로부터 분리된 제2 진공 공간으로 로딩하는 단계를 포함할 수 있다. 상기 방법은 또한 상기 제2 진공 공간에서 상기 냉각된 웨이퍼 상에 이온 주입 공정을 수행하는 단계를 포함할 수 있다.
본 발명의 다른 실시예의 다른 관점들에 있어서, 상기 방법은 상기 웨이퍼가 상기 이온 주입 공정 동안 작은 온도 증가를 경험하도록 상기 웨이퍼의 후면측에 캐리어 플레이트를 부착하여 더 큰 열용량을 얻는 단계를 더 포함할 수 있다.
본 발명의 다른 실시예의 또 다른 관점들에 있어서, 상기 방법은 상기 이온 주입 공정 이후에 상기 웨이퍼를 상기 제2 진공 공간으로부터 즉시 제거하는 단계를 더 포함할 수 있다.
본 발명의 다른 실시예의 또 다른 관점들에 있어서, 상기 방법은 상기 이온 주입 공정 이후에 상기 웨이퍼의 온도를 이슬점 이상으로 가열하는 단계를 더 포함할 수 있다.
본 발명의 다른 실시예의 일 관점에 있어서, 상기 방법은 상기 이온 주입 공정에 의해서 상기 웨이퍼에 대한 온도 상승량을 예측하는 단계 및 상기 예측된 온도 상승량의 적어도 일부에 기초하여 상기 웨이퍼를 냉각하여 상기 이온 주입 공정 동안 상기 웨이퍼의 과열을 회피하는 단계를 더 포함할 수 있다.
본 발명의 다른 실시예의 또 다른 관점에 있어서, 상기 이온 주입 공정을 중지하는 단계, 상기 웨이퍼를 원하는 온도로 재-냉각하는 단계, 및 상기 이온 주입 공정을 재개하는 단계를 더 포함할 수 있다.
본 발명의 다른 실시예의 또 다른 관점에 있어서, 상기 이온 주입 공정은 플라즈마 도핑 공정의 일부일 수 있다.
본 발명의 다른 실시예의 또 다른 관점에 있어서, 상기 방법은 상기 이온 주입 공정 이전에 상기 웨이퍼로부터 기체를 빼는 단계를 더 포함할 수 있다.
본 발명의 다른 실시예의 또 다른 관점에 있어서, 상기 방법은 상기 이온 주입 공정 이후에 확산이 없는 열처리를 수행하는 단계를 더 포함할 수 있다.
또 다른 실시예에 있어서, 상기 기술은 상술한 방법을 수행하기 위하여 컴퓨터 프로세서를 실행하도록 지시하는 적어도 하나의 프로세서에 의해 판독 가능하도록 구성된 명령어들의 컴퓨터 프로그램을 전송하기 위한 적어도 하나의 캐리어 웨이브에 일체화된 적어도 하나의 신호로서 실현될 수 있다.
또 다른 실시예에 있어서, 상기 기술은 상술한 방법을 수행하기 위하여 컴퓨터 프로세서를 실행하도록 지시하는 적어도 하나의 프로세서에 의해 판독 가능하도록 구성된 명령어들의 컴퓨터 프로그램을 저장하기 위한 적어도 하나의 프로세서 판독 가능한 캐리어로서 실현될 수 있다.
또 다른 실시예에 있어서, 상기 기술은 이온 주입기로서 실현될 수 있다. 상기 이온 주입기는 적어도 하나의 엔드 스테이션, 상기 적어도 하나의 엔드 스테이션에 인접하게 위치하며 냉각 메커니즘을 갖는 적어도 하나의 예비-냉각 스테이션, 상기 적어도 하나의 엔드 스테이션 및 상기 적어도 하나의 예비-냉각 스테이션에 연결된 로딩 어셈블리, 및 웨이퍼가 상기 엔드 스테이션으로 로딩되어 이온 주입 공정이 수행되기 전에 상기 웨이퍼를 상기 적어도 하나의 예비-냉각 스테이션으로 로딩시키고 기 설정된 온도 범위로 냉각시키도록 구성된 컨트롤러를 포함할 수 있다.
또 다른 실시예에 있어서, 상기 기술은 이온 주입 및 도펀트 활성 방법으로서 실현될 수 있다. 상기 방법은 웨이퍼 상에 이온 주입 공정을 수행하되, 상기 이온 주입 공정 동안에, 상기 웨이퍼의 온도가 실내 온도보다 낮은 범위 내에서 유지되는 것을 특징으로 하는 단계를 포함할 수 있다. 상기 방법은 또한 상기 이온 주입 공정 이후에 상기 웨이퍼 상에 확산이 없는 열처리를 수행하는 단계를 포함할 수 있다. 상기 이온 주입 공정 이전에, 상기 웨이퍼는 원하는 온도 범위로 예비-냉각될 수 있고, 상기 이온 주입 공정 동안에, 상기 웨이퍼는 상기 웨이퍼로부터 적어도 일부가 열적으로 절연된 플레이튼 상에 위치할 수 있다. 이와 다르게, 상기 웨이퍼의 온도는 가스 냉각, 냉각제 순환, 냉각제 상태 변화, 펠티어(Peltier) 열전달, 및 내장된 저온 펌프(cryopump)로 구성된 그룹으로부터 선택된 하나 또는 그 이상의 기술들에 근거하여 상기 범위 이내에서 유지될 수 있다. 상기 확산이 없는 열처리는 레이저를 사용하여 수행될 수 있다.
본 발명의 특징들 및 기타 이점들은 상세한 설명 및 첨부된 도면들을 참조하여 다양한 실시예들을 상세하게 기술함으로써 더욱 명확하게 이해될 것이다.
도 1은 본 발명의 일 실시예에 따른 저온 이온 주입을 위한 예시적인 시스템을 나타내는 블록 다이어그램이다.
도 2는 본 발명의 일 실시예에 따른 저온 이온 주입을 위한 다른 예시적인 시스템을 나타내는 블록 다이어그램이다.
도 3은 본 발명의 일 실시예에 따른 저온 이온 주입을 위한 예시적인 예비-냉각 스테이션을 나타내는 블록 다이어그램이다.
도 4는 본 발명의 일 실시예에 따른 저온 이온 주입을 위한 예시적인 방법을 나타내는 흐름도이다.
도 5a 및 도 5b는 본 발명의 일 실시예에 따른 저온 이온 주입을 위한 예시적인 웨이퍼 부착물들을 나타내는 도면이다.
이하, 본 발명의 실시예들을 첨부된 도면을 참조하여 상세하게 설명하지만, 본 발명이 하기의 실시예들에 의해 제한되거나 한정되는 것은 아니다. 또한, 하기 실시예들에 설명되는 모든 조합들(combinations)이 본 발명에 있어서 필수 불가결한 것은 아니다.
본 발명의 실시예들은 웨이퍼가 이온 주입을 위해 플레이튼 상에 로딩되기 전에 원하는 온도 범위로 예비-냉각될 수 있는 저온 이온 주입을 위한 기술을 제공한다. 이온 주입 동안, 상기 웨이퍼는 능동적 또는 계속적으로 냉각될 필요는 없으며 웨이퍼 플레이튼으로부터 열적으로 절연될 수 있다. 이온 주입 동안의 웨이퍼 온도 상승은 상기 웨이퍼를 더 큰 열용량을 갖는 다른 물체에 부착시킴으로써 더욱 반감될 수 있다. 여기서 개시되는 상기 저온 이온 주입 기술은 플라즈마 이온주입(plasma ion implantation, PIII)이라 불리기도 하는 플라즈마 도핑(PLAD)뿐만 아니라 모든 종류의 이온 주입 공정들을 위해 적용될 수 있다. 기존의 이온 주입기들은 쉽게 변경될 수 있거나 새로운 이온 주입기들이 상기 예비-냉각 성능들을 제공하도록 만들어질 수 있다.
여기서 사용되는 바와 같이, "온도 범위"는 특정 온도값(예를 들면, -100℃) 또는 두개의 특정 온도값들 사이(예를 들면, -100℃ ~ -90℃) 또는 특정 온도값(예를 들면, ≥ -150℃ 또는 ≤ 80℃) 이상이거나 이하의 온도 범위를 의미한다. 여기서 사용되는 바와 같이, "엔드 스테이션(end station)"은 웨이퍼가 이온 주입 동안 수용되는 이온 주입기의 일부를 의미한다. 엔드 스테이션은 일반적으로 이온 주입 공정 챔버를 포함하고, 상기 이온 공정 챔버는 후술하는 예비-냉각 스테이션/챔버와 연결되거나 인접하게 배치된다.
도 1을 참조하면, 본 발명의 일 실시예에 따른 저온 이온 주입을 위한 예시적인 시스템(100)을 나타내는 블록 다이어그램이 도시되어 있다. 시스템(100)은 이온 주입기의 일부일 수 있으며 예비-냉각 스테이션(102), 엔드 스테이션(104), 웨이퍼 로딩 어셈블리(106), 및 컨트롤러(108)를 포함할 수 있다.
"열 조절 유닛"으로도 알려진 예비-냉각 스테이션(102)은 엔드 스테이션(104)의 진공 공간으로부터 분리되는 진공 공간을 형성할 수 있다. 예비-냉각 스테이션(102)의 내부에는 웨이퍼 홀더(114) 및 웨이퍼 홀더(114)에 연결된 냉각 유닛(110)이 구비될 수 있다. 일반적으로 웨이퍼 홀더(114)는 예를 들면, 정전기력을 이용하여 웨이퍼를 적절하게 고정할 수 있는 고정된 플레이튼일 수 있다. 냉각 유닛(110)은 하나 또는 그 이상의 현재 알려져 있거나 이후에 개발될 냉각 기술들을 채용하여 웨이퍼를 기 설정된 온도 범위로 냉각시킬 수 있다. 일반적으로, 저온 이온 주입을 위해 원하는 온도 범위는 실내 온도 이하이며, 종종 증류수의 빙점 이하이다. 액체 질소의 저온이 바람직할 수 있겠지만, 극도의 온도가 모든 이온 주입들을 위해 필요하거나 실용적이지 않을 수 있다. 일 실시예에 있어서, -100℃와 -50 ℃ 사이의 온도가 대부분의 애플리케이션들에 충분할 수 있다. 그럼에도 불구하고, 본 발명은 어떠한 특정 온도 범위에 제한되지 않는다. 실제로, 예비-주입 열 조절 개념은 또한 상기 웨이퍼 온도가 실내 온도보다 더 높은 이온 주입들에 적용될 수 있다.
엔드 스테이션(104)은 단일의 웨이퍼들의 이온 주입이 일어나는 공정 챔버일 수 있다. 엔드 스테이션(104)은 이온 주입 동안 상기 웨이퍼를 유지 및/또는 이동시키는 자신의 웨이퍼 홀더(116)를 가질 수 있다. 전형적인 웨이퍼 홀더(116)는 웨이퍼의 틸팅 및/또는 회전을 가능하게 하는 플레이튼일 수 있다. 저온 이온 주입 동안, 웨이퍼는 웨이퍼 홀더(116)에 의해 능동적으로 또는 계속적으로 냉각될 필요가 없을 수 있다. 따라서, 기존의 웨이퍼 플레이튼은 냉각 구성요소들을 수용하기 위하여 변경될 필요가 없다. 이 경우에 있어서, 바람직하게는, 웨이퍼 홀더(116)는 그 위에 고정된 상기 웨이퍼로부터 열적으로 절연되어 있다. 정전기적 클램프(ESC 또는 E-척)가 상기 웨이퍼를 적절히 유지하기 위해 사용될 수 있다. 추가적으로, 저-접촉-영역(low-contact-area) E-척이 사용될 수 있으며, 이 경우에 있어서 작은 메사(mesa) 구조물들은 상기 웨이퍼 후면과 최소의 접촉으로 (예를 들면, 상기 웨이퍼 표면의 약 1 퍼센트만이 접촉됨) 상기 웨이퍼를 지지할 수 있다. 상기 웨이퍼와 상기 플레이튼 사이의 열 접촉을 추가적으로 감소시키기 위하여, 이온 주입을 위해 상기 웨이퍼가 로딩되기 전에 상기 웨이퍼로부터 기체를 빼는 것이 바람직할 수 있다.
웨이퍼 로딩 어셈블리(106)는 예비-냉각 스테이션(102) 및 엔드 스테이 션(104) 내의 웨이퍼를 로딩 또는 언로딩하는 데 적합한 로봇 암(112)을 포함할 수 있다. 웨이퍼 로딩 어셈블리(106)는 일반적인 자동화 웨이퍼 핸들링 시스템의 일부 일 수 있다. 로드락(118) 및 로드락(120)은 예비-냉각 스테이션(102) 및 엔드 스테이션(104)으로 로봇 암(112)의 접근을 각각 허용할 수 있다.
컨트롤러(108)는 입력/출력 및 저장 소자들뿐만 아니라 마이크로프로세서 또는 마이크로컨트롤러 또는 이와 유사한 계산 장치를 포함할 수 있다. 컨트롤러(108)는 냉각 유닛(110) 및 웨이퍼 로딩 어셈블리(106)와 연결되어 웨이퍼들의 로딩/언로딩 및 냉각을 제어한다. 각각의 웨이퍼의 이온 주입 이전에, 컨트롤러(108)는 웨이퍼 로딩 어셈블리(106)가 웨이퍼를 예비-냉각 스테이션(102)의 웨이퍼 홀더(114) 상으로 로딩 하도록 한다. 컨트롤러(108)는 이어서 냉각 유닛(110)이 상기 웨이퍼를 기 설정된 온도 범위로 냉각시키도록 냉각 유닛(110)을 제어한다. 원하는 온도 범위에 도달하면, 컨트롤러(108)는 웨이퍼 로딩 어셈블리(106)가 상기 웨이퍼를 예비-냉각 스테이션(102)으로부터 언로딩하고 엔드 스테이션(104)으로 이송하도록 제어한다. 즉, 상기 웨이퍼는 웨이퍼 홀더(116) 상에 의하여 특정 레시피에 따른 이온 주입을 받게 된다. 이온 주입이 완료되면, 컨트롤러(108)는 상기 웨이퍼가 엔드 스테이션(104)으로부터 언로딩되도록 제어한다.
도 2는 본 발명의 일 실시예에 따른 저온 이온 주입을 위한 다른 예시적인 시스템(200)을 나타내는 블록 다이어그램이다. 본 도면은 기존의 이온 주입기가 저온 이온 주입을 위해 변경되는 예시를 나타낸다.
시스템(200)은 기존의 이온 주입기의 엔드 스테이션을 근거로 한다. 상기 엔 드 스테이션은 공정 챔버(202) 및 로드락들(210, 212)을 포함할 수 있다. 공정 챔버(202) 내부에는, 웨이퍼 플레이튼(206), 예비-냉각기(208), 및 이송 로봇들(214, 216)이 구비될 수 있다. 웨이퍼 플레이튼(206)은 이온 주입 동안 웨이퍼를 유지하고, 이 경우에 있어서 상기 웨이퍼는 실질적으로 웨이퍼 플레이튼(206)으로부터 열적으로 절연되어 있다.
예비-냉각기(208)는 웨이퍼 오리엔터(orienter)로 사용된 것으로부터 변경된 구성요소일 수 있다. 플랫 에지, 노치, 또는 웨이퍼의 다른 구별되는 형상들을 별문제로 하고, 예비-냉각기(208)는 이제 이온 주입 이전에 상기 웨이퍼를 원하는 온도 범위로 예비-냉각시키는 추가적인 목적을 제공한다. 이와 다르게, 예비-냉각기(208)는 단지 상기 웨이퍼의 예비-냉각의 목적을 제공하고, 상기 웨이퍼 오리엔팅 기능은 상기 이온 주입기의 내부 또는 외부의 다른 위치에 다시 배치될 수 있다. 예비-냉각된 웨이퍼가 여전히 오리엔터를 통과하여야 한다면, 이러한 오리엔터를 열적으로 절연시켜 상기 웨이퍼의 원하지 않는 온도 상승을 방지하는 것이 바람직하다. 결빙 문제들을 최소화하기 위하여, 예비-냉각기(208)는 웨이퍼 플레이튼(206)의 진공 공간으로부터 분리된 진공 공간 또는 챔버에 위치하는 것이 바람직하다. 분리된 진공 공간 또는 챔버들은 또한 동일한 시간에 다른 웨이퍼가 이온 주입을 받을 동안 하나의 웨이퍼가 예비-냉각되어, 상기 예비-냉각 및 상기 이온 주입 공정들 사이에 과도한 간섭들이 일어나지 않도록 할 수 있다.
동작에 있어서, 대기압 로봇(도시되지 않음)은 웨이퍼(20)를 대기압으로부터 진공 밸브(211)를 통해 로드락(210)으로 도입할 수 있다. 로드락(210)이 펌프(도시 되지 않음)에 의해 진공화되면, 공정 챔버(202)의 입구에 있는 게이트 밸브(205)가 개방될 수 있다. 웨이퍼(20)는 이송 로봇(214)에 의해 예비-냉각기(208) 상으로 이송될 수 있다. 예비-냉각기(208)는 웨이퍼(20)를 기 설정된 온도 범위로 냉각시킬 수 있다. 예비-냉각기(208)는 또한 웨이퍼(20)의 중심 및 이의 결정 방향을 확인할 수 있다. 원하는 온도에 도달하면, 다른 이송 로봇(216)은 웨이퍼(20)를 웨이퍼 플레이튼(206)의 중심 상에 웨이퍼(20)의 결정격자를 선택된 방향으로 하여 로딩할 수 있다. 여기서 도시된 웨이퍼 플레이튼(206)은 수평 로딩 방향에 있다. 이 위치에 있어서, 상기 웨이퍼는 이온 빔(22)이 공정 챔버(202)로 들어오는 높이보다 높게 위치할 수 있다. 웨이퍼 플레이튼(206)은 스캐너 메커니즘(204)에 의해 이온 빔(22)의 방향에 직교하는 수평축에 대해 회전될 수 있다. 이어서, 웨이퍼(20)는, 예를 들면, 스캐너 메커니즘(204)에 의해 이온 빔(22)을 통해 위아래로 스캐닝된다. 상기 스캐닝 동안, 웨이퍼(20)는 상기 이온 주입 공정의 요구사항들에 따라, 예를 들면, 수직하게, 또는 ±60도 사이의 다른 각도로 회전될 수 있다.
상기 웨이퍼의 인-시튜 냉각은 이온 주입 동안에는 요구되지 않으므로, 냉각 목적들을 위해 웨이퍼 플레이튼(206)을 변경할 필요가 없을 수 있다. 그러나, 웨이퍼 플레이튼(206)이 상기 웨이퍼로부터 열적으로 절연되어 상기 웨이퍼의 온도 상승을 감소시키는 것이 바람직할 수 있다.
이온 주입이 완료된 후에, 이송 로봇(216)은 웨이퍼(20)를 언로딩하여 로드락(212)으로 이송한다. 공정 챔버(202)와 비교하여 로드락(212)에서의 잔류 습도 레벨에 의존하여, 웨이퍼(20)를 로드락(212)으로 이송하기 전에 웨이퍼(20)를 어느 정도 가열하는 것이 바람직할 수 있다. 로드락(212)에 있는 동안, 상기 웨이퍼의 온도가 이슬점보다 높아질 때까지 자체적으로 또는 보조 가열을 통해 상기 웨이퍼의 온도가 상승된다. 이어서 로드락(212)을 대기로 개방하고 상기 웨이퍼 또는 웨이퍼들을 언로딩하는 것이 안전할 수 있다. 이와 다르게, 예열 스테이션이 구비되어 상기 저온 이온 주입 공정 이후에 상기 웨이퍼의 온도를 이슬점보다 높게 할 수 있다. 상기 예열 스테이션은, 복합 예열 스테이션/예비-냉각기 역시 가능하지만, 예비-냉각기로부터 분리되는 열 조절 유닛일 수 있다. 열 센서들 및 관련된 전기장치들은 상기 예열 스테이션에서의 피드백 제어를 제공하여 웨이퍼가 원하는 온도 범위로 가열되는 것을 보장할 수 있다. 상기 웨이퍼의 가열은 열 램프들의 뱅크(예를 들면, 적외선 또는 다른 전자기적 주파수들), 상기 웨이퍼의 플레이튼 지지대에 일체화된 열전기 및/또는 저장 장치들, 및/또는 상기 웨이퍼 지지대의 가열된 유체의 순환을 포함하는 수많은 방법들로 달성될 수 있지만, 이에 한정되지는 않는다.
본 발명의 또 다른 실시예들에 있어서, 예비-냉각 공정은 상술한 바와 같은 예비-냉각기(208) 상에서 일어나지 않고, 상기 로드락들 중 하나에서 일어날 수 있다. 예를 들면, 로드락들(210)은 냉각 메커니즘을 포함하거나 이에 연결되도록 변경되어, 로드락(210) 내로 로딩된 하나 또는 그 이상의 웨이퍼들이 이온 주입을 위한 공정 챔버(202)로 로딩되기 전에 냉각될 수 있다. 다시 말하면, 로드락은 예비-냉각 스테이션으로 변경 또는 사용될 수 있다. 예비-냉각기/로드락 조합의 경우에 있어서, 저온 이온 공정 이후에 웨이퍼의 온도를 예열시키기 위한 가열 성능들을 포함하는 것 역시 바람직할 수 있다.
본 발명의 또 다른 실시예에 있어서, 상기 예비-냉각 및/또는 사후-가열 성능들 모두 또는 일부들이 이송 로봇이 변경된 엔드-이펙터(end-effector)(또는 다른 구성요소)와 함께 구비될 수 있다. 추가적으로, 하나 또는 그 이상의 열 센서들 및 다른 제어 전자장치들이 상기 이송 로봇의 냉각/가열 메커니즘과 관련하여 구비될 수 있다.
도 2에 도시된 바와 같이, 본 발명에 따른 저온 이온 주입의 장점들을 도출하기 위해서 기존의 이온 주입기에 약간의 변경들만이 필요할 수 있다.
도 3은 본 발명의 일 실시예에 다른 저온 이온 주입을 위한 예시적인 예비-냉각 스테이션(300)을 나타내는 블록 다이어그램이다. 예비-냉각 스테이션(300)은 상부에 배치된 웨이퍼(30)를 유지 및 냉각시킬 수 있는 웨이퍼 홀더(302)를 포함할 수 있다. 웨이퍼 홀더(302)는 일반적으로 자신의 예비-냉각 공정 동안 상기 웨이퍼를 기울이거나 회전할 필요가 없으므로 단순히 고정된 플레이튼일 수 있다. 일 실시예에 있어서, 상기 웨이퍼는 후면측 가스(예를 들면, 질소)와 함께 웨이퍼 홀더(302)에 연결될 수 있다. 상술한 바와 같이, 예비-냉각 스테이션(300)은 웨이퍼(30)를 원하는 온도 범위로 할 수 있는 다수개의 냉각 기술들 중 일부를 채용하는 냉각 메커니즘을 포함할 수 있다. 예를 들면, 후방 냉각 어셈블리(304)는 웨이퍼(30)의 후면측을 냉각시킬 수 있고, 또는 전방 냉각 어셈블리(308)는 웨이퍼(30)의 전면측을 냉각시킬 수 있다. 후방 냉각 어셈블리(304)는 웨이퍼(30)와 직접적으로 접촉하여 열 싱크(구체적으로 도시되지 않음)를 제공하고 파이프라인(306)을 통해 냉각제(예를 들면, 물, 냉각 질소)를 순환시킬 수 있다. 후방 냉각 어셈블 리(304)는 또한 냉각제(예를 들면, 암모니아(NH3))의 상태 변화의 장점을 이용하여 웨이퍼(30)를 실질적으로 동일한 온도로 지속적으로 냉각할 수 있다. 후방 냉각 어셈블리(304)는 또한 웨이퍼(30)의 후면측으로부터의 열을 직접적으로 이송하는 일체형 저온펌프(구체적으로 도시되지 않음)를 포함할 수 있다. 이와 다르게, 후방 냉각 어셈블리(304)는 열전기적 냉각을 위한 (적층된) 펠티어(Peltier) 장치들(구체적으로 도시되지 않음)의 배열을 포함할 수 있다. 전방 냉각 어셈블리(308)는 이와 유사하게 빠르고 정확한 웨이퍼(30)의 냉각을 달성하는 다양한 냉각 기술들 중 일부를 수행할 수 있다.
냉각 성능들에 추가적으로, 웨이퍼 홀더(302)는 또한 자동 웨이퍼 핸들링을 수용할 수 있다. 예를 들면, 로봇 암들에 의해 로딩 및 언로딩 동안 웨이퍼 이송 평면에서 웨이퍼(30)를 유지하는 한 세트의 리프트 핀들(310)이 구비될 수 있다.
도 4는 본 발명의 일 실시예에 따른 저온 이온 주입을 위한 예시적인 방법을 나타내는 흐름도이다.
단계 402에서, 이온 주입을 받게 될 웨이퍼는 예비-냉각 스테이션으로 로딩될 수 있다. 상기 예비-냉각 스테이션은 이온 주입기의 엔드 스테이션에 인접하게 위치할 수 있다. 또한, 상기 예비-냉각 스테이션은 바람직하게 상기 엔드 스테이션의 진공 공간으로부터 분리된 진공 공간을 가질 수 있다. 실시예들에 있어서, 상기 웨이퍼는 실내 온도 이하로 이미 냉각된 한 묶음의 웨이퍼들을 포함한 로드락으로부터 로딩되어 상기 예비-냉각 스테이션에서 상기 예비-냉각 공정의 시간을 단축할 수 있다.
단계 404에서, 상기 예비-냉각 스테이션 내부의 웨이퍼는 원하는 온도 범위로 냉각될 수 있다. 상술한 바와 같이, 이러한 예비-냉각 공정은 현재 알려져 있거나 추후에 개발될 일부의 냉각 기술들을 채용할 수 있다. 상기 예비-냉각 공정은 이온 주입 공정의 시간과 비슷한 40-60 초의 시간이 걸릴 수 있다.
단계 406에서, 상기 웨이퍼는 캐리어 플레이트와 같은 다른 물체와 선택적으로 부착되어 증가된 열용량을 달성할 수 있다. 상기 웨이퍼와 상기 캐리어 플레이트 모두가 상기 예비-냉각 온도에 있을 때에는, 상기 웨이퍼 온도로 상승시키는 데 이온 빔으로부터 더 많은 열 이동이 필요할 수 있다. 그 결과, 이온 주입 동안 상기 웨이퍼의 약간의 온도 증가가 완화될 수 있다.
도 5a 및 도 5b는 본 발명의 일 실시예에 따른 저온 이온 주입을 위한 예시적인 웨이퍼 부착물들을 나타낸다. 도 5a는 웨이퍼의 후면측에 부착된 캐리어 플레이트(504)를 나타낸다. 캐리러 플레이트(504)는 웨이퍼(502)보다 더 큰 질량(예를 들면, 웨이퍼(502)의 무게보다 4-5배)을 갖는 메탈 플레이트일 수 있다. 상기 이온 빔으로부터 전달된 열의 일부는 캐리어 플레이트(504)에 의해 흡수될 수 있으므로, 웨이퍼(502)는 이온 주입 동안 그리 크지 않은 온도 증가를 경험할 수 있다. 상기 캐리어 플레이트는 E-척 상에 정전기적 클램핑을 수용하도록 설계될 수 있다. 따라서, 상기 캐리어 플레이트는 적절한 도전성 및/또는 분극률을 갖는 표면 물질을 포함할 수 있다. 예를 들면, 클램핑과 좋은 도전성을 위한 얇은 막을 갖는 복합 금속 캐리어가 적당할 수 있다. 실시예들에 있어서, 상기 캐리어 플레이트는 상기 캐리 어 플레이트가 어떤 전기적 접촉들에 의해 고정될 때 활성화될 수 있는 일체화된 가열 및/또는 냉각 메커니즘들을 포함할 수 있다.
도 5b는 웨이퍼(506)의 후면측에 부착된 또 다른 웨이퍼(508)를 나타낸다. 웨이퍼(508)는 웨이퍼(506)와 동일하거나 다른 형태 및/또는 형상을 가질 수 있다. 바람직하게는, 웨이퍼(508)는 웨이퍼(506)에 비해 적어도 무거울 수 있으며, 이에 따라 웨이퍼(506)의 열용량은 적어도 절반으로 감소될 수 있다.
웨이퍼와 또 다른 무거운 물체(예를 들면, 캐리어 플레이트 또는 다른 웨이퍼)사이의 부착은 수많은 방법들로 이루어질 수 있다. 일 실시예에 있어서, 상기 웨이퍼의 후면측은 이산화탄소(CO2)와 같은 화합물과 함께 지지 표면에 클램프될 수 있다. 이어서 상기 웨이퍼 및 이의 부착물은 냉각되고 CO2의 승화 온도 이하로 유지되어 이들은 함께 클램프된다. 이후에 상기 웨이퍼는 단순히 가열되고 상기 CO2를 펌핑함으로써 부착물로부터 분리된다. 이러한 웨이퍼 클램핑 기술의 상세한 내용은 미국특허 제6,686,598호에 개시되어 있으며, 전체로서 본원에 병합된다.
본 발명의 일 실시예에 있어서, 온도 센서(또는 열 센서) 및/또는 관련된 전자장치들은 상기 웨이퍼 부착물(예를 들면, 캐리어 플레이트 또는 또 다른 웨이퍼)에 일체화될 수 있다. 상기 온도 센서는 상기 예비-냉각 공정 동안, 웨이퍼 이송 동안, 및/또는 이온 주입동안 상기 웨이퍼 온도를 모니터할 수 있다. 상기 온도 센서는 유선 또는 무선 연결을 통해 측정 데이터를 전송하여 예를 들면, 상기 예비-냉각 공정의 피드백 제어를 원활하게 할 수 있다.
단계 408에 있어서, 상기 예비-냉각된 웨이퍼(및 이의 부착물)는 이온 주입을 위해 상기 엔드 스테이션으로 로딩될 수 있다. 상기 엔드 스테이션은 일반적으로 단일-웨이퍼 공정 챔버일 수 있다. 이온 주입 동안, 상기 웨이퍼는 상기 엔드 스테이션의 모든 구성요소들로부터 열적으로 절연되어 상기 웨이퍼로의 열전달은 상기 이온 빔 또는 주변 복사로부터만 이루어질 수 있다. 이온 주입은 고진공에서 일어나므로, 상기 웨이퍼의 고립은 주로 상기 웨이퍼 및 상기 웨이퍼 플레이튼 사이에서의 적절한 절연을 요구할 수 있다. 상기 웨이퍼 및 상기 웨이퍼 플레이트 사이의 절연이 완벽하지 않을지라도, 이들 사이의 잔류하는 열전도는 작거나 종종 무시할 수 있을 것이다.
상기 웨이퍼의 온도 변화는 이온 주입 동안, 예를 들면, 열전대(thermocouple) 또는 고온계(pyrometer)를 사용하여 모니터될 수 있다. 일 실시예에 있어서, 바람직하게는 비접촉형의 온도 센서는 인-시튜 온도 모니터링을 위해 상기 이온 주입 공정 챔버에 설치될 수 있다. 이와 다르게, 온도 센서는 상기 웨이퍼가 부착되는 캐리어 플레이트에 일체화될 수 있다. 각 경우에 있어서, 상기 온도 측정 데이터는 유선 또는 무선 연결에 의해 컨트롤러 유닛에 전달될 수 있다. 이러한 온도 측정 데이터는 상기 이온 주입 공정의 피드백 제어를 위해 사용될 수 있다. 상기 웨이퍼 온도가 너무 빠르게 상승되면, 상기 이온 주입 공정을 중지하고 상기 웨이퍼를 다시 냉각시킬 필요가 있을 수 있다. 이 경우에 있어서, 하나의 이온 주입 레시피가 상기 냉각 및 주입 공정들을 변경시키면서 여러 단계들에서 수행될 수 있다. 일 실시예에 있어서, 웨이퍼는 -70℃로 예비-냉각되고 상기 이온 주입 공정의 상기 엔드 스테이션에서는 -50℃로 상승될 수 있다.
특정한 이온 주입 레시피와 함께, 특정한 웨이퍼에 대한 온도 상승의 정도를 예측하는 것이 가능하다. 따라서, 상기 웨이퍼는 이러한 예측에 근거한 온도 범위로 예비-냉각되어, 상기 이온 주입 공정의 상기 엔드 스테이션에서의 웨이퍼 온도가 허용할 수 있는 범위 내에 유지되도록 할 수 있다.
단계 410에 있어서, 상기 웨이퍼는 이온 주입의 완료시에 상기 엔드 스테이션으로부터 즉시 제거될 수 있다. 결빙 문제들을 감소하거나 회피하기 위하여 상기 저온 웨이퍼에 대하여 상기 엔드 스테이션(및 이의 구성요소들)의 노출을 최소화하는 것이 바람직하다. 추가적으로, 상기 이온 빔에 의한 계속되는 가열을 회피하기 위하여, 상기 이온 주입 공정이 완료된 이후에는 상기 엔드 스테이션으로 들어가는 이온 빔을 완벽하게 차단하는 것이 바람직하다.
단계 412에 있어서, 상기 웨이퍼는 대기로 노출되기 전에 이슬점 이상으로 가열될 수 있다. 상기 가열 공정은 상기 웨이퍼의 온도가 자체적으로 상승되는 수동적인 공정 또는 상기 웨이퍼가 램프 또는 다른 가열 장치들에 의해 가열되는 능동적인 공정일 수 있다. 이와 다르게, 상기 웨이퍼는 건조하고/또는 따뜻한 가스에 노출됨으로써 가열될 수 있다.
도 4에 도시된 공정은 예비-냉각 단계(예를 들면, 단계 404), 이온 주입 단계(예를 들면, 단계 408), 및 사후-주입 단계(예를 들면, 단계 410)로 구분될 수 있다. 본 발명의 실시예들에 있어서, 상기 세 개의 단계들 각각 동안 적절한 범위 내로 웨이퍼 온도를 유지하는 것이 바람직하다. 일반적으로 상기 이온 주입 단계 동안 상기 웨이퍼 온도는 약 -200℃ 및 0℃ 사이의 범위 이내이다. 예를 들면, 특정한 이온 주입 공정에 있어서, 상기 이온 주입 단계에서의 상기 웨이퍼 온도 범위는 -100℃ 내지 -70℃이다. 이온 주입에 의해 예상되는 온도 증가는 상기 웨이퍼에 대하여 계산되어, 대응하는 더 낮은 온도 범위가 상기 예비-냉각 단계를 위해 (즉, 예비-냉각 온도) 선택될 수 있다. 상기 사후-주입 단계를 위한 온도 범위는 상기 엔드 스테이션의 다양한 부분들에서의 이슬점 및 잔류 습도 레벨에 기초하여 선택될 수 있다. 전형적인 사후-주입 웨이퍼 온도 범위는, 낮은 이슬점 온도에는 더 낮은 범위가 적절할 수 있겠지만, 20℃ 내지 40℃일 수 있다. 일반적으로, 상기 이온 주입 공정 챔버는 높은 진공에 있으며 더 낮은 습도 레벨을 가질 수 있다. 상기 웨이퍼가 상기 공정 챔버로부터 언로딩 되기 전에 상기 웨이퍼를 어느 정도까지 가열하는 것이 바람직하다. 일 실시예에 있어서, 상기 공정 챔버에 비해 비교적 낮은 습도 레벨을 갖는 사후-주입 가열 스테이션을 제공하여, 차가운 웨이퍼가 이온 주입 이후에 상기 공정 챔버로부터 즉시 제거되도록 하는 것이 바람직할 수 있다. 이어서, 상기 가열 스테이션은 상기 웨이퍼가 언로딩을 위해 로드락으로 안전하게 이송될 때까지 상기 웨이퍼를 가열할 수 있다.
실시예들에 있어서, 상술하거나 이와 다른 저온 이온 주입 기술들은 확산이 없는 열처리 공정들과 연결되어 빠른 도펀트 활성화 및 개선된 주입 손상 치유의 목적들을 달성하기 위하여 수행될 수 있다.
이러한 관점에서 상술한 바와 같은 본 발명에 따른 저온 이온 주입을 위한 기술은 일반적으로 입력 데이터의 처리와 출력 데이터의 생성을 어느 정도까지 포 함한다. 예를 들면, 특정한 전자 구성요소들은 본 발명에 따른 저온 이온 주입과 관련한 기능들을 수행하기 위한 이온 주입기 또는 유사하거나 관련된 회로 소자에 채용될 수 있다. 이와 다르게, 저장된 명령어들에 따라 동작하는 하나 또는 그 이상의 프로세서들은 상술한 본 발명에 따른 저온 이온 주입과 관련된 기능들을 수행할 수 있다. 이러한 경우라면, 상기 명령어들이 하나 또는 그 이상의 프로세서 판독 가능한 캐리어들(예를 들면, 자기 디스크) 상에 저장되거나, 하나 또는 그 이상의 신호들을 통해 하나 또는 그 이상의 프로세서들에 전달될 수 있다.
상기에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술 분야에서 통상의 지식을 가진 자라면 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (35)

  1. 이온 주입기의 엔드 스테이션에 인접하게 위치하는 예비-냉각 스테이션;
    상기 예비-냉각 스테이션 내의 냉각 메커니즘;
    상기 예비-냉각 스테이션 및 상기 엔드 스테이션에 연결된 로딩 어셈블리; 및
    상기 로딩 어셈블리 및 상기 냉각 메커니즘과 연결되어 웨이퍼를 상기 예비-냉각 스테이션으로 로딩, 상기 웨이퍼를 기 설정된 온도 범위로 냉각, 및 상기 냉각된 웨이퍼를 이온 주입 공정을 받게 되는 상기 엔드 스테이션으로 로딩하는 것을 조정하는 컨트롤러를 포함하고,
    상기 이온 주입 공정에 의한 온도 상승양은 상기 웨이퍼에 대해 예상되고, 상기 컨트롤러는 상기 웨이퍼가 상기 예상된 온도 상승양의 적어도 일부에 기초하여 냉각되어 상기 이온 주입 공정 동안 상기 웨이퍼의 과열을 회피하도록 구성되는 것을 특징으로 하는 저온 이온 주입 장치.
  2. 제 1 항에 있어서, 상기 예비-냉각 스테이션은 제1 진공 공간을 형성하고, 상기 엔드 스테이션은 상기 제1 진공 공간과 분리된 제2 진공 공간을 형성하는 것을 특징으로 하는 저온 이온 주입 장치.
  3. 제 1 항에 있어서, 상기 이온 주입기는 상기 엔드 스테이션에서 한번에 하나의 웨이퍼를 처리하는 단일-웨이퍼 이온 주입기인 것을 특징으로 하는 저온 이온 주입 장치.
  4. 제 1 항에 있어서, 상기 컨트롤러는 추가적으로 상기 로딩 어셈블리가 상기 이온 주입 공정 이후에 상기 웨이퍼를 상기 엔드 스테이션으로부터 즉시 제거하도록 하는 것을 특징으로 하는 저온 이온 주입 장치.
  5. 제 1 항에 있어서, 상기 엔드 스테이션은 상기 이온 주입 공정 동안 상기 웨이퍼를 유지하는 플레이튼을 포함하고, 상기 웨이퍼는 상기 플레이튼으로부터 열적으로 고립되는 것을 특징으로 저온 이온 주입 장치.
  6. 제 5 항에 있어서, 상기 플레이튼은 상기 웨이퍼를 지지하는 다수개의 메사(mesa) 구조물들을 포함하여, 상기 플레이튼과 상기 웨이퍼 사이의 전체 접촉 면적은 상기 웨이퍼 표면보다 더 적은 것을 특징으로 하는 저온 이온 주입 장치.
  7. 제 5 항에 있어서, 상기 플레이튼은 상기 웨이퍼의 틸팅 및 회전을 가능하게 하는 것을 특징으로 하는 저온 이온 주입 장치.
  8. 제 1 항에 있어서, 상기 예비-냉각 스테이션은 냉각을 위해 상기 웨이퍼를 유지하는 고정된 플레이튼을 포함하는 것을 특징으로 하는 저온 이온 주입 장치.
  9. 제 8 항에 있어서, 상기 고정된 플레이튼은 상기 로딩 어셈블리에 의한 로딩 및 언로딩을 수행하기 위한 리프트 핀들을 포함하는 것을 특징으로 하는 저온 이온 주입 장치.
  10. 제 1 항에 있어서, 상기 웨이퍼는 더 큰 열용량을 달성하기 위한 물체에 부착되어 상기 웨이퍼는 상기 이온 주입 공정 동안 더 작은 온도 상승을 경험하는 것을 특징으로 하는 저온 이온 주입 장치.
  11. 제 10 항에 있어서, 상기 물체는 상기 웨이퍼보다 더 무거운 캐리어 플레이트인 것을 특징으로 하는 저온 이온 주입 장치.
  12. 제 11 항에 있어서, 상기 웨이퍼는 자신의 승화 온도 이하에서 이산화탄소와 함께 상기 캐리어 플레이트에 부착되는 것을 특징으로 하는 저온 이온 주입 장치.
  13. 제 11 항에 있어서, 상기 캐리어 플레이트는 일체화된 적어도 하나의 열 센서를 포함하는 것을 특징으로 하는 저온 이온 주입 장치.
  14. 제 11 항에 있어서, 상기 캐리어 플레이트는 일체화된 냉각/가열 메커니즘을 갖는 것을 특징으로 하는 저온 이온 주입 장치.
  15. 제 1 항에 있어서, 상기 이온 주입 공정은 플라즈마 도핑 공정의 일부인 것을 특징으로 하는 저온 이온 주입 장치.
  16. 제 1 항에 있어서, 상기 냉각 메커니즘은 가스 냉각, 냉각제 순환, 냉각제 상태 변화, 펠티어(Peltier) 열전달, 및 내장된 저온 펌프(cryopump)로 구성된 그룹으로부터 선택된 하나 또는 그 이상의 기술들에 근거하여 상기 웨이퍼를 냉각하는 것을 특징으로 하는 저온 이온 주입 장치.
  17. 삭제
  18. 제 1 항에 있어서, 상기 예비-냉각 스테이션은 상기 엔드 스테이션에 연결되는 로드락의 일부인 것을 특징으로 하는 저온 이온 주입 장치.
  19. 제 1 항에 있어서, 상기 예비-냉각 스테이션과 상기 냉각 메커니즘의 적어도 일부는 상기 로딩 어셈블리로 병합되는 것을 특징으로 하는 저온 이온 주입 장치.
  20. 웨이퍼를 제1 진공 공간으로 로딩하는 단계;
    상기 웨이퍼가 상기 제1 진공 공간에 있는 동안 상기 웨이퍼를 기 설정된 온도 범위로 냉각시키는 단계;
    상기 냉각된 웨이퍼를 상기 제1 진공 공간으로부터 분리된 제2 진공 공간으로 로딩하는 단계; 및
    상기 제2 진공 공간에서 상기 냉각된 웨이퍼 상에 이온 주입 공정을 수행하는 단계를 포함하는 저온 이온 주입 방법이고,
    상기 방법은,
    상기 이온 주입 공정에 의해서 상기 웨이퍼에 대한 온도 상승양을 예측하는 단계; 및
    상기 예측된 온도 상승양의 적어도 일부에 기초하여 상기 웨이퍼를 냉각하여 상기 이온 주입 공정 동안 상기 웨이퍼의 과열을 회피하는 단계를 더 포함하는 것을 특징으로 하는 저온 이온 주입 방법.
  21. 제 20 항에 있어서,
    상기 웨이퍼가 상기 이온 주입 공정 동안 더 작은 온도 증가를 경험하도록 상기 웨이퍼의 후면측에 캐리어 플레이트를 부착하여 더 큰 열용량을 얻는 단계를 더 포함하는 것을 특징으로 하는 저온 이온 주입 방법.
  22. 제 20 항에 있어서,
    상기 이온 주입 공정 이후에 상기 웨이퍼를 상기 제2 진공 공간으로부터 즉시 제거하는 단계를 더 포함하는 것을 특징으로 하는 저온 이온 주입 방법.
  23. 제 20 항에 있어서,
    상기 이온 주입 공정 이후에 상기 웨이퍼의 온도를 이슬점 이상으로 가열하는 단계를 더 포함하는 것을 특징으로 하는 저온 이온 주입 방법.
  24. 삭제
  25. 제 20 항에 있어서,
    상기 이온 주입 공정을 중지하는 단계;
    상기 웨이퍼를 원하는 온도로 재-냉각하는 단계; 및
    상기 이온 주입 공정을 재개하는 단계를 더 포함하는 것을 특징으로 하는 저온 이온 주입 방법.
  26. 제 20 항에 있어서, 상기 이온 주입 공정은 플라즈마 도핑 공정의 일부인 것을 특징으로 하는 저온 이온 주입 방법.
  27. 제 20 항에 있어서,
    상기 이온 주입 공정 이전에 상기 웨이퍼로부터 기체를 빼는 단계를 더 포함하는 것을 특징으로 하는 저온 이온 주입 방법.
  28. 제 20 항에 있어서,
    상기 이온 주입 공정 이후에 확산이 없는 열처리를 수행하는 단계를 더 포함 하는 것을 특징으로 하는 저온 이온 주입 방법.
  29. 삭제
  30. 제 20 항에 기재된 방법을 수행하기 위하여 컴퓨터 프로세서를 실행하도록 지시하는 적어도 하나의 프로세서의 의해 판독 가능하도록 구성된 명령어들의 컴퓨터 프로그램을 저장하기 위한 프로세서 판독 가능한 캐리어.
  31. 적어도 하나의 엔드 스테이션;
    상기 적어도 하나의 엔드 스테이션에 인접하게 위치하며, 냉각 메커니즘을 갖는 적어도 하나의 예비-냉각 스테이션;
    상기 적어도 하나의 엔드 스테이션 및 상기 적어도 하나의 예비-냉각 스테이션에 연결된 로딩 어셈블리; 및
    웨이퍼가 상기 엔드 스테이션으로 로딩되어 이온 주입 공정이 수행되기 전에 상기 웨이퍼를 상기 적어도 하나의 예비-냉각 스테이션으로 로딩시키고 기 설정된 온도 범위로 냉각시키도록 구성된 컨트롤러를 포함하고,
    상기 이온 주입 공정에 의한 온도 상승양은 상기 웨이퍼에 대해 예상되고, 상기 컨트롤러는 상기 웨이퍼가 상기 예상된 온도 상승양의 적어도 일부에 기초하여 냉각되어 상기 이온 주입 공정 동안 상기 웨이퍼의 과열을 회피하도록 구성되는 것을 특징으로 하는 이온 주입기.
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
KR1020097005066A 2006-08-15 2007-07-26 저온 이온 주입을 위한 기술 KR101343361B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/504,367 US7935942B2 (en) 2006-08-15 2006-08-15 Technique for low-temperature ion implantation
US11/504,367 2006-08-15

Publications (2)

Publication Number Publication Date
KR20090049069A KR20090049069A (ko) 2009-05-15
KR101343361B1 true KR101343361B1 (ko) 2013-12-19

Family

ID=39082518

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097005066A KR101343361B1 (ko) 2006-08-15 2007-07-26 저온 이온 주입을 위한 기술

Country Status (6)

Country Link
US (2) US7935942B2 (ko)
JP (1) JP5299278B2 (ko)
KR (1) KR101343361B1 (ko)
CN (1) CN101536149B (ko)
TW (1) TWI413166B (ko)
WO (1) WO2008020972A2 (ko)

Families Citing this family (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7993698B2 (en) * 2006-09-23 2011-08-09 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature controlled ion implantation
US7642150B2 (en) * 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US20080121821A1 (en) * 2006-11-27 2008-05-29 Varian Semiconductor Equipment Associates Inc. Techniques for low-temperature ion implantation
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7528391B2 (en) 2006-12-22 2009-05-05 Varian Semiconductor Equipment Associates, Inc. Techniques for reducing contamination during ion implantation
US7902032B2 (en) * 2008-01-21 2011-03-08 Texas Instruments Incorporated Method for forming strained channel PMOS devices and integrated circuits therefrom
US8149256B2 (en) * 2008-06-04 2012-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for changing temperature of a platen
US20100181500A1 (en) * 2009-01-16 2010-07-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for low temperature ion implantation
US20100181501A1 (en) * 2009-01-21 2010-07-22 Pollock John D Apparatus for sub-zero degree c ion implantation
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100279479A1 (en) * 2009-05-01 2010-11-04 Varian Semiconductor Equipment Associates, Inc. Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon
US7709364B1 (en) * 2009-05-19 2010-05-04 Advanced Ion Beam Technology, Inc. Method and apparatus for low temperature ion implantation
US20100301236A1 (en) * 2009-05-26 2010-12-02 Shih-Yung Shieh Shorten Temperature Recovery Time of Low Temperature Ion Implantation
JP2011009007A (ja) 2009-06-24 2011-01-13 Texas Instr Japan Ltd イオン注入装置のウエハ温度補償システム
US8012843B2 (en) 2009-08-07 2011-09-06 Varian Semiconductor Equipment Associates, Inc. Optimized halo or pocket cold implants
US8101528B2 (en) * 2009-08-07 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Low temperature ion implantation
US20110039390A1 (en) * 2009-08-14 2011-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing Local Mismatch of Devices Using Cryo-Implantation
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8328494B2 (en) * 2009-12-15 2012-12-11 Varian Semiconductor Equipment Associates, Inc. In vacuum optical wafer heater for cryogenic processing
US8124508B2 (en) * 2010-03-31 2012-02-28 Advanced Ion Beam Technology, Inc. Method for low temperature ion implantation
US20110291022A1 (en) * 2010-05-28 2011-12-01 Axcelis Technologies, Inc. Post Implant Wafer Heating Using Light
KR101817170B1 (ko) 2010-05-28 2018-01-10 액셀리스 테크놀러지스, 인크. 냉각식 이온 주입 시스템의 가열식 로터리 시일 및 베어링
JP5899209B2 (ja) * 2010-05-28 2016-04-06 アクセリス テクノロジーズ, インコーポレイテッド ワークピース上の結露を防ぐためのアクティブ露点検出およびロードロック通気
US8481969B2 (en) 2010-06-04 2013-07-09 Axcelis Technologies, Inc. Effective algorithm for warming a twist axis for cold ion implantations
US8586460B2 (en) * 2010-09-23 2013-11-19 Varian Semiconductor Equipment Associates, Inc. Controlling laser annealed junction depth by implant modification
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103367126B (zh) * 2012-03-28 2016-03-09 无锡华润上华科技有限公司 离子注入方法
US9711324B2 (en) 2012-05-31 2017-07-18 Axcelis Technologies, Inc. Inert atmospheric pressure pre-chill and post-heat
US9236216B2 (en) * 2012-08-03 2016-01-12 Axcelis Technologies, Inc. In-vacuum high speed pre-chill and post-heat stations
US9490185B2 (en) * 2012-08-31 2016-11-08 Axcelis Technologies, Inc. Implant-induced damage control in ion implantation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI506680B (zh) * 2013-02-22 2015-11-01 Nissin Ion Equipment Co Ltd Substrate cooling means and irradiating ion beam
JP6094800B2 (ja) * 2013-02-22 2017-03-15 日新イオン機器株式会社 イオンビーム照射装置
US9663854B2 (en) * 2013-03-14 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. High-throughput system and method for post-implantation single wafer warm-up
US9514916B2 (en) * 2013-03-15 2016-12-06 Varian Semiconductor Equipment Associates, Inc. Wafer platen thermosyphon cooling system
TWI633570B (zh) * 2013-08-07 2018-08-21 美商艾克塞利斯科技公司 離子植入系統以及將離子植入工件的方法
JP6267201B2 (ja) * 2013-10-02 2018-01-24 アクセリス テクノロジーズ, インコーポレイテッド 真空下での高速前冷却および後加熱ステーション
CN103594312A (zh) * 2013-11-13 2014-02-19 上海华力微电子有限公司 点状高电流离子注入机
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102274771B1 (ko) * 2014-03-10 2021-07-09 에스케이하이닉스 주식회사 트랜지스터, 트랜지스터의 제조 방법 및 트랜지스터를 포함하는 전자장치
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9287148B1 (en) * 2014-12-18 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Dynamic heating method and system for wafer processing
US10573627B2 (en) 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
US20180175008A1 (en) 2015-01-09 2018-06-21 Silicon Genesis Corporation Three dimensional integrated circuit
US20160203950A1 (en) * 2015-01-13 2016-07-14 Advanced Ion Beam Technology, Inc. Method and ion implanter for low temperature implantation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016148855A1 (en) 2015-03-19 2016-09-22 Applied Materials, Inc. Method and apparatus for reducing radiation induced change in semiconductor structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9607803B2 (en) * 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9779955B2 (en) * 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102356531B1 (ko) * 2016-06-02 2022-01-27 액셀리스 테크놀러지스, 인크. 웨이퍼를 가열 또는 냉각하기 위한 장치 및 방법
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN106298416A (zh) * 2016-11-09 2017-01-04 上海华力微电子有限公司 离子注入机以及离子注入操作方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
WO2019108945A1 (en) * 2017-12-01 2019-06-06 Silicon Genesis Corporation Three dimensional integrated circuit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10227693B1 (en) * 2018-01-31 2019-03-12 Axcelis Technologies, Inc. Outgassing impact on process chamber reduction via chamber pump and purge
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
GB201806377D0 (en) * 2018-04-19 2018-06-06 Metryx Ltd Semiconductor wafer mass metrology apparatus and semiconductor wafer mass metrology method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP2020167244A (ja) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 基板処理システム及び基板処理方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN110473812A (zh) * 2019-08-29 2019-11-19 上海华力集成电路制造有限公司 低温离子注入机及其工作方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN113380624A (zh) * 2020-03-09 2021-09-10 长鑫存储技术有限公司 一种半导体器件及其制造方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220028707A1 (en) * 2020-07-21 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Warm wafer after ion cryo-implantation
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11830739B2 (en) 2020-10-07 2023-11-28 Applied Materials, Inc. Techniques to increase CMOS image sensor well depth by cyrogenic ion channeling of ultra high energy ions
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11410984B1 (en) 2021-10-08 2022-08-09 Silicon Genesis Corporation Three dimensional integrated circuit with lateral connection layer
CN113984788B (zh) * 2021-12-24 2022-03-15 北京凯世通半导体有限公司 一种通过光学检测仪器对超低温离子注入设备监测的方法
CN113990727B (zh) * 2021-12-24 2022-03-15 北京凯世通半导体有限公司 一种超低温晶圆注入平台

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060163490A1 (en) 2005-01-21 2006-07-27 Advanced Ion Beam Technology Inc. Ion implantation cooling system

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0797579B2 (ja) * 1988-03-30 1995-10-18 株式会社日立製作所 低温ドライエッチング装置
EP0405855A3 (en) * 1989-06-30 1991-10-16 Hitachi, Ltd. Ion implanting apparatus and process for fabricating semiconductor integrated circuit device by using the same apparatus
JPH03291927A (ja) * 1990-04-09 1991-12-24 Sony Corp 低温処理装置及び低温処理を含む連続処理装置
JPH04216619A (ja) * 1990-12-18 1992-08-06 Fujitsu Ltd 半導体製造装置
US5793050A (en) * 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US6084240A (en) * 1998-06-20 2000-07-04 United Integrated Circuits Corp. Ion implanter
AU2001243246A1 (en) * 2000-03-20 2001-10-03 Tokyo Electron Limited High speed photoresist stripping chamber
US6917419B2 (en) * 2000-09-20 2005-07-12 Kla-Tencor Technologies Corp. Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen
JP2003031639A (ja) 2001-07-17 2003-01-31 Canon Inc 基板処理装置、基板の搬送方法及び露光装置
US7132673B2 (en) * 2004-07-30 2006-11-07 E.A. Fischione Instruments, Inc. Device and method for milling of material using ions
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7993698B2 (en) * 2006-09-23 2011-08-09 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature controlled ion implantation
US20080090392A1 (en) * 2006-09-29 2008-04-17 Varian Semiconductor Equipment Associates, Inc. Technique for Improved Damage Control in a Plasma Doping (PLAD) Ion Implantation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060163490A1 (en) 2005-01-21 2006-07-27 Advanced Ion Beam Technology Inc. Ion implantation cooling system

Also Published As

Publication number Publication date
US20080044938A1 (en) 2008-02-21
US7935942B2 (en) 2011-05-03
JP5299278B2 (ja) 2013-09-25
JP2010500734A (ja) 2010-01-07
US20110207308A1 (en) 2011-08-25
US8319196B2 (en) 2012-11-27
KR20090049069A (ko) 2009-05-15
CN101536149B (zh) 2011-03-02
TWI413166B (zh) 2013-10-21
WO2008020972A3 (en) 2008-06-26
TW200818279A (en) 2008-04-16
WO2008020972A2 (en) 2008-02-21
CN101536149A (zh) 2009-09-16

Similar Documents

Publication Publication Date Title
KR101343361B1 (ko) 저온 이온 주입을 위한 기술
US8450193B2 (en) Techniques for temperature-controlled ion implantation
US7993698B2 (en) Techniques for temperature controlled ion implantation
US7655933B2 (en) Techniques for temperature-controlled ion implantation
KR101817185B1 (ko) 피가공재 상의 응축을 방지하기 위한 능동형 이슬점 감지 및 로드록 배기
TWI597765B (zh) 惰性大氣壓預冷及後熱處理
US20080121821A1 (en) Techniques for low-temperature ion implantation
US20140034846A1 (en) In-vacuum high speed pre-chill and post-heat stations
KR102584747B1 (ko) 높은 처리량을 갖는 저온의 이온 주입 시스템 및 이온 주입 방법
US20100301236A1 (en) Shorten Temperature Recovery Time of Low Temperature Ion Implantation
US20160203950A1 (en) Method and ion implanter for low temperature implantation
JP2015050418A (ja) 基板冷却装置、基板冷却方法及び基板処理装置
JP2009064864A (ja) 半導体処理装置
US8039374B2 (en) Method for low temperature ion implantation
JPH11345771A (ja) 枚葉式真空処理方法及び装置
KR20010066156A (ko) 급속 열처리 방법
JPH0267725A (ja) 半導体装置のシリサイド化コンタクト形成用熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 5