TWI413166B - 低溫離子佈植技術 - Google Patents

低溫離子佈植技術 Download PDF

Info

Publication number
TWI413166B
TWI413166B TW096128193A TW96128193A TWI413166B TW I413166 B TWI413166 B TW I413166B TW 096128193 A TW096128193 A TW 096128193A TW 96128193 A TW96128193 A TW 96128193A TW I413166 B TWI413166 B TW I413166B
Authority
TW
Taiwan
Prior art keywords
wafer
ion implantation
cooling
temperature
cryogenic
Prior art date
Application number
TW096128193A
Other languages
English (en)
Other versions
TW200818279A (en
Inventor
Steven R Walther
Richard S Muka
Julian Blake
Jonathan England
Paul J Murphy
Reuel B Liebert
Original Assignee
Varian Semiconductor Equipment
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment filed Critical Varian Semiconductor Equipment
Publication of TW200818279A publication Critical patent/TW200818279A/zh
Application granted granted Critical
Publication of TWI413166B publication Critical patent/TWI413166B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26593Bombardment with radiation with high-energy radiation producing ion implantation at a temperature lower than room temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Description

低溫離子佈植技術
本發明涉及一種半導體製造技術,更涉及一種低溫離子佈植技術。
隨著半導體元件日趨小型化,人們對超淺接面(ultra-shallow junction)的需求也日益增強。例如,為滿足對現代互補金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)元件的需求,人們大力創造更加活化、更淺層以及更陡峭的源/汲極延伸接面(source-drain extension junction)。
舉例來說,要在晶體矽晶圓中創造一個陡峭超淺接面,需要使晶圓表面非晶化。通常,優先採用相對較厚的非晶矽層,因為使用薄的非晶矽層會導致非晶矽層中出現較多的大的穿隧(channeling)和較少的摻雜原子,且會致使較多的間隙(interstitial)駐留在非晶態-晶態交界面以外的末端區域中。由此,較薄的非晶矽層會導致較深的接面深、較不陡峭的摻雜分佈、摻質活化不充分且在退火後引起較多的末端缺陷(end-of-range defect)。所有這些都是現代互補金屬氧化物半導體(CMOS)元件小型化過程中的主要障礙,源/汲極延伸摻雜尤其如此。
研究發現,在離子佈植過程中採用較低的晶圓溫度有利於矽晶圓非晶化。在當前的離子佈植應用中,通常會在佈植過程中採用冷卻器(chiller)通過氣體輔助製程 (gas-assisted process)使晶圓冷卻。一般來說,上述冷卻技術會將晶圓溫度控制在冷卻器溫度(如,15℃)和為保持光阻完整性而採用的上限溫度(如,100℃)之間。如此高溫會增強自退火效應(self-annealing effect),即,點缺陷對(Frenkel pairs)(由離子束轟擊產生的填隙空位偶(vacancy-interstitial pairs))湮滅。由於僅當大量矽原子被束離子移開時才會發生矽非晶化,因此高溫下點缺陷對湮滅增強會對亟需的非晶化過程產生不利影響,結果導致非晶化劑量閾值(dose threshold)偏高,而理想的淺接面減少。
在其他參數相同的情況下,非晶矽層的厚度會隨因自身退火效應減弱所致佈植溫度的下降而增加。非晶層較厚時,尾部穿隧(tail channeling)會較小。更多的由束離子引起的損壞被限制在非晶區內,而緊靠非晶態-晶態交界面外側的晶態區中損壞較少。此外,在隨後的退火過程中,由於固相磊晶過程(solid-phase epitaxy process)使替代位置上出現更多的摻質,因此可得到更好的活化效果。
除較厚非晶矽層帶來的好處外,在低溫下實施離子佈植還可將點缺陷對在佈植過程中的移動降到最低。因此,同高溫佈植情況相比,伸入非晶態-晶態交界面外區域的點缺陷對相對較少。大多數點缺陷對會在固相磊晶過程中逐漸回到晶格中,不會導致過多的間隙產生而引起瞬間增強擴散或形成擴展缺陷。過量間隙越少,源/汲極延伸摻雜對通道的影響越小,或是環形摻雜(halo doping)影響就越 小。伸入通道的間隙越少、或是伸入通道區的環形摻質越少,負偶合(如,逆短通道效應)也就越小。因而,就可以更好地控制製程,獲得元件的預期性能。
通常採用快速加熱退火加熱晶圓(如,在5秒鐘內加熱至1000℃)來活化植入的摻質。無擴散退火(diffusion-less anneal)日漸成為人們青睞的佈植後製程(post-implant process),其中雷射作為熱源可使晶圓溫度上升速度更快(如,在5毫秒內上升至1000℃)。這些超快速熱處理作用如此之快,以致摻質來不及大範圍地擴散,但也沒有太多時間對植入損壞進行修復。相信低溫離子佈植可提高上述無擴散退火過程中的植入損壞修復程度。
此外,優選低溫離子佈植也還有其他方面的原因。
儘管採用了低溫離子佈植,但現有方法還是存在許多缺陷。首先,現有的大多數低溫離子佈植技術都是開發用於批量晶圓(batch-wafer)離子佈植機的,而目前半導體產業的趨勢更看好單晶圓(single-wafer)離子佈植機。批量晶圓離子佈植機主要對一個真空箱中的多個晶圓(成批)進行處理。若干冷卻晶圓同時放在同一個真空箱中(通常時間更長)需要具有超強的現場(in-situ)冷卻能力。對整批晶圓進行預冷並非易事,因為各晶圓在等待被植入時會經歷不同的溫升。而且,真空箱暴露於低溫晶圓會導致殘留水分結冰。
其次,幾乎現有的所有低溫離子佈植機都是在離子佈 值過程中直接冷卻晶圓。除在製程反應室中引起結冰問題外,直接冷卻需要將冷卻元件(如,冷卻劑輸送管道、熱泵和額外的電力配線)並入晶圓平台(wafer platen)中。一般說來,現代晶圓平台已經相當精密,很難進行改造。因此,改造現有的離子佈植機或設計新的離子佈植機來適應低溫製程會因成本過高使人望而止步,只能設法做些邊際改進。而且,為了低溫離子佈植而改造晶圓平台還會對離子佈植機的室溫離子佈植能力造成不利影響。此外,現場冷卻常常會嚴重減緩整個離子佈植製程,導致產量降低。
鑒於上述原因,須要一種能克服上述不足和缺點的低溫離子佈植解決方案。
本發明的目的是揭露一種低溫離子佈植技術。在一種特定較佳實施例情況下,所述技術可以實現為一種低溫離子佈植裝置。此裝置可包含預冷站(pre-chill station)。預冷站靠近離子佈植機中的終端站(end station)。此裝置也可包含位於預冷站內的冷卻機構。此裝置可更包括與預冷站和端站相連接的裝載組件(loading assembly)。此外,所述裝置可另包括與裝載組件及冷卻機構通信的控制器,藉以將晶圓載入預冷站、使晶圓冷卻到預定溫度範圍以及將冷卻後的晶圓載入終端站。在終端站中,對冷卻後的晶圓進行離子佈植製程。
在本特定較佳實施例的其他實施方式中,預冷站內含第一真空空間,且所述終端站內含第二真空空間,兩個真 空空間相互獨立。
在本特定較佳實施例的更多實施方式中,離子佈植機為單晶圓離子佈植機,在所述終端站內一次處理一個晶圓。
在本特定較佳實施例的補充實施方式中,控制器還可用以使裝載組件在離子佈植製程完成後立即將晶圓從終端站移出。
在本特定較佳實施例的一種實施方式中,終端站包括一個平台(platen),此平台在離子佈植製程中用於固定晶圓,且晶圓實質上與平台呈絕熱狀態。平台包括多個支撐晶圓的臺面結構(mesa structures),使得平台和晶圓間的總接觸面積實質上比晶圓表面小。此外,平台可便於晶圓的傾斜和旋轉。
在本特定較佳實施例的又一種實施方式中,預冷站包括一個固定平台(fixed platen),可在冷卻時將晶圓固定住。固定平台包含升降元件,可供裝載組件用以裝卸晶圓。
在本特定較佳實施例的又一種實施方式中,晶圓被附著在一個物體上,以獲得更大的熱質量,以使晶圓在離子佈植製程中受到較小的溫升。此物體是一個承板,其重量大於晶圓。晶圓被附著於承板上,並低於二氧化碳的昇華溫度,其中承板至少要包含一個嵌入的熱感應器。承板中有嵌入的冷卻/加熱機構。
在本特定較佳實施例的又一種實施方式中,離子佈植製程為電漿摻雜製程的一部分。
在本特定較佳實施例的又一種實施方式中,冷卻機構 冷卻晶圓所採用的一項或多項技術由下列技術所構成的族群中選出:氣冷、冷卻劑循環、冷卻劑相變、Peltier熱傳以及內置式低溫泵浦(built-in cryopump)。
在本特定較佳實施例的又一種實施方式中,預計由離子佈植製程所導致的晶圓的溫升量,而進一步配置的控制器能使晶圓至少部分在預計的溫升量的基礎上得以冷卻,以避免晶圓在離子佈植製程中過熱。
在本特定較佳實施例的更進一步的實施方式中,預冷站為與終端站相連之真空預備室(loadlock)的一部分。視需要,將預冷站和冷卻機構的至少部分並入裝載組件中。
在另一種特定較佳實施例情況下,所述技術可以表現為一種低溫離子佈植之方法。所述方法包括將晶圓載入第一真空空間。所述方法還包括將第一真空空間中的晶圓冷卻至預定的溫度範圍內。所述方法更包括將冷卻後的晶圓載入與第一真空空間相分離的第二真空空間;所述方法另外還包括在第二真空空間中對冷卻後的晶圓進行離子佈植。
在本特定較佳實施例的其他實施方式中,所述方法更包括將承板附著到晶圓的背面,以獲得更大的熱質量,使晶圓的溫度在離子佈植製程中微小地升溫。
在本特定較佳實施例的更多實施方式中,所述方法更包括在離子佈植過程完成後,立即將晶圓從第二真空空間取出。
在本特定較佳實施例的額外實施方式中,所述方法更 包括在離子佈植過程完成後,加熱晶圓以使溫度升至露點以上。
在本特定較佳實施例的一種實施方式中,所述方法更包括預計由離子佈植製程所導致的晶圓的溫升量,且使晶圓至少部分在預計的溫升量的基礎上得以冷卻,以避免在離子佈植製程中晶圓過熱。
在本特定較佳實施例的一種實施方式中,所述方法更包括暫停離子佈植製程、重新將晶圓冷卻至須要的溫度和恢復離子佈植製程。
在本特定較佳實施例的又一種實施方式中,離子佈植製程為電漿摻雜製程的一部分。
在本特定較佳實施例的又一種實施方式中,所述方法更包括在進行離子佈植製程前對晶圓除氣。
在本特定較佳實施例的又一種實施方式中,所述方法更包括在完成離子佈植製程後,進行無擴散退火。
而在另一種特定較佳實施例情況下,所述技術可以表現為一種訊號,包含在至少一個載波中,用以藉由至少一台處理器發送可讀的電腦指令程式,從而指示至少一台處理器運行電腦程式來執行上述方法。
在另一種特定較佳實施例情況下,所述技術可以表現為一種處理器可讀的載波,用以儲存電腦指令程式,藉由至少一台處理器而可讀,從而指示所述至少一台處理器運行電腦程式來執行上述方法。
在另一種特定較佳實施例情況下,所述技術可以表現 為一種離子佈植機。離子佈植機包括至少一個終端站、至少一個位置靠近至少一個終端站的預冷站,所述至少一個預冷站具有冷卻機構、與至少一個終端站和至少一個預冷站相連接的裝載組件、以及控制器,控制器的配置可以使晶圓在載入終端站進行離子佈植製程之前被載入至少一個預冷站,並使晶圓冷卻到預定溫度範圍內。
在另一種特定較佳實施例情況下,所述技術可以表現為一種離子佈植摻質活化之方法。所述方法包括對晶圓進行離子佈植製程,其中,在離子佈植製程中,將晶圓溫度保持在低於室溫的範圍內。所述方法還包括在完成離子佈植製程後,對晶圓進行無擴散退火。在執行離子佈植製程之前,將晶圓預冷到須要的溫度範圍內,在離子佈植製程過程中,將晶圓放在平台上,此平台與晶圓至少部分絕熱。視需要,將晶圓溫度保持在一定範圍內所採用的一項或多項技術可由下列技術所構成的族群中選出:氣冷、冷卻劑循環、冷卻劑相變、Peltier熱傳以及內置式低溫泵浦。可採用雷射進行無擴散退火。
本發明實施例提供一種低溫離子佈植技術,其中晶圓在載入離子佈植平台前,預冷到須要的溫度範圍。在離子佈植過程中,無需對晶圓進行主動或連續的冷卻,但是晶圓要與晶圓平台絕熱。將晶圓附著在另一物體上以得到更大的熱質量(thermal mass),可進一步減緩離子佈植過程中的晶圓溫升(temperature increase)。在此揭露的低溫離 子佈植技術可應用於各種離子佈植製程以及電漿摻雜(plasma doping,PLAD),電漿摻雜又稱為電漿浸沒離子佈植(plasma immersion ion implantation,PIII)。可簡單改造現有離子佈植機或製造新的離子佈植機來提供預冷能力。
本文中,“溫度範圍”指特定的溫度值(如,-100℃)或兩個規定溫度點之間的溫度範圍(如,-110℃~-90℃)或大於/小於規定溫度的溫度範圍(如,-150℃或80℃)。本文中,“終端站”指離子佈植機的一部分,在離子佈植過程中晶圓就夾持在此。終端站主要包括一個離子佈植製程反應室,可包括與終端站耦接或其他方式位於終端站附近的預冷站/室,詳述如下。
圖1顯示的是在本發明所提出的一種實施例中,較佳低溫離子佈植系統100的示意圖。低溫離子佈植系統100是離子佈植機的一個部分,包括預冷站102、終端站104、晶圓裝載組件106和控制器108。
預冷站102,也稱為“熱調節裝置”,通常內含一個真空空間,此真空空間與終端站104所含的真空空間相互獨立。在預冷站102內有一個晶圓保持器114和一個與晶圓保持器114耦接的冷卻裝置110。通常晶圓保持器114是一個固定平台,例如用靜電力將晶圓固定在適當的位置上。冷卻裝置110採用一個或多個目前已知或後來開發的冷卻技術將晶圓冷卻至預定溫度範圍。通常,低溫離子佈植須要的溫度範圍遠遠低於室溫,且常常低於純水的冷凝 點(freezing point)。雖然可能會需要達到液態氮的溫度,但對於所有的離子佈植這樣極端的溫度可以不需要或者無法實行。在一種實施例情況下,對於絕大多數應用來說,溫度在-100℃至-50℃之間的範圍內便足夠了。儘管如此,本發明並未限定任何具體的溫度範圍。事實上,前述熱調節理念也適用於晶圓溫度高於室溫的離子佈植。
終端站104可以是一個進行單晶圓離子佈植的製程反應室。終端站104具有獨立的晶圓保持器116,可在離子佈植過程中固定和/或移動晶圓。典型的晶圓保持器116可以是一個平台,便於傾斜和/或轉動晶圓。在低溫離子佈植過程中,無須通過晶圓保持器116對晶圓進行主動或連續的冷卻。同樣,也不需要改造現有晶圓平台來裝設冷卻元件。假定是那樣的話,晶圓保持器116最好能與固定在其上的晶圓絕熱。採用靜電夾具(electrostatic clamp,ESC)或靜電式晶圓座(E-chuck)將晶圓固定在適當的位置上。此外,可以採用一個接觸面積小的靜電式晶圓座,其中小型臺式結構可支承晶圓,而僅與晶圓背面保持最小面積的接觸(例如,約為晶圓表面的1%)。為進一步減少晶圓和平台的熱接觸,在載入晶圓進行離子佈植前,可對晶圓進行去氣(outgas)處理。
晶圓裝載組件106可包含一個機械臂112,用以裝卸預冷站102和終端站104中的晶圓。晶圓裝載組件106可以是典型自動化晶圓裝卸系統的一部分。真空預備室118和真空預備室120可允許機械臂112分別進入預冷站102 和終端站104。
控制器108可以包括一個微處理器或微控制器或類似計算設備以及輸入/輸出和儲存設備。控制器108可與冷卻裝置110和晶圓裝載組件106進行通信,以便協調晶圓的裝卸和冷卻。在各晶圓進行離子佈植前,控制器108會使晶圓裝載組件106將晶圓裝載在預冷站102中的晶圓保持器114上。隨後,控制器108會指令冷卻裝置110將晶圓冷卻至預定的溫度範圍內。當達到須要的溫度範圍時,控制器108會使晶圓裝載組件106將晶圓從預冷站102中卸下,然後搬運到終端站104中。更確切地說,就是將晶圓放置在晶圓保持器116上,根據指定配方(recipe)接受離子佈植。完成離子佈植後,控制器108會發出指令將晶圓從終端站104中卸下。
圖2顯示的是在本發明所提出的一種實施例中,另一個較佳低溫離子佈植系統200的示意圖。本圖以實例說明如何改造現有離子佈植機以適應低溫離子佈植。
所述低溫離子佈植系統200基於現有離子佈植機中的終端站。終端站包括一個製程反應室202和真空預備室210和212。製程反應室202中有晶圓平台206、預冷器208和搬運機器臂214和216。晶圓平台206在離子佈植過程中會夾住晶圓,其中晶圓實質上與平台206呈絕熱狀態。
預冷器208可以通過晶圓定位器改造而成。預冷器208不僅可以偵測出平邊(flat edge)、缺角(notch)或其他明顯的晶圓特徵,還具有一個附加功能,即是在離子佈植前 將晶圓預冷至須要的溫度範圍內。視需要,預冷器208可僅用以對晶圓進行預冷,而晶圓定位功能可重新設置在離子佈植機內部或外部的其他地方。如果預冷後的晶圓仍須要經過定位器,則須要對定位器進行絕熱處理,以防止不必要的晶圓溫升。為了將結冰問題減小到最低限度,須要將預冷器208設在真空空間或真空室中,而此真空空間或真空室與晶圓平台206的真空空間或真空室相互獨立。上述獨立真空空間或真空室還可同時進行一個晶圓的預冷和另一個晶圓的離子佈植過程,而相互不會引起不當干擾。
在操作中,大氣機器臂(atmospheric robot)(圖中未示出)會通過真空閥211將一個晶圓20從大氣環境傳入真空預備室210中。真空預備室210一旦被泵浦(圖中未示出)抽空,通向製程反應室202的閘閥205就會開啟。搬運機器臂214會將晶圓20移出,然後放置在預冷器208上。預冷器208將晶圓20冷卻至預定溫度範圍內。預冷器208還能偵測出晶圓20的中心及其晶向(crystal orientation)。一旦達到須要溫度,另一個搬運機器臂216會將晶圓20載入晶圓平台206的中心位置上,使晶圓20的晶格處於選定晶向。本圖所示的晶圓平台206處於水平裝載位置。在上述位置上,晶圓所處高度要高於離子束22進入製程反應室202的高度。一旦晶圓平台206通電並夾持晶圓20,掃描機構204便會帶動晶圓20繞垂直於離子束22方向的水平軸旋轉。然後,晶圓20上下通過離子束22並藉由掃描機構204進行掃描。在掃描過程中,晶圓20 可能需要定位,譬如,根據離子佈植製程的要求處於垂向或介於±60°的範圍內。
由於在離子佈植過程中不要求對晶圓進行現場冷卻,因此無需為冷卻目的而對晶圓平台206進行改造。但是,須要使晶圓平台206與晶圓絕熱,以減少晶圓溫升。
完成離子佈植後,搬運機器臂216會卸下晶圓20,並將晶圓20搬運至真空預備室212。視製程反應室202和真空預備室212中的殘留水分量比較情況,必要時,在晶圓20被搬運至真空預備室212之前先將晶圓20加熱至一定程度。在真空預備室212中,晶圓會自行升溫或被輔助加熱,直至溫度高於露點。此時,可安全地打開真空預備室212,使之與大氣相通,並卸下晶圓。視情況還可配備加熱站,使低溫離子佈植製程後的晶圓溫度升至露點溫度以上。加熱站是一個熱調節裝置。儘管可採用組合式加熱站/預冷器,但最好加熱站和預冷器208分開。熱感應器和相關的電子設備會在加熱站中提供反饋控制(feedback control),以確保晶圓被加熱至須要的溫度範圍內。加熱晶圓的方式多種多樣,包括但不僅限於以下方式:加熱燈組(如,紅外線的或其他電磁頻率的加熱燈)、嵌入晶圓平台支架中的熱電和/或電阻元件、和/或晶圓支架中受熱液體的循環。
在本發明提出的另一種實施例情況下,與前述情況不同的是,預冷製程不在預冷器208中進行,而是在其中一個真空預備室中進行。例如:真空預備室210經改造後包 括一個冷卻機構或與一個冷卻機構相連接,使載入真空預備室210中的一個或多個晶圓在載入製程反應室202進行離子佈植前得以在此冷卻。換言之,真空預備室被改造為或用作預冷站。如果採用的是預冷器/真空預備室的組合,也須要具有加熱能力,以便在低溫離佈植製程後對晶圓進行加熱。
在本發明提出的另一種實施例情況中,通過改造搬運機器臂的末端執行器(end effector)(或其他部件)可提供部分或所有預冷和/或後熱(post-heating)能力。此外,一個或多個熱感應器和其他控制電子設備可連同搬運機器臂中的冷卻/加熱機構一併裝設。
如圖2所示,僅須要依照本發明所述對現有的離子佈植機做些許改造就能獲得低溫離子佈植帶來的種種優勢。
圖3顯示的是在本發明所提出的一種實施例中,低溫離子佈植的預冷站300的示意圖。預冷站300包括一個晶圓保持器302,此晶圓保持器302可夾持晶圓30並將其冷卻。晶圓保持器302可以是一個簡單的固定平台,因為在其預冷過程中通常無須傾斜或旋轉晶圓。在一種實施例情況下,可通過背面氣體(如,氮)使晶圓與晶圓保持器302結合在一起。如上所述,預冷站300包括一個冷卻機構,此冷卻機構應用任一冷卻技術將晶圓30控制在須要的溫度範圍內。例如:背面冷卻組件304可以冷卻晶圓30的背面,且/或正面冷卻組件308可以冷卻晶圓30的正面。背面冷卻組件304可以設有散熱片(heat sink)(圖中未明確 示意)直接與晶圓30接觸,並通過管道306循環冷卻劑(如,水或液氮)。背面冷卻組件304還可利用冷卻劑(如,氨NH3 )的相變(phase change)來持續冷卻晶圓30,直至接近相同的溫度。背面冷卻組件304還包含一個內置低溫泵浦(圖中未明確示意),可直接轉移晶圓30背面的熱量。視需要,背面冷卻組件304也可包含(堆疊)Peltier元件的陣列(圖中未明確示意),用於熱電致冷卻(thermoelectric cooling)。正面冷卻組件308也以同樣的方式實現各種冷卻技術,以便快速、準確地冷卻晶圓30。
除冷卻能力外,晶圓保持器302還可提供自動晶圓裝卸。例如:在此處可配備一組升降元件310,用以在機械臂裝卸過程中將晶圓30保持在晶圓搬運平面內。
圖4顯示的是在本發明所提出的一種實施例中,低溫離子佈植方法的製程流程圖。
在步驟402中,將欲接受離子佈植的晶圓載入預冷站中。預冷站位於靠近離子佈植機的終端站的位置。同樣,預冷站也最好具有真空空間,且此真空空間要與終端站的真空空間相互獨立。在一些實施例情況下,為了縮短在預冷站中的預冷過程,載入的晶圓可來自真空預備室,此真空預備室包含一批已冷卻至室溫以下的晶圓。
在步驟404中,將預冷站內的晶圓冷卻至須要的溫度範圍內。如前所述,本預冷過程可應用任何已知或後來開發的冷卻技術。由於預冷過程不在最終實施離子佈植的終端站中發生,所以同現場冷卻相比,預冷在冷卻技術的選 擇和實施方面的限制要少得多。離子佈植製程的預冷過程要持續40秒至60秒,而離子佈植製程持續時間也大體相當。
在步驟406中,視情況可將晶圓附著在另一物體上(如,承板)以增加熱質量。由於晶圓和承板都處於預冷溫度,因此需要從離子束轉移大量的熱來提高晶圓溫度。由此,可減緩離子佈植過程中的晶圓溫升。
圖5a和5b顯示的是在本發明所提出的一種實施例中,用於低溫離子佈植的晶圓附著的示意圖。圖5a顯示的是承板504附著在晶圓502背面。承板504可以是一個金屬板,其質量比晶圓502重(例如是晶圓502重量的4至5倍)。由於從離子束傳出的部分熱會被承板504吸收,所以在離子佈植過程中晶圓502會受到微小的溫升。承板的設計可使靜電夾具能裝於靜電式晶圓座之上。因而,承板可以包括具有適當的導電性和/或極化率的表面材料。例如,可使用具有用以夾持的薄層和良好導電性的合成金屬承板。在一些實施例情況下,承板中嵌有加熱和/或冷卻機構;當承板接觸到某個電觸點時,所述加熱和/或冷卻機構會被啟動。
圖5b顯示的是一個晶圓506,其中有另一個晶圓508附著在晶圓506背面。晶圓508可與晶圓506型態和/或形狀相同,也可不同。晶圓508最好能與晶圓506的重量相同,這樣可有效地降低晶圓506的熱容(heat capacity),至少降低一半。
晶圓和其他重體(如,承板或另一個晶圓)間的附著方式多種多樣。在一種實施例情況下,將晶圓背面可以夾持在帶有化合物(如二氧化碳(CO2 ))的支承面上。隨後,冷卻晶圓和其附著件並維持在CO2 昇華溫度之下,以保持緊密貼合。稍後,只需讓晶圓升溫並抽空CO2 就可將晶圓與其附著件分開。有關晶圓夾持技術詳細說明,見美國專利申請案第6,686,598號,此專利申請案均並入本案供參考。
在本發明提出的一種實施例情況中,晶圓附著件(如,承板或另一個晶圓)中可以嵌有溫度感應器(或熱感應器)和/或相關的電子設備。溫度感應器在預冷、晶圓搬運和/或離子佈植過程中對晶圓溫度進行監測。譬如:溫度感應器可通過有線連結或無線連結傳輸測量資料,以便於預冷過程的反饋控制。
在步驟408中,預冷的晶圓(及其附著件,如有)被載入離子佈植的終端站中。通常終端站可以是一個單晶圓製程反應室。在離子佈植過程中,晶圓要與終端站中的所有元件絕熱,使傳給晶圓的熱僅來自於離子束或背景輻射。由於離子佈植在高度真空中進行,因此對晶圓的隔離主要是晶圓和晶圓平台間的適當絕熱。即使晶圓和平台間的絕熱並不是十分理想,但是他們間的殘餘熱傳導將非常微小,常常可忽略不計。
例如,可使用溫差電偶(thermocouple)或高溫計(pyrometer)對晶圓在離子佈植過程中的溫度變化進行監 測。在一種實施例情況下,在離子佈植製程反應室中安裝一個溫度感應器(最好是非接觸式),用以進行現場溫度監測。視情況可將溫度感應器嵌入用以附著晶圓的承板中。在這兩種實施例情況下,可通過有線連結或無線連結向控制器傳輸溫度測量資料。上述溫度測量資料用以對離子佈植製程進行反饋控制。如果晶圓溫度上升過快,則有必要中斷離子佈植製程,以及重新冷卻晶圓。這時,一個離子佈植配方會在冷卻與佈植的交替中通過多步驟完成。在一種實施例情況下,晶圓先預冷至-70℃,然後在離子佈植製程結束時升溫至-50℃。
對於規定的離子佈植配方,特定晶圓的溫升量是可以預測的。因此,可基於上述預測將晶圓預冷至一定的溫度範圍,使離子佈植製程結束時的晶圓溫度得以控制在容許範圍內。
在步驟410中,離子佈植一經完成,晶圓被立即從終端站中移出。最好儘量減少終端站(及其元件)與低溫晶圓接觸,以減少或避免結冰問題。此外,為避免離子束繼續加熱,在完成離子佈植製程後,須要完全阻止離子束進入終端站。
在步驟412中,晶圓在接觸空氣前,可以加熱以使溫度高於露點。加熱製程可以是被動的,也可以是主動的。在被動加熱過程中,晶圓會自行慢熱起來;而在主動加熱過程中,採用燈或其他加熱元件來加熱晶圓。視需要,可讓晶圓暴露於乾燥和/或暖氣體中來加熱。
圖4所示過程可分為佈植前階段(如,步驟404)、離子佈植階段(如,步驟408)和佈植後階段(如,步驟410)。在本發明提出的一種實施例情況中,所述三個階段都須要將晶圓溫度控制在適當的範圍內。離子佈植階段中的晶圓溫度通常控制在大約-200℃至0℃的範圍內。例如:在一個特定的離子佈植製程中,離子佈植階段的晶圓溫度範圍在-100℃至-70℃之間。可計算出離子佈植所引起的預料的晶圓溫升量,從而為佈植前階段選擇相應較低的溫度範圍(即,預冷溫度)。佈植後階段的溫度範圍可根據露點溫度和終端站各部件中的殘留水分來選定。儘管較低溫度範圍更適合於低露點,但常用的佈植後晶圓溫度範圍是20℃至40℃。通常,離子佈植製程反應室都處於最高真空狀態,且濕度最低。在將晶圓從製程反應室中卸下前,最好先將晶圓加熱至一定程度。在一種實施例情況中,可以提供一個佈植後加熱站,其濕度要與製程反應室一樣低,這樣在完成離子佈植後就可將冷晶圓立即從製程反應室中移出。加熱站接著可加熱晶圓,直至能安全地搬運晶圓至真空預備室進行卸載。
在一些實施例情況下,上述和/或任何其他低溫離子佈植技術可與無擴散退火製程結合使用,如此既可使摻質得以快速活化也可提高植入損壞修復度。
在這一點上,應注意本發明之低溫離子佈植技術在某種程度上涉及到輸入資料的處理和輸出資料的生成。輸入資料處理和輸出資料生成可通過硬體或軟體來實現。例 如:在離子佈植機或類似或相關的電路中應用特定的電子元件,按照上述本發明內容來實現與低溫離子佈植相關的功能。視須要,依照儲存的指令運行的一個或多個處理器可根據上述本發明內容來實現與低溫離子佈植相關的功能。如果是那樣的話,將上述指令儲存在一個或多個處理器可讀載體(processor readable carrier)上(如,磁片),或通過一個或多個訊號傳輸到一個或多個處理器上也在本申請專利範圍內。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
20、30、502、506、508‧‧‧晶圓
22‧‧‧離子束
100、200‧‧‧低溫離子佈植系統
102、300‧‧‧預冷站
104‧‧‧終端站
106‧‧‧晶圓裝載組件
108‧‧‧控制器
110‧‧‧冷卻裝置
112‧‧‧機械臂
114、116、302‧‧‧晶圓保持器
118、120、210、212‧‧‧真空預備室
202‧‧‧製程反應室
204‧‧‧掃描機構
205‧‧‧閘閥
206‧‧‧晶圓平台
208‧‧‧預冷器
211‧‧‧真空閥
214、216‧‧‧搬運機器臂
304‧‧‧背面冷卻組件
306‧‧‧管道
308‧‧‧正面冷卻組件
310‧‧‧升降元件
402~412‧‧‧步驟
504‧‧‧承板
圖1為本發明實施例中一個較佳低溫離子佈植系統的示意圖。
圖2為本發明實施例中另一個較佳低溫離子佈植系統的示意圖。
圖3為本發明實施例中低溫離子佈植預冷站的示意圖。
圖4為本發明實施例低溫離子佈植方法的流程圖。
圖5a和圖5b為本發明實施例用於低溫離子佈植的晶圓附著的示意圖。
100‧‧‧低溫離子佈植系統
102‧‧‧預冷站
104‧‧‧終端站
106‧‧‧晶圓裝載組件
108‧‧‧控制器
110‧‧‧冷卻裝置
112‧‧‧機械臂
114、116‧‧‧晶圓保持器
118、120‧‧‧真空預備室

Claims (33)

  1. 一種低溫離子佈植裝置,包括:預冷站,靠近離子佈植機的終端站;冷卻機構,位於所述預冷站內,所述冷卻機構經配置以將晶圓從大氣溫度冷卻至小於所述大氣溫度的預定溫度範圍;裝載組件,與所述預冷站和所述終端站相連;以及控制器,與所述裝載組件及所述冷卻機構通信,藉以調節將所述晶圓載入所述預冷站、使所述晶圓冷卻到所述預定溫度範圍以及將冷卻後的所述晶圓載入所述終端站,在所述終端站中冷卻後的所述晶圓接受離子佈植製程。
  2. 如申請專利範圍第1項所述之低溫離子佈植裝置,其中所述預冷站內含第一真空空間,且所述終端站內含第二真空空間,所述第一真空空間與所述第二真空空間相互獨立。
  3. 如申請專利範圍第1項所述之低溫離子佈植裝置,其中所述離子佈植機為單晶圓離子佈植機,在所述終端站內一次處理一個所述晶圓。
  4. 如申請專利範圍第1項所述之低溫離子佈植裝置,其中所述控制器更包括使所述裝載組件在所述離子佈植製程完成後立即將所述晶圓從所述終端站移出。
  5. 如申請專利範圍第1項所述之低溫離子佈植裝置,其中所述終端站包括平台,所述平台在所述離子佈植製程中用於固定所述晶圓,且其中所述晶圓實質上與所述平台 呈絕熱狀態。
  6. 如申請專利範圍第5項所述之低溫離子佈植裝置,其中所述平台包括支撐所述晶圓的多個臺面結構,使得所述平台和所述晶圓間的總接觸面積實質上比所述晶圓表面小。
  7. 如申請專利範圍第5項所述之低溫離子佈植裝置,其中所述平台便於所述晶圓的傾斜和旋轉。
  8. 如申請專利範圍第1項所述之低溫離子佈植裝置,其中所述預冷站包括固定平台,可在冷卻時將所述晶圓固定住。
  9. 如申請專利範圍第8項所述之低溫離子佈植裝置,其中所述固定平台包含升降元件,可供所述裝載組件用以裝卸所述晶圓。
  10. 如申請專利範圍第1項所述之低溫離子佈植裝置,其中所述晶圓被附著在物體上,以獲得更大的熱質量,以使所述晶圓在所述離子佈植製程中受到較小的溫升。
  11. 如申請專利範圍第10項所述之低溫離子佈植裝置,其中所述物體為比所述晶圓重的承板。
  12. 如申請專利範圍第11項所述之低溫離子佈植裝置,其中所述晶圓被附著於具有二氧化碳的所述承板上,並低於二氧化碳的昇華溫度。
  13. 如申請專利範圍第11項所述之低溫離子佈植裝置,其中所述承板包括有至少一個熱感應器,所述熱感應器嵌在所述承板中。
  14. 如申請專利範圍第11項所述之低溫離子佈植裝置,其中所述承板嵌有冷卻/加熱機構。
  15. 如申請專利範圍第1項所述之低溫離子佈植裝置,其中所述離子佈植製程為電漿摻雜製程的一部分。
  16. 如申請專利範圍第1項所述之低溫離子佈植裝置,其中所述冷卻機構冷卻所述晶圓採用的一項或多項技術選自由氣冷、冷卻劑循環、冷卻劑相變、Peltier熱傳以及內置式低溫泵浦所構成的族群。
  17. 如申請專利範圍第1項所述之低溫離子佈植裝置,其中預計由所述離子佈植製程所導致的所述晶圓的溫升量,且其中所述控制器進一步經配置以使所述晶圓至少部分在預計的所述溫升量的基礎上得以冷卻,以避免所述晶圓在所述離子佈植製程中過熱。
  18. 如申請專利範圍第1項所述之低溫離子佈植裝置,其中所述預冷站為與所述終端站相連之真空預備室的一部分。
  19. 如申請專利範圍第1項所述之低溫離子佈植裝置,其中所述預冷站和所述冷卻機構中的至少部分並入所述裝載組件中。
  20. 一種低溫離子佈植之方法,包括:將晶圓載入第一真空空間;將所述第一真空空間中的所述晶圓冷卻到預定的溫度範圍;將冷卻後的所述晶圓載入與所述第一真空空間相分離 的第二真空空間;以及在所述第二真空空間對冷卻後的所述晶圓進行離子佈植製程。
  21. 如申請專利範圍第20項所述之低溫離子佈植之方法,更包括:將承板附到所述晶圓的背面,以獲得更大的熱質量,使所述晶圓在所述離子佈植製程中微小地升溫。
  22. 如申請專利範圍第20項所述之低溫離子佈植之方法,更包括:在所述離子佈植製程完成後,立即將所述晶圓從所述第二真空空間取出。
  23. 如申請專利範圍第20項所述之低溫離子佈植之方法,更包括:在離子佈植製程完成後,加熱所述晶圓以使溫度升至露點以上。
  24. 如申請專利範圍第20項所述之低溫離子佈植之方法,更包括:預計由所述離子佈植製程所導致的所述晶圓的溫升量;以及使所述晶圓至少部分在預計的所述溫升量的基礎上得以冷卻,以避免在所述離子佈植製程中所述晶圓過熱。
  25. 如申請專利範圍第20項所述之低溫離子佈植之方法,更包括:暫停所述離子佈植製程; 重新將所述晶圓冷卻至須要的溫度;以及恢復所述離子佈植製程。
  26. 如申請專利範圍第20項所述之低溫離子佈植之方法,其中所述離子佈植製程為電漿摻雜製程的一部分。
  27. 如申請專利範圍第20項所述之低溫離子佈植之方法,更包括:在進行所述離子佈植製程前對所述晶圓除氣。
  28. 如申請專利範圍第20項所述之低溫離子佈植之方法,更包括:在完成所述離子佈植製程後,進行無擴散退火。
  29. 一種離子佈植機,包括:至少一終端站;至少一預冷站,靠近所述至少一終端站,所述至少一預冷站具有冷卻機構,所述冷卻機構經配置以將晶圓從大氣溫度冷卻至小於所述大氣溫度的預定溫度範圍;裝載組件,與所述至少一終端站和所述至少一預冷站相連接;以及控制器,使所述晶圓在載入所述至少一終端站以進行離子佈植製程之前,被載入所述至少一預冷站,並冷卻到所述預定溫度範圍。
  30. 一種離子佈植及摻質活化之方法,包括:對晶圓進行離子佈植製程,其中,在所述離子佈植製程中,將所述晶圓的溫度保持在低於室溫的範圍內;以及在完成所述離子佈植製程後,對所述晶圓進行無擴散 退火。
  31. 如申請專利範圍第30項所述之離子佈植及摻質活化之方法,其中,在執行所述離子佈植製程之前,將所述晶圓預冷到須要的溫度範圍,且其中,在所述離子佈植製程中,將所述晶圓放在平台上,所述平台與所述晶圓至少部分絕熱。
  32. 如申請專利範圍第30項所述之離子佈植及摻質活化之方法,其中將所述晶圓的溫度保持在一定範圍內採用的一項或多項技術選自由氣冷、冷卻劑循環、冷卻劑相變、Peltier熱傳以及內置式低溫泵浦所構成的族群。
  33. 如申請專利範圍第30項所述之離子佈植及摻質活化之方法,其中用雷射進行所述無擴散退火。
TW096128193A 2006-08-15 2007-08-01 低溫離子佈植技術 TWI413166B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/504,367 US7935942B2 (en) 2006-08-15 2006-08-15 Technique for low-temperature ion implantation

Publications (2)

Publication Number Publication Date
TW200818279A TW200818279A (en) 2008-04-16
TWI413166B true TWI413166B (zh) 2013-10-21

Family

ID=39082518

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096128193A TWI413166B (zh) 2006-08-15 2007-08-01 低溫離子佈植技術

Country Status (6)

Country Link
US (2) US7935942B2 (zh)
JP (1) JP5299278B2 (zh)
KR (1) KR101343361B1 (zh)
CN (1) CN101536149B (zh)
TW (1) TWI413166B (zh)
WO (1) WO2008020972A2 (zh)

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7993698B2 (en) * 2006-09-23 2011-08-09 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature controlled ion implantation
US7642150B2 (en) * 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US20080121821A1 (en) * 2006-11-27 2008-05-29 Varian Semiconductor Equipment Associates Inc. Techniques for low-temperature ion implantation
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7528391B2 (en) 2006-12-22 2009-05-05 Varian Semiconductor Equipment Associates, Inc. Techniques for reducing contamination during ion implantation
US7902032B2 (en) * 2008-01-21 2011-03-08 Texas Instruments Incorporated Method for forming strained channel PMOS devices and integrated circuits therefrom
US8149256B2 (en) * 2008-06-04 2012-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for changing temperature of a platen
US20100181500A1 (en) * 2009-01-16 2010-07-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for low temperature ion implantation
US20100181501A1 (en) * 2009-01-21 2010-07-22 Pollock John D Apparatus for sub-zero degree c ion implantation
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100279479A1 (en) * 2009-05-01 2010-11-04 Varian Semiconductor Equipment Associates, Inc. Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon
US7709364B1 (en) * 2009-05-19 2010-05-04 Advanced Ion Beam Technology, Inc. Method and apparatus for low temperature ion implantation
US20100301236A1 (en) * 2009-05-26 2010-12-02 Shih-Yung Shieh Shorten Temperature Recovery Time of Low Temperature Ion Implantation
JP2011009007A (ja) * 2009-06-24 2011-01-13 Texas Instr Japan Ltd イオン注入装置のウエハ温度補償システム
US8101528B2 (en) 2009-08-07 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Low temperature ion implantation
US8012843B2 (en) 2009-08-07 2011-09-06 Varian Semiconductor Equipment Associates, Inc. Optimized halo or pocket cold implants
US20110039390A1 (en) * 2009-08-14 2011-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing Local Mismatch of Devices Using Cryo-Implantation
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8328494B2 (en) * 2009-12-15 2012-12-11 Varian Semiconductor Equipment Associates, Inc. In vacuum optical wafer heater for cryogenic processing
US8124508B2 (en) * 2010-03-31 2012-02-28 Advanced Ion Beam Technology, Inc. Method for low temperature ion implantation
JP2013532354A (ja) 2010-05-28 2013-08-15 アクセリス テクノロジーズ, インコーポレイテッド 冷却されるイオン注入システムのための加熱回転式のシールおよび軸受け
JP5899209B2 (ja) * 2010-05-28 2016-04-06 アクセリス テクノロジーズ, インコーポレイテッド ワークピース上の結露を防ぐためのアクティブ露点検出およびロードロック通気
US20110291022A1 (en) * 2010-05-28 2011-12-01 Axcelis Technologies, Inc. Post Implant Wafer Heating Using Light
US8481969B2 (en) 2010-06-04 2013-07-09 Axcelis Technologies, Inc. Effective algorithm for warming a twist axis for cold ion implantations
US8586460B2 (en) * 2010-09-23 2013-11-19 Varian Semiconductor Equipment Associates, Inc. Controlling laser annealed junction depth by implant modification
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103367126B (zh) * 2012-03-28 2016-03-09 无锡华润上华科技有限公司 离子注入方法
US9711324B2 (en) 2012-05-31 2017-07-18 Axcelis Technologies, Inc. Inert atmospheric pressure pre-chill and post-heat
US9236216B2 (en) * 2012-08-03 2016-01-12 Axcelis Technologies, Inc. In-vacuum high speed pre-chill and post-heat stations
US9490185B2 (en) * 2012-08-31 2016-11-08 Axcelis Technologies, Inc. Implant-induced damage control in ion implantation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6094800B2 (ja) * 2013-02-22 2017-03-15 日新イオン機器株式会社 イオンビーム照射装置
TWI506680B (zh) * 2013-02-22 2015-11-01 Nissin Ion Equipment Co Ltd Substrate cooling means and irradiating ion beam
US9663854B2 (en) * 2013-03-14 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. High-throughput system and method for post-implantation single wafer warm-up
US9514916B2 (en) * 2013-03-15 2016-12-06 Varian Semiconductor Equipment Associates, Inc. Wafer platen thermosyphon cooling system
TWI633570B (zh) * 2013-08-07 2018-08-21 美商艾克塞利斯科技公司 離子植入系統以及將離子植入工件的方法
JP6267201B2 (ja) * 2013-10-02 2018-01-24 アクセリス テクノロジーズ, インコーポレイテッド 真空下での高速前冷却および後加熱ステーション
CN103594312A (zh) * 2013-11-13 2014-02-19 上海华力微电子有限公司 点状高电流离子注入机
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102274771B1 (ko) * 2014-03-10 2021-07-09 에스케이하이닉스 주식회사 트랜지스터, 트랜지스터의 제조 방법 및 트랜지스터를 포함하는 전자장치
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9287148B1 (en) * 2014-12-18 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Dynamic heating method and system for wafer processing
US20180175008A1 (en) 2015-01-09 2018-06-21 Silicon Genesis Corporation Three dimensional integrated circuit
US10573627B2 (en) 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
US20160203950A1 (en) * 2015-01-13 2016-07-14 Advanced Ion Beam Technology, Inc. Method and ion implanter for low temperature implantation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016148855A1 (en) 2015-03-19 2016-09-22 Applied Materials, Inc. Method and apparatus for reducing radiation induced change in semiconductor structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9607803B2 (en) * 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9779955B2 (en) * 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109417010B (zh) * 2016-06-02 2021-04-13 艾克塞利斯科技公司 加热或冷却晶片的设备及方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN106298416A (zh) * 2016-11-09 2017-01-04 上海华力微电子有限公司 离子注入机以及离子注入操作方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7328221B2 (ja) * 2017-12-01 2023-08-16 シリコン ジェネシス コーポレーション 三次元集積回路
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10227693B1 (en) * 2018-01-31 2019-03-12 Axcelis Technologies, Inc. Outgassing impact on process chamber reduction via chamber pump and purge
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
GB201806377D0 (en) * 2018-04-19 2018-06-06 Metryx Ltd Semiconductor wafer mass metrology apparatus and semiconductor wafer mass metrology method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
JP2020167244A (ja) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 基板処理システム及び基板処理方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN110473812A (zh) * 2019-08-29 2019-11-19 上海华力集成电路制造有限公司 低温离子注入机及其工作方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN113380624A (zh) * 2020-03-09 2021-09-10 长鑫存储技术有限公司 一种半导体器件及其制造方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220028707A1 (en) * 2020-07-21 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Warm wafer after ion cryo-implantation
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11830739B2 (en) 2020-10-07 2023-11-28 Applied Materials, Inc. Techniques to increase CMOS image sensor well depth by cyrogenic ion channeling of ultra high energy ions
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11410984B1 (en) 2021-10-08 2022-08-09 Silicon Genesis Corporation Three dimensional integrated circuit with lateral connection layer
CN113984788B (zh) * 2021-12-24 2022-03-15 北京凯世通半导体有限公司 一种通过光学检测仪器对超低温离子注入设备监测的方法
CN113990727B (zh) * 2021-12-24 2022-03-15 北京凯世通半导体有限公司 一种超低温晶圆注入平台

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6025602A (en) * 1996-02-16 2000-02-15 Eaton Corporation Ion implantation system for implanting workpieces
US20060163490A1 (en) * 2005-01-21 2006-07-27 Advanced Ion Beam Technology Inc. Ion implantation cooling system

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0797579B2 (ja) * 1988-03-30 1995-10-18 株式会社日立製作所 低温ドライエッチング装置
EP0405855A3 (en) * 1989-06-30 1991-10-16 Hitachi, Ltd. Ion implanting apparatus and process for fabricating semiconductor integrated circuit device by using the same apparatus
JPH03291927A (ja) * 1990-04-09 1991-12-24 Sony Corp 低温処理装置及び低温処理を含む連続処理装置
JPH04216619A (ja) * 1990-12-18 1992-08-06 Fujitsu Ltd 半導体製造装置
US6084240A (en) * 1998-06-20 2000-07-04 United Integrated Circuits Corp. Ion implanter
WO2001072094A1 (en) * 2000-03-20 2001-09-27 Tokyo Electron Limited High speed photoresist stripping chamber
US7196782B2 (en) * 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
JP2003031639A (ja) 2001-07-17 2003-01-31 Canon Inc 基板処理装置、基板の搬送方法及び露光装置
US7132673B2 (en) * 2004-07-30 2006-11-07 E.A. Fischione Instruments, Inc. Device and method for milling of material using ions
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7993698B2 (en) * 2006-09-23 2011-08-09 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature controlled ion implantation
US20080090392A1 (en) * 2006-09-29 2008-04-17 Varian Semiconductor Equipment Associates, Inc. Technique for Improved Damage Control in a Plasma Doping (PLAD) Ion Implantation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6025602A (en) * 1996-02-16 2000-02-15 Eaton Corporation Ion implantation system for implanting workpieces
US20060163490A1 (en) * 2005-01-21 2006-07-27 Advanced Ion Beam Technology Inc. Ion implantation cooling system

Also Published As

Publication number Publication date
JP2010500734A (ja) 2010-01-07
US7935942B2 (en) 2011-05-03
KR101343361B1 (ko) 2013-12-19
KR20090049069A (ko) 2009-05-15
US20110207308A1 (en) 2011-08-25
CN101536149A (zh) 2009-09-16
WO2008020972A3 (en) 2008-06-26
JP5299278B2 (ja) 2013-09-25
TW200818279A (en) 2008-04-16
CN101536149B (zh) 2011-03-02
WO2008020972A2 (en) 2008-02-21
US20080044938A1 (en) 2008-02-21
US8319196B2 (en) 2012-11-27

Similar Documents

Publication Publication Date Title
TWI413166B (zh) 低溫離子佈植技術
US8450193B2 (en) Techniques for temperature-controlled ion implantation
US7655933B2 (en) Techniques for temperature-controlled ion implantation
US8328494B2 (en) In vacuum optical wafer heater for cryogenic processing
KR101817185B1 (ko) 피가공재 상의 응축을 방지하기 위한 능동형 이슬점 감지 및 로드록 배기
US9236216B2 (en) In-vacuum high speed pre-chill and post-heat stations
US7194199B2 (en) Stacked annealing system
TWI597765B (zh) 惰性大氣壓預冷及後熱處理
US20080121821A1 (en) Techniques for low-temperature ion implantation
US20100181500A1 (en) Method and system for low temperature ion implantation
US20100301236A1 (en) Shorten Temperature Recovery Time of Low Temperature Ion Implantation
TW201725602A (zh) 高產量之冷卻離子佈植系統及方法
US8039374B2 (en) Method for low temperature ion implantation
TWI828245B (zh) 用於減少基板冷卻時間的設備及方法
Cheng et al. Diffusion and Ion Implantation Equipment
KR20010066156A (ko) 급속 열처리 방법
TWI633570B (zh) 離子植入系統以及將離子植入工件的方法
JP2003303870A (ja) 半導体ウェハー搬送機構
JPH0267725A (ja) 半導体装置のシリサイド化コンタクト形成用熱処理装置
KR20020014070A (ko) 웨이퍼 냉각 장치를 포함하는 반도체 제조장치