KR100917501B1 - 전자기 에너지의 흡수를 최적화함으로써 반도체 웨이퍼를가열하기 위한 시스템 및 방법 - Google Patents

전자기 에너지의 흡수를 최적화함으로써 반도체 웨이퍼를가열하기 위한 시스템 및 방법 Download PDF

Info

Publication number
KR100917501B1
KR100917501B1 KR1020047006833A KR20047006833A KR100917501B1 KR 100917501 B1 KR100917501 B1 KR 100917501B1 KR 1020047006833 A KR1020047006833 A KR 1020047006833A KR 20047006833 A KR20047006833 A KR 20047006833A KR 100917501 B1 KR100917501 B1 KR 100917501B1
Authority
KR
South Korea
Prior art keywords
semiconductor substrate
laser beam
light energy
wafer
light
Prior art date
Application number
KR1020047006833A
Other languages
English (en)
Other versions
KR20050043755A (ko
Inventor
티만스폴자니스
Original Assignee
맷슨 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 맷슨 테크놀로지, 인크. filed Critical 맷슨 테크놀로지, 인크.
Publication of KR20050043755A publication Critical patent/KR20050043755A/ko
Application granted granted Critical
Publication of KR100917501B1 publication Critical patent/KR100917501B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • F27B5/14Arrangements of heating devices
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/12Reflex reflectors
    • G02B5/122Reflex reflectors cube corner, trihedral or triple reflector type
    • G02B5/124Reflex reflectors cube corner, trihedral or triple reflector type plural reflecting elements forming part of a unitary plate or sheet

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

본 발명은 웨이퍼(14) 상으로 광 에너지를 방출하기 위한 선형 램프(24)의 조립체를 포함하는 가열 장치(22)를 포함하는, 반도체 웨이퍼(14)를 열 처리하기 위한 장치에 관한 것이다. 선형 램프(24)는 다양한 구성으로 위치될 수 있다. 본 발명에 따르면, 광 에너지원의 전반적인 조사 분포를 조정하도록 사용되는 조정 장치(40)가 가열 장치(22) 내에 포함된다. 조정 장치(40)는 예를 들어 램프 또는 레이저일 수 있다.
웨이퍼, 가열 장치, 램프, 레이저, 편광

Description

전자기 에너지의 흡수를 최적화함으로써 반도체 웨이퍼를 가열하기 위한 시스템 및 방법 {SYSTEM AND PROCESS FOR HEATING SEMICONDUCTOR WAFERS BY OPTIMIZING ABSORPTION OF ELECTROMAGNETIC ENERGY}
본 출원은 2000년 12월 21일자로 출원된 미국 특허 출원 제09/747,522호의 부분 연속 출원이다.
본원에서 사용되는 열 처리 챔버는 반도체 웨이퍼와 같은 물체를 가열하는 장치를 말한다. 그러한 장치는 전형적으로 반도체 웨이퍼를 유지하기 위한 기판 홀더와, 웨이퍼를 가열하기 위한 열 에너지를 방출하는 복수의 램프와 같은 에너지원을 포함한다. 열 처리 중에, 반도체 웨이퍼는 미리 설정된 온도 계획에 따라 제어된 조건하에서 가열된다. 열 처리 중에 반도체 웨이퍼의 온도를 모니터링하기 위해, 열 처리 챔버는 또한 전형적으로 선택된 밴드의 파장에서 반도체 웨이퍼에 의해 방출되는 복사를 감지하는 고온계와 같은 온도 감지 장치를 포함한다. 웨이퍼에 의해 방출되는 열 복사를 감지함으로써, 웨이퍼의 온도는 적당한 정확도로 계산될 수 있다.
다른 실시예에서, 복사 감지 장치를 사용하는 것 대신에 또는 그에 부가하여, 열 처리 챔버는 웨이퍼의 온도를 모니터링하기 위한 열전쌍을 포함할 수도 있 다. 열전쌍은 직접 접촉에 의해 물체의 온도를 측정한다.
많은 반도체 가열 방법은 웨이퍼가 장치 내에서 제조될 때 다양한 화학적, 물리적 반응이 일어날 수 있도록 웨이퍼가 고온으로 가열되는 것을 요구한다. 처리의 한 가지 유형인 빠른 열 처리 중에, 반도체 웨이퍼는 전형적으로 광원의 어레이에 의해 전형적으로 수분 이내의 시간 동안 예를 들어 약 400℃ 내지 약 1,200℃의 온도로 가열된다. 이러한 방법 중에, 한 가지 주요한 목적은 웨이퍼를 가능한 한 균일하게 가열하는 것이다.
그러나, 웨이퍼에 걸쳐 일정한 온도를 유지하며 웨이퍼가 가열되는 속도를 제어할 수 있는 점에서 과거에 문제점을 경험했다. 웨이퍼가 불균일하게 가열되면, 다양한 원치 않는 응력이 웨이퍼 내에 생성될 수 있다. 웨이퍼를 균일하게 가열하지 못하는 것은 또한 웨이퍼 상에 필름을 균일하게 어닐링하기 위해 웨이퍼 상에 필름을 균일하게 침착시키는 능력과, 웨이퍼 상에서 다양한 다른 화학적, 물리적 처리를 수행하는 능력을 제한한다.
과거에 경험했던 문제점의 일부는 반도체 웨이퍼가 자주 표면의 반사율 및 흡수율에 영향을 주는 재료의 필름으로 코팅된다는 사실과 관련된다. 예를 들어, 상이한 웨이퍼들 사이의 변동이 있을 수 있으며, 또한 반도체 장치 제조 시퀀스 중에 웨이퍼 상에 생성된 패턴의 결과로 단일 웨이퍼 내의 변동이 있을 수 있다. 웨이퍼가 전자기 복사에 의해 조사되면, 광학 특성의 이러한 변동은 웨이퍼의 전력을 흡수하는 능력의 변동과 그 결과 도달되는 온도의 변동을 초래한다. 이는 열 처리의 반복성을 열화시킬 수 있으며 임의의 주어진 웨이퍼를 가로지른 균일성을 열화 시킬 수도 있다. 예를 들어, 상이한 재료로 코팅된 상이한 영역들을 갖는 웨이퍼는 그러한 영역 내에서 상이한 전력 흡수 특징을 가질 것이다.
이와 같이, 개선된 열 처리 챔버와 반도체 웨이퍼를 더욱 효율적으로 가열할 수 있으며 반도체 웨이퍼를 균일하게 가열할 수 있는 방법에 대한 요구가 현재 존재한다.
본 발명은 반도체 웨이퍼를 가열하기 위한 다양한 방법에 관한 것이다. 특히, 본 발명은 웨이퍼에 의한 에너지의 흡수를 최적화하기 위해 웨이퍼 상으로 광 에너지를 방출하는 광원을 구성하는 것에 관한 것이다. 본 발명은 웨이퍼와 접촉하는 광 에너지의 입사각을 변경하고 복수의 파장의 광을 사용하고 특정 편광 상태로 웨이퍼와 접촉하도록 광 에너지를 구성함으로써 수행된다.
예를 들어, 본 발명의 일 실시예에서, 방법은 처리 챔버 내에 반도체 웨이퍼를 위치시키는 단계를 포함한다. 광 에너지는 웨이퍼를 가열하기 위해 웨이퍼 상으로 유도된다. 광 에너지는 0°보다 더 큰 입사각으로 웨이퍼와 접촉한다. 특히, 입사각은 10°보다 더 크고, 특히 약 40° 내지 약 50°이다.
방법은 광 에너지가 반도체 웨이퍼와 접촉하기 전에 광 에너지를 편광시키는 단계를 더 포함한다. 특히, 광 에너지는 광 에너지가 p-편광 상태로 반도체 웨이퍼와 접촉하도록 편광된다. 임의의 적합한 편광 장치가 광을 편광시키도록 사용될 수 있다. 예를 들어 일 실시예에서, 제1 p-편광된 광 에너지 비임 및 제2 p-편광된 광 에너지 비임을 생성하는 비임 분할 장치가 사용될 수 있다. 제1 및 제2 p- 편광된 광 에너지 비임은 반도체 웨이퍼 상으로 유도된다. 본 발명의 또 다른 실시예에서, 광 에너지는 와이어 그리드 편광 장치를 사용하여 편광된다.
본 발명에 따라 사용되는 광 에너지는 레이저 또는 엇결성 광원으로부터 방출될 수 있다. 아크 램프 또는 텅스텐 할로겐 램프와 같은 엇결성 광원을 사용할 때, 광은 편광되기 전에 시준될 수 있다.
본 발명의 다른 실시예에서, 방법은 반도체 웨이퍼를 처리 챔버 내에 위치시키는 단계와, 적어도 제1 레이저 및 제2 레이저로부터 반도체 웨이퍼 상으로 레이저 비임을 유도하는 단계를 포함한다. 제1 레이저는 제1 파장 범위로 광을 방출하고 제2 레이저는 제2 파장 범위로 광을 방출한다. 웨이퍼를 더욱 균일하고 효율적으로 가열하기 위해, 제1 파장 범위는 제2 파장 범위와 다르다.
상이한 파장 범위의 레이저 비임들을 사용하는 것 이외에 또는 그에 부가하여, 비임들은 상이한 입사각으로 웨이퍼와 접촉할 수 있다. 특히, 각각의 비임은 10°보다 더 큰 입사각, 특히 약 40° 내지 약 85°의 입사각으로 반도체 웨이퍼와 접촉해야 한다. 반도체 웨이퍼를 가열하기 위해 레이저 비임을 사용할 때, 레이저 비임은 p-편광 상태와 같은 특정 상태로 웨이퍼에 부딪히도록 구성될 수 있다.
이온 주입 어닐 방법을 수행하기에 특히 적합한 본 발명의 하나의 특정 실시예에서, 본 발명의 방법은 반도체 웨이퍼를 열 처리 챔버 내에 위치시키는 단계를 포함한다. 그 다음 펄스식 레이저 비임이 반도체 웨이퍼 상으로 유도된다. 펄스식 레이저 비임은 적어도 10°의 입사각으로 p-편광 상태와 같은 특정 상태에서 웨이퍼에 부딪히도록 구성된다.
본 발명에 따라 구성된 광 에너지원은 웨이퍼를 가열하기 위해 단독으로 사용될 수 있거나 다른 에너지원과 함께 사용될 수 있다. 예를 들어, 본 발명의 광 에너지원은 다른 광 에너지원과 함께 그리고/또는 서셉터 판과 함께 사용될 수 있다.
본 발명의 다른 특징 및 태양은 이하에서 더욱 상세하게 설명된다.
당업자에 대해 맞춰진 최적 모드를 포함하는 본 발명의 완전하고 가능한 개시 내용은 첨부된 도면을 참조하는 명세서의 이하의 부분에서 더욱 구체적으로 설명된다.
도1은 본 발명에 따라 사용될 수 있는 열 처리 챔버의 일 실시예의 단면도이다.
도2는 반도체 장치 위에 위치되어 0°보다 더 큰 웨이퍼와의 입사각을 갖는 복수의 램프를 도시하는, 본 발명에 따른 개략적인 평면도이다.
도3은 본 출원에서 사용되는 몇몇 용어를 설명하기 위해 제공된 정보 다이어그램이다.
도4는 이산화규소의 바닥 코팅과 폴리실리콘의 상부 코팅을 갖는 반도체 웨이퍼의 흡광도를 도시하는 그래프이다. 곡선은 p-편광된 복사 및 s-편광된 복사와 편광되지 않은 복사에 대한 45°의 입사각에 대해 도시되어 있다.
도5는 도4에서와 동일한 구조에 대한 흡광도를 도시하는 그래프이다. 그러나, 본 도면에서 곡선은 상이한 입사각들에서의 p-편광된 복사에 대한 것이다.
도6은 0°보다 더 큰 입사각으로 반도체 웨이퍼 상으로 레이저 비임을 방출하는 레이저를 도시하는 측면도이다.
도7의 (a)는 상이한 입사각으로 반도체 웨이퍼 상으로 레이저 비임을 방출하는 두 개의 다른 레이저의 측면도이다.
도7의 (b)는 두 개의 상이한 입사각으로 반도체 웨이퍼와 접촉하는 두 개의 다른 비임으로 분할되는 레이저 비임의 측면도이다.
도8은 광원으로부터 방출된 광이 시준된 다음 편광되어 0°보다 더 큰 입사각으로 웨이퍼와 접촉하는 엇결성 광원의 측면도이다.
도9는 편광 장치가 광을 두 개의 다른 p-편광된 복사 비임으로 분할시키는, 도8에 도시된 방법의 다른 실시예이다.
본 명세서 및 도면에서의 도면 부호의 반복적인 사용은 본 발명의 동일하거나 유사한 특징부 또는 요소를 나타내기 위한 것이다.
당업자는 본 설명은 단지 예시적인 실시예의 설명이며 예시적인 구성으로 실시되는 본 발명의 광범위한 태양을 제한하는 것이 아님을 이해해야 한다.
열 처리 챔버는 집적 회로의 제조 방법의 일부로서 반도체 웨이퍼를 가열하기 위해 강력한 광과 같은 열 에너지를 사용한다. 광 에너지에 대한 노출은 반도체 웨이퍼의 온도를 빠르게 증가시키며 처리 시간을 비교적 짧게 만든다. 빠른 열 처리 시스템에서, 웨이퍼를 매우 균일하고 제어된 방식으로 매우 높은 강도의 광으로 조사하는 것이 중요하다. 위에서 언급한 바와 같이, 현재의 장치에서의 곤란함 은 조사되는 광의 강도에 대한 요구와 웨이퍼를 균일하게 가열하는 능력이 달성하기가 매우 어렵다는 것이다.
예를 들어, 반도체 웨이퍼는 자주 표면의 반사율 및 흡수율에 영향을 주는 재료로 코팅된다. 웨이퍼 상에 포함된 이러한 코팅은 웨이퍼를 가열하는 데 있어서의 비효율로 이어질 수 있으며 웨이퍼 내의 온도 변동으로 이어질 수도 있다. 예를 들어, 상이한 재료로 코팅된 영역들을 갖는 웨이퍼는 이러한 영역 내에서 상이한 전력 흡수 특징을 가질 것이다.
통상, 본 발명은 반도체 웨이퍼를 균일하고 효율적으로 가열하기 위한 장치 및 방법에 관한 것이다. 본 발명에 따라 처리되는 웨이퍼는 광 에너지에 의해 적어도 부분적으로 가열된다. 본 발명은 웨이퍼의 흡수율을 증가시키고 웨이퍼 표면의 광학 특성의 변동의 효과를 감소시키기 위해 가열 복사의 입사각, 편광 평면, 및 파장의 최적화에 관한 것이다.
특히, 본 발명은 반도체 웨이퍼와 같은 물체를 가열하기 위해 열 처리 챔버 내에 램프를 위치시키는 것에 관한 것이다. 램프는 램프에 의해 방출되는 광 에너지가 웨이퍼에 의한 흡수를 최적화하는 입사각으로 웨이퍼와 접촉하도록 구성된다. 입사각을 최적화하는 것에 대한 대안으로서 또는 그에 부가하여, 램프에 의해 방출되는 광 에너지는 광 에너지가 흡수를 최적화하는 편광 평면 내에서 웨이퍼와 접촉하도록 구성될 수도 있다. 마지막으로, 본 발명은 또한 적어도 몇몇 파장이 웨이퍼에 의해 효율적으로 흡수되도록 웨이퍼를 복수의 파장의 광과 접촉시키는 것에 관한 것이다.
도3을 참조하면, 본 출원에서 사용되는 몇몇 정의 및 용어를 설명하는 다이어그램이 도시되어 있다. 도시된 바와 같이, 입사각(θ)은 웨이퍼 표면에 대한 수직선과 가열 복사의 진행 방향 사이의 각도이다. 입사 평면은 웨이퍼 표면에 대한 수직선과 웨이퍼 표면상으로 입사하는 에너지의 광선을 포함하는 평면이다. p-편광 평면은 입사 광선의 자장 벡터가 입사 평면 내에 놓이는 편광 상태이다. 이러한 상태는 또한 횡자계(TM) 편광으로 알려져 있다. 자장 벡터가 입사 평면에 대해 직교하는 p-편광 상태에 대해 직각인 편광은 s-편광 상태 또는 횡전계(TE) 편광 상태로서 알려져 있다.
본 발명에 따라 사용될 수 있는 램프는 특수한 적용에 따라 변할 수 있다. 예를 들어, 일 실시예에서, 레이저가 사용될 수 있다. 레이저는 매우 좁은 파장 범위에 걸쳐 광을 방출한다. 레이저 이외에, 다양한 엇결성 광원이 본 발명의 시스템 내에서 사용될 수도 있다. 레이저에 반대되는 엇결성 광원은 파장의 넓은 범위에 걸쳐 광을 방출한다. 본 발명에서 사용될 수 있는 엇결성 광원은 아크 램프, 텅스텐 할로겐 램프 등을 포함한다.
본 발명에 따라 구성된 램프는 반도체 웨이퍼를 가열하기 위해 단독으로 사용될 수 있거나, 다른 열 에너지원과 함께 사용될 수 있다. 예를 들어, 램프는 전기 저항에 의해 웨이퍼를 가열하는 서셉터 판 또는 열판과 함께 사용될 수 있다. 다른 실시예에서, 본 발명에 따라 구성된 램프는 특수하게 구성되지 않은 다른 램프와 함께 사용될 수 있다.
도1을 참조하면, 본 발명에 따라 이루어진 시스템(10)의 일 실시예가 도시되 어 있다. 이러한 실시예에서, 시스템은 본 발명에 따라 구성된 복수의 램프(40)와, 기술 분야에서 공지된 바와 같이 반도체 웨이퍼(14) 위에 위치된 복수의 다른 램프(24)를 포함한다.
도시된 바와 같이, 시스템(10)은 다양한 방법을 수행하기 위해 웨이퍼(14)와 같은 기판을 수납하도록 되어 있는 처리 챔버(12)를 포함한다. 웨이퍼(14)는 실리콘과 같은 반도체 재료로부터 만들어질 수 있다. 도시된 바와 같이, 웨이퍼(14)는 석영과 같은 단열 재료로부터 만들어진 기판 홀더(15) 상에 위치된다. 챔버(12)는 매우 빠른 속도로 신중하게 제어되는 조건하에서 웨이퍼(14)를 가열하도록 설계된다. 챔버(12)는 금속 및 세라믹을 포함하는 다양한 재료로부터 만들어질 수 있다. 예를 들어, 챔버(12)는 스테인리스강으로부터 만들어질 수 있거나, 예를 들어 석영으로부터 만들어진 저온 벽 챔버일 수 있다.
챔버(12)가 열 전도성 재료로부터 만들어지면, 양호하게는 챔버는 냉각 시스템을 포함한다. 예를 들어, 도1에 도시된 바와 같이, 챔버(12)는 챔버의 주연부 둘레에 감긴 냉각 도관(16)을 포함한다. 도관(16)은 챔버(12)의 벽을 일정한 온도로 유지하도록 사용되는 물과 같은 냉각 유체를 순환시키도록 되어 있다.
챔버(12)는 챔버 내로 가스를 도입하고 그리고/또는 챔버를 미리 설정된 압력 범위 내에 유지하기 위해 가스 입구(18) 및 가스 출구(20)를 포함할 수도 있다. 예를 들어, 가스는 웨이퍼(14)와의 반응을 위해 가스 입구(18)를 통해 챔버(12) 내로 도입될 수 있다. 가스는 처리되면 가스 출구(20)를 사용하여 챔버로부터 배출될 수 있다.
또는, 불활성 가스가 임의의 원치 않거나 바람직하지 않은 부반응이 챔버 내에서 일어나는 것을 방지하기 위해 가스 입구(18)를 통해 챔버(12) 내로 공급될 수 있다. 다른 실시예에서, 가스 입구(18) 및 가스 출구(20)는 챔버(12)를 가압하도록 사용될 수 있다. 필요하다면 가스 출구(20) 또는 웨이퍼의 레벨 아래에 위치된 추가의 큰 출구를 사용하여 챔버(12) 내에 진공이 생성될 수도 있다.
처리 중에, 기판 홀더(15)는 일 실시예에서 웨이퍼 회전 기구(21)를 사용하여 웨이퍼(14)를 회전시키도록 될 수 있다. 웨이퍼를 회전시키는 것은 웨이퍼의 표면에 걸쳐 더 큰 온도 균일성을 촉진하고 웨이퍼(14)와 챔버 내로 도입된 임의의 가스 사이의 증대된 접촉을 촉진한다. 그러나, 웨이퍼 이외에 챔버(12)는 또한 광학 부품, 필름, 섬유, 리본, 및 임의의 특수한 형상을 갖는 다른 기판을 처리하도록 되어 있다는 것을 이해해야 한다.
열원 또는 가열 장치(22)는 처리 중에 웨이퍼(14)를 가열하기 위해 챔버(12)와 연통하여 포함된다. 가열 장치(22)는 텅스텐 할로겐 램프와 같은 복수의 선형 램프(24)를 포함한다. 본원에서 사용되는 바와 같이, "선형 램프"는 그의 에너지의 대부분을 램프의 가장 긴 치수부를 통해 방출하도록 설계된 램프를 말한다. 예를 들어, 대부분의 실시예에서, 선형 램프는 그의 에너지의 대부분을 램프의 측면을 통해 방출한다. 도1에 도시된 바와 같이, 램프(24)는 웨이퍼(14) 위에서 수평으로 정렬되어 있다. 그러나, 램프(24)는 웨이퍼의 아래에만 또는 웨이퍼의 위와 아래와 같은, 임의의 특정 위치에 위치될 수 있다는 것을 이해해야 한다. 또한, 추가의 램프가 필요하다면 시스템(10) 내에 포함될 수 있다.
선형 램프 이외에, 본 발명의 시스템은 수직으로 배향된 램프를 사용할 수도 있다. 이러한 램프는 램프의 단부가 웨이퍼와 대면하도록 위치된다.
도면에 도시된 바와 같이, 램프(24)는 램프 각각에 의해 방출되는 광 에너지를 증가시키거나 감소시키도록 사용될 수 있는 점증식 전력 제어기(25)를 갖추고 있다.
램프(24)에 의해 웨이퍼(14) 상으로 방출되는 광 에너지를 유도하는 것을 보조하기 위해, 램프는 반사기 또는 반사기의 세트와 관련될 수 있다. 예를 들어, 도1에 도시된 바와 같이, 가열 장치(22)는 선형 램프(24) 위에 위치된 반사기 판(36)을 포함한다. 반사기 판(36)은 광 에너지를 반사하기에 적합한 임의의 재료로부터 만들어질 수 있으며 웨이퍼(14)를 향해 광 에너지를 유도하는 것을 보조하는 임의의 적합한 형상을 가질 수 있다.
램프(24)에 부가하여, 도1에 도시된 바와 같이, 시스템은 본 발명에 따른 광원 또는 램프(40)를 포함한다. 도시된 바와 같이, 램프(40)는 웨이퍼에 의한 광 에너지의 흡수를 최적화하기 위해 웨이퍼(14)에 대해 각도를 이루어 위치된다. 이하에서 상세하게 설명되는 바와 같이, 입사각을 조정하는 것 이외에, 램프(40)에 의해 방출되는 복사는 p-편광 평면 내에서 또는 근방에서 웨이퍼에 부딪히도록 구성될 수도 있다.
도1에 도시된 바와 같이, 본 발명에 따라 구성된 램프(40)는 램프(28)와 함께 사용될 수 있다. 또는, 도2에 도시된 바와 같이, 웨이퍼(14)는 램프(40)에 의해서만 가열될 수 있다. 특히, 도2에 도시된 바와 같이, 램프(40)는 원하는 각도 로 웨이퍼(14)를 둘러싸도록 위치된다.
본 발명의 또 다른 실시예에서, 램프(40)는 웨이퍼에 인접하게 위치된 서셉터와 함께 사용될 수 있다. 서셉터는 웨이퍼를 가열하기 위한 전기 저항 가열기를 포함할 수 있다.
도1을 참조하면, 가열 방법 중에 웨이퍼(14)의 온도를 모니터링하기 위해, 열 처리 챔버(12)는 복수의 복사 감지 장치(27)를 포함한다. 복사 감지 장치(27)는 복수의 대응하는 광 검출기(30)와 연통하는 복수의 광섬유 또는 광 파이프(28)를 포함한다. 광섬유(28)는 웨이퍼(14)에 의해 방출되는 특정 파장의 열 에너지를 수용하도록 구성된다. 감지된 복사량이 광 검출기(30)로 전달되고, 이는 부분적으로 플랭크 법칙에 기초하여 계산될 수 있는 웨이퍼의 온도를 결정하기 위해 사용 가능한 전압 신호를 발생시킨다. 일 실시예에서, 광 검출기(30)와 조합된 각각의 광섬유(28)는 고온계를 포함한다. 다른 실시예에서, 광섬유(28)는 하나의 다중 복사 감지 장치에 연결된다.
통상, 열 처리 챔버(12)는 하나 또는 복수의 복사 감지 장치를 포함할 수 있다. 양호한 실시예에서, 도1에 도시된 바와 같이, 열 처리 챔버(12)는 상이한 위치에서의 웨이퍼의 온도를 측정하는 복수의 복사 감지 장치를 포함한다. 상이한 위치에서의 웨이퍼의 온도를 아는 것은 이하에서 더욱 상세하게 설명되는 바와 같이 웨이퍼에 인가되는 열량을 제어하도록 사용될 수 있다. 웨이퍼의 다양한 구역에 인가된 열량은 개방 루프 방식으로 제어될 수도 있다. 이러한 구성에서, 다양한 가열 구역들 사이의 비율은 수동 최적화 후에 미리 결정될 수 있다.
시스템(10)은 챔버로부터 램프(24)를 분리하는 윈도우(32)를 더 포함한다. 윈도우(32)는 램프(24)를 웨이퍼(14)로부터 격리하여 챔버의 오염을 방지한다. 도1에 도시된 윈도우(32)는 챔버(12)와 열원(22) 사이에 위치된 윈도우일 수 있다.
복사 감지 장치를 사용하는 것 이외에, 다른 온도 감지 장치가 본 발명의 시스템 내에서 사용될 수 있다. 예를 들어, 하나 이상의 열전쌍이 하나의 위치 또는 복수의 위치에서 웨이퍼의 온도를 모니터링하기 위해 시스템 내로 통합될 수 있다. 열전쌍은 웨이퍼와 직접 접촉하여 위치되거나 온도가 추정되는 웨이퍼에 인접하여 위치될 수 있다.
시스템(10)은 예를 들어 마이크로 프로세서일 수 있는 시스템 제어기(50)를 더 포함한다. 제어기(50)는 다양한 위치에서 샘플링되는 복사량을 나타내는 광 검출기(30)로부터의 전압 신호를 수신한다. 수신된 신호에 기초하여, 제어기(50)는 상이한 위치에서 웨이퍼(14)의 온도를 계산하도록 구성된다.
도1에 도시된 시스템 제어기(50)는 램프 전력 제어기(25)와 연통할 수도 있다. 이러한 배열에서, 제어기(50)는 웨이퍼(14)의 온도를 결정할 수 있고, 이러한 정보에 기초하여 램프(24) 및/또는 램프(40)에 의해 방출되는 열 에너지의 양을 제어할 수 있다. 이러한 방식으로, 즉각적인 조정은 신중하게 제어되는 한계 내에서 웨이퍼(14)를 처리하기 위한 반응기(12) 내의 조건에 대해 이루어질 수 있다.
일 실시예에서, 제어기(50)는 시스템 내의 다른 요소를 자동으로 제어하도록 사용될 수도 있다. 예를 들어, 제어기(50)는 가스 입구(18)를 통해 챔버(12)로 진입하는 가스의 유량을 제어하도록 사용될 수 있다. 도시된 바와 같이, 제어기(50) 는 웨이퍼(14)가 챔버 내에서 회전되는 속도를 제어하도록 사용될 수도 있다.
위에서 설명한 바와 같이, 본 발명은 가열되는 웨이퍼에 의한 광 에너지의 흡수를 최적화하기 위해 열 처리 챔버 내에 다양한 광원을 구성하는 것에 관한 것이다. 본 발명의 목적은 상이한 특성들을 가지며 그리고/또는 상이한 재료들로 코팅된 웨이퍼를 처리할 때 과거에 경험했던 문제점을 최소화하는 것이다. 통상, 본 발명은 흡수를 최대화하기 위해 광원의 입사각을 변화시키고, 광원에 의해 방출되는 광이 흡수를 최적화하기 위해 p-편광 상태로 또는 대체로 p-편광 상태로 위치되게 하고 광 에너지의 적어도 일부가 웨이퍼에 의해 효율적으로 흡수되는 것을 보장하기 위해 여러 상이한 파장을 사용하게 하는 것에 관한 것이다.
도4 및 도5는 본 발명과 관련된 몇몇 개념을 도시하기 위한 것이다. 도4는 2-층 코팅으로 코팅된 반도체 웨이퍼의 흡광도를 도시한다. 2-층 코팅은 이산화규소의 바닥 코팅과 폴리실리콘의 상부 코팅을 포함한다. 특히, 이산화규소의 바닥 코팅은 0.5 미크론 두께이고, 폴리실리콘의 상부 코팅은 0.2 미크론 두께이다. 도4에 도시된 그래프는 파장에 따라 흡수가 어떻게 변하는 지를 도시한다. 또한, 그래프는 (1) p-편광 상태, (2) s-편광 상태, 및 (3) 비편광 상태에 있는 광 에너지의 세 가지 경우에 대해 45°의 입사각으로 웨이퍼와 접촉하는 광 에너지에 대한 흡수율을 도시하는 세 개의 곡선을 포함한다. 도시된 바와 같이, 비편광 상태는 s-편광 및 p-편광 상태의 평균이 된다.
도4에 도시된 바와 같이, 흡광도의 변동량은 p-편광 상태에서 웨이퍼를 광 에너지와 접촉시킴으로써 감소된다. 또한, 임의의 주어진 파장에서, 흡수율은 p- 편광된 광에 대해 더 크고, 이는 더 양호하고 더 효율적인 전력 결합을 표시한다.
도5는 도4에 도시된 결과를 생성하도록 사용된 동일한 구조물의 흡광도를 도시한다. 그러나, 도5에 도시된 그래프에서, 모든 곡선은 p-편광 상태에서의 광 에너지를 나타낸다. 이러한 그래프에서, 입사각은 수직(0°), 45°, 및 60° 사이에서 변한다.
도시된 바와 같이, 흡광도의 변동은 입사각이 0°로부터 60°로 상승함에 따라 감소된다. 또한, 증가된 흡수율은 입사각에 따른 상이한 파장에서 볼 수 있다.
본 발명에 따르면, 도4 및 도5는 (1) 복수의 파장의 광이 사용되면 (2) 광이 p-편광 상태로 구성되면 (3) 입사각이 0°보다 더 크면, 다양한 이점이 실현될 수 있다는 것을 보여준다. 또한, 도5는 흡수를 더욱 최적화하기 위해 하나 이상의 입사각으로 램프를 배열하는 잠재적인 이점을 또한 도시한다.
도6 내지 도9를 참조하면, 이제 본 발명의 다양한 적용이 상세하게 논의될 것이다. 도6 및 도7은 특히 반도체 웨이퍼를 가열하기 위한 레이저의 사용에 대한 것이고, 도8 및 도9는 본 발명을 엇결성 광원에 적용하는 것에 관한 것이다.
도6을 참조하면, 레이저(40)는 레이저 비임(60)을 입사각(θ)으로 웨이퍼(14) 상에 방출하는 것으로 도시되어 있다. 통상, 레이저는 비교적 좁은 파장 범위에 걸쳐 광을 방출한다. 레이저는 매우 높은 강도로 광을 제공하는 매우 효과적인 가열 장치일 수 있다. 그러나, 레이저 복사의 매우 단색적인 특성 때문에, 실제로 웨이퍼에 의한 전력 흡수의 매우 작은 분광학적 평균이 있고, 이는 레이저 가열을 반도체 웨이퍼에 대한 단일 가열 사이클 중에 그리고 상이한 웨이퍼들 의 처리 중에 전력 흡수 변동에 특히 민감하게 만든다.
도6에 도시된 바와 같이, 흡수 변동은 레이저 비임(60)의 입사각을 변화시킴으로써 최소화될 수 있다. 위에서 설명한 바와 같이, 대부분의 표면의 반사율은 입사각의 함수이다. 따라서, 웨이퍼와 접촉하는 레이저광의 입사각을 변화시키는 것은 흡수를 증가시킬 수 있다.
대부분의 적용에 대해, 반도체 웨이퍼를 처리할 때, 흡수의 최적화는 입사각이 약 40° 내지 약 85°, 특히 약 60° 내지 약 85°와 같이 10°보다 더 클 때 발생한다. 특히, 실리콘의 반사율은 임계각, 즉 부루스터각(Brewster angle) 근방에서 매우 작다. 실리콘에 대해 부르스터각은 대략 75°이다.
레이저를 사용할 때의 특별한 장점에는, 복사가 매우 방향성일 뿐만 아니라 많은 유형의 레이저광이 자연적으로 평면 편광되는 것이다. 결과적으로, 입사각을 조정할 때, 레이저 비임은 웨이퍼 표면에 대한 p-편광 평면 내에 위치될 수도 있다. 위에서 도4 및 도5에 도시된 바와 같이, 웨이퍼에 결합되는 광의 최적화는 광을 p-편광 상태로 둘 때 일어날 수 있다.
그러나, 광을 p-편광 상태로 두는 것 이외에 다른 실시예에서 광을 다른 구성으로 두는 것이 양호할 수 있다는 것을 이해해야 한다. 예를 들어, 다른 실시예에서, 광은 p-편광 상태가 아니라 p-편광 상태에 가까울 수 있다. 다른 실시예에서, 타원형으로 편광된 광이 웨이퍼를 향해 유도될 수 있다. 타원형으로 편광된 광은 공간 내 임의의 지점에서의 전기장 벡터가 전파 방향에 대해 직교하는 평면 내에서 타원형을 이루는 전자기파의 편광을 말한다.
임의의 적용에서 사용되는 특정 광 구성은 다양한 인자에 의존할 것이다. 예를 들어, 가열되는 표면의 지형이 웨이퍼와 접촉하는 광이 어떻게 구성되어야 하는 지를 결정하는 데 있어서 역할을 한다. 예를 들어 표면의 홈, 모서리 및 다른 매끄럽지 않은 특징부가 웨이퍼의 흡수 특성에 대해 영향을 줄 수 있다. 3차원 표면을 갖는 기판을 다룰 때, 일 실시예에서, 표면 불균일부를 가장 잘 고려하는 평평 표면이 정의될 수 있다. 평평 표면이 정의되면, 표면과 접촉하는 광의 편광, 입사각, 및 파장이 선택될 수 있다.
레이저 비임(60)이 p-편광 평면 내에서 또는 어떠한 다른 바람직한 구성으로 웨이퍼(14)에 부딪히는 것을 보장하도록 레이저 장치를 배향시키기 위해 다양한 방법이 사용될 수 있다. 예를 들어, 레이저 비임을 p-편광 평면 내로 조정하기 위해, 레이저는 회전될 수 있거나 또는 레이저 비임은 거울 및/또는 광학 장치를 사용하여 조작될 수 있다. 일 실시예에서, 예를 들어 반파판이 레이저 비임을 p-편광 평면과 같은 정의된 평면 내로 위치시키도록 사용될 수 있다.
입사각을 조정하여 레이저 비임을 p-편광 평면 내에 위치시키는 것에 대한 대안으로서 또는 그에 부가하여, 상이한 파장의 광을 각각 방출하는 여러 상이한 유형의 레이저가 열 처리 챔버 내에서 사용될 수 있다. 예를 들어, 도7의 (a)에 도시된 바와 같이 제1 레이저(40) 및 제2 레이저(140)가 상이한 파장의 광을 웨이퍼(14) 상으로 방출할 수 있다.
따라서, 특수한 기판이 하나의 레이저가 작동하는 파장에서 매우 반사적이면, 상이한 파장으로 작동하는 제2 레이저가 웨이퍼를 가열하도록 사용될 수 있다. 상이한 레이저들로부터의 복사는 웨이퍼와 접촉하기 전에 광학적으로 조합될 수 있다. 또는, 상이한 레이저들로부터의 여러 광의 비임은 웨이퍼의 선택된 영역을 조사할 수 있다. 또 다른 실시예에서, 여러 광의 비임은 웨이퍼가 회전되는 동안 동일한 웨이퍼 반경을 조사할 수 있다. 레이저는 웨이퍼의 전방 및/또는 후방을 조사하도록 배열될 수 있다. 또한 일 실시예에서, 웨이퍼의 전방은 특수한 파장 또는 파장 범위로 가열될 수 있고, 웨이퍼의 후방은 상이한 파장 또는 파장 범위의 광에 의해 가열될 수 있다.
본 발명의 일 실시예에서, 조정 가능한 레이저가 웨이퍼를 가열하기 위해 사용될 수 있다. 예를 들어, 특수한 가열 사이클 중에 또는 가열 사이클들 사이에 파장을 조정하기 위한 조정 가능한 파장 설정을 갖는 레이저가 사용될 수 있다. 파장을 조정하는 것 이외에, 본 발명에서 사용되는 레이저는 처리 중에 입사각을 조정하기 위해 이동 가능할 수도 있다. 이러한 방식으로, 광원과 웨이퍼 사이의 최적 전력 결합은 가열되는 웨이퍼 표면의 특징 및 특성이 변함에 따라 일어날 수 있다.
상이한 파장으로 작동하는 레이저를 사용하는 것 이외에, 도7의 (a)에 도시된 바와 같이, 각각의 레이저(40, 140)의 입사각들은 레이저들 중 적어도 하나가 가열 방법 중에 높은 흡수 정도를 갖는 것을 보장하도록 상이할 수 있다.
복수의 입사각은 도7의 (a)에 도시된 바와 같이 복수의 레이저를 사용하여 생성될 수 있거나 도7의 (b)에 도시된 바와 같이 단일 레이저를 사용하여 실시될 수 있다. 도7의 (b)에 도시된 바와 같이, 레이저(40)는 비임 분할 장치(70)에 의 해 두 개의 비임(62, 64)으로 나누어지는 레이저 비임(60)을 방출한다. 이러한 실시예에서, 거울(72)은 레이저 비임(64)을 레이저 비임(62)의 입사각과 다른 입사각으로 웨이퍼(14) 상으로 유도하도록 사용된다. 그러나, 거울(72) 이외에, 레이저 비임은 예를 들어 광섬유, 렌즈, 광 파이프 등을 사용하여 웨이퍼 상으로 유도될 수 있다는 것을 이해해야 한다.
통상, 연속파 레이저 및 펄스식 레이저를 모두를 포함하는 임의의 적합한 유형의 레이저가 본 발명에서 사용될 수 있다. 일 실시예에서 레이저 다이오드가 사용된다. 레이저 다이오드는 전기를 레이저 복사로 효율적으로 변환시키며 고전력 정격(high power rating)에서 이용될 수 있다. 예를 들어, 10 와트보다 더 큰 연속 전력을 송출하는 고전력 장치는 방출 파장이 400 내지 4000 nm 사이로서 현재 상업적으로 이용할 수 있다. 위에서 설명한 레이저는 방출된 비임을 재형성하는 비임 송출 광학 장치와 조합될 수 있다. 예를 들어, 레이저는 광을 웨이퍼의 특정 위치로 안내하기 위한 광섬유와 결합될 수 있다.
본 발명의 하나의 특정 실시예에서, 펄스식 레이저의 사용이 다양한 장점을 제공할 수 있다는 것이 발견되었다. 펄스식 레이저는 고품질의 에너지를 간헐적으로 생성한다. 그러한 레이저는 어닐링 방법, 특히 이온 착상 손상 어닐링 방법에서 사용하기에 특히 적합할 수 있다. 펄스식 레이저가 우수한 품질을 갖는 필름을 제작하기 위한 제어된 손상 어닐링을 제공할 수 있다.
위에서 설명한 바와 같이, 레이저는 고도로 방향성인 광을 방출한다. 레이저를 사용하여 반도체 웨이퍼의 전체 표면을 가열하기 위해, 다양한 기술이 사용될 수 있다. 예를 들어, 일 실시예에서, 복수의 레이저가 열 처리 챔버 내에서 웨이퍼의 상이한 영역들과 접촉하도록 위치될 수 있다. 필요하다면, 레이저에 의해 방출된 레이저 비임은 비임을 형성하는 것이 본원에서 설명되는 최적화 기술을 방해하지 않는 한, 광학 장치를 사용하여 형성될 수도 있다. 또는, 레이저 비임은 웨이퍼의 전체 표면에 걸쳐 또는 선택된 영역에 걸쳐 주사될 수 있다.
레이저 비임을 구성하는 것 이외에, 본 발명은 텅스텐 할로겐 램프 또는 아크 램프와 같은 엇결성 광원에 의해 방출되는 광선에 대해 적용될 수도 있다. 광원은 연속광 또는 펄스광을 방출할 수 있다. 엇결성 광원은 전형적으로 레이저보다 더 광범위한 스펙트럼에 걸쳐 광 에너지를 방출한다. 결과적으로, 더 큰 범위의 파장 및 더 넓은 범위의 입사각으로 인해 엇결성 광원을 사용할 때 전력 결합의 변동이 덜 일어난다. 그럼에도 불구하고, 본 발명은 엇결성 광원과 가열되는 웨이퍼 사이의 전력 결합을 더욱 개선하도록 사용될 수 있다.
예를 들어, 일 실시예에서, 엇결성 광원에 의해 방출되는 광은 본 발명에 따라 0°보다 더 큰, 특히 10°보다 더 큰 입사각으로 웨이퍼에 부딪히도록 시준되고 편광되고 구성될 수 있다.
예를 들어, 도8을 참조하면, 엇결성 광원(40)은 광 에너지를 웨이퍼(14) 상으로 방출하는 것으로 도시되어 있다. 엇결성 광원(40)은 예를 들어 아크 램프, 텅스텐 할로겐 램프 등일 수 있다. 도면에 도시된 바와 같이, 램프(40)로부터 방출된 광은 대부분의 광이 특정 입사각으로 웨이퍼(14)에 부딪히도록 반사기(80)를 사용하여 시준될 수 있다.
반사기(80)는 광원(40)을 둘러싸며 다양한 형상을 가질 수 있다. 그러나, 통상 도시된 포물선 형상이 시준된 출력 비임을 생성할 것이다.
반사기를 사용하는 것 이외에, 다양한 다른 장치가 램프(40)에 의해 방출된 광을 시준하도록 사용될 수 있다. 예를 들어, 다른 실시예에서, 광학 렌즈가 광을 웨이퍼 상으로 더 잘 유도하도록 사용될 수 있다. 예를 들어, 수렴 렌즈, 원통형 렌즈, 또는 구역판이 광을 시준하기 위해 단독으로 또는 반사기와 조합하여 사용될 수 있다.
램프(40)에 의해 방출되는 광을 시준하는 것 이외에, 도8에 도시된 바와 같이, 본 발명의 시스템은 편광 장치(82)를 더 포함할 수 있다. 편광 장치(82)는 편광 장치를 빠져나가는 광이 p-편광 상태에 있도록 선택된다. 이러한 구성의 결과로서, 램프(40)에 의해 방출되는 광 에너지는 웨이퍼에 의한 흡수를 최적화하기 위해 원하는 입사각으로 p-편광 상태에서 웨이퍼(14)에 부딪힌다.
광을 p-편광 상태로 두는 것 이외에, 편광 장치(82)는 광을 대략적인 p-편광 상태로 두도록 사용될 수 있거나 또는 필요하다면 타원형으로 편광된 광을 생성하도록 사용될 수 있다.
그러나, 도8에 도시된 바와 같은 많은 편광 장치(82)는 웨이퍼에 도달하는 광 에너지의 양을 현저하게 감소시킬 수 있다. 예를 들어, 몇몇 편광 장치는 램프(40)에 의해 방출된 에너지의 약 50%까지를 제거한다. 램프(40)로부터 방출된 광을 더욱 효율적으로 편광시키기 위해, 다른 실시예에서, 편광 비임 분할 장치(84)가 도9에 도시된 바와 같이 사용될 수 있다. 편광 비임 분할 장치(84)는 램프(40)에 의해 방출되어 시준된 광을 받아서 광을 두 개의 비임(90, 92)으로 분할한다. 편광 비임 분할 장치(84)는 비임(90)이 p-편광 상태에 있도록 구성된다. 도시된 바와 같이, 비임(90)은 제1 입사각으로 웨이퍼(14)와 접촉한다.
다른 한편으로, 비임(92)은 거울, 광학 장치, 반파판, 또는 다른 적합한 광학 장치(86)를 사용하여 다시 재유도된다. 특히, 광선(92)은 회전, 배향, 또는 조작되어, 광선(94)과 같이 p-편광 상태로 또는 몇몇 다른 원하는 구성에서는 제2 입사각으로 웨이퍼(14) 상으로 재유도된다. 비임(90, 94)의 입사각은 동일하거나 상이할 수 있다.
다양하고 상이한 편광 장치가 본 발명에서 사용될 수 있다. 편광 비임 분할 장치(84)는 예를 들어 와이어 그리드 편광기, 유전성 필름으로 코팅된 장치와 같은 박막으로 코팅된 장치, 입방형 비임 분할기, 또는 임의의 다른 적합한 장치일 수 있다.
다시 도1을 참조하면, 위에서 설명한 바와 같이, 본 발명의 시스템은 제어기(50)를 포함할 수 있다. 제어기(50)는 웨이퍼의 온도를 모니터링하여 웨이퍼 상으로 방출되는 광 에너지의 양을 제어하도록 사용될 수 있다. 본 발명의 일 실시예에서, 제어기(50)는 하나 이상의 센서(98)와 연통하도록 위치될 수 있다. 센서(98)는 웨이퍼로부터 반사되는 복사량을 검출하도록 사용될 수 있다. 특히, 센서는 웨이퍼로 송출되는 입력 전력을 조정하기 위해 반사되는 전력량을 추정하는 것을 도울 수 있다. 센서(98)는 결합의 간단한 추정이 반사되는 광 강도로부터 얻어질 수 있는 레이저 조사를 사용하는 시스템 내에 특히 적용될 수 있을 것이다. 이러한 추정은 저전력 비임을 사용하여 처리 이전에 또는 실제 비임으로부터 처리 중에 얻어질 수 있다.
웨이퍼로 송출되는 전력량을 변화시키는 것 이외에, 센서로부터 수집된 정보가 웨이퍼와 접촉하는 광의 파장을 변화시키고 그리고/또는 웨이퍼와 접촉하는 광의 입사각을 변화시키도록 사용될 수도 있다.
센서(98)는 반사된 광을 검출할 수 있는 임의의 적합한 장치일 수 있다. 예를 들어, 센서(98)는 광 검출기 또는 열 검출기일 수 있다.
본 발명의 또 다른 실시예에서, 시스템은 열 처리 챔버 내에 위치된 반사기를 포함할 수 있다. 반사기는 웨이퍼로부터 반사된 광 에너지를 다시 웨이퍼 상으로 반사시키도록 사용될 수 있다. 또한, 그러한 반사기는 레이저 비임을 사용하는 시스템 내에서 사용하기에 특히 적합할 것이다. 사용될 수 있는 반사기의 예는 웨이퍼로부터 반사된 광을 동일한 입사각으로 다시 웨이퍼 상으로 보내는 코너 입방형 역반사기이다. 광을 다시 웨이퍼 상으로 반사시킴으로써, 더 많은 광 에너지가 가열 중에 웨이퍼에 의해 흡수될 것이다.
본 발명의 또 다른 실시예에서, 본 발명의 기술 및 장점은 웨이퍼(14)뿐만 아니라 도1에 도시된 바와 같이 슬립이 없는 링(99)을 가열하도록 사용될 수 있다. 슬립이 없는 링은 웨이퍼의 모서리를 완전히 포위하거나 적어도 실질적으로 둘러싸서 처리 중에 웨이퍼의 모서리를 가열하기 위한 더 많은 에너지를 제공하는 장치를 말한다. 슬립이 없는 링은 통상 웨이퍼의 모서리에서 일어나는 열 손실을 보상하도록 사용된다.
본 발명에 따르면, 슬립이 없는 링(99)은 도1에 도시된 바와 같이 열 결합을 최적화하는 방식으로 광 장치(40)에 의해 가열될 수 있다. 예를 들어, 광 장치(40)는 슬립이 없는 링(99)에 의해 흡수되는 열 에너지의 양을 최적화하기 위해 광을 특정 입사각으로 또는 특정 편광 상태로 방출할 수 있다. 슬립이 없는 링을 가열하는 광의 특정 입사각, 광 파장, 및 편광 상태는 슬립이 없는 링이 만들어진 재료에 의존할 것이다. 예를 들어, 슬립이 없는 링은 실리콘, 탄화규소, 그래파이트, 탄화규소로 코팅된 그래파이트, 석영, 및 다양한 다른 재료를 포함하는 다양한 재료로부터 만들어질 수 있다.
본 발명에 따르면, 슬립이 없는 링(99)은 광 흡수를 최적화하기 위해 다양한 재료로 코팅될 수 있다. 예를 들어, 슬립이 없는 링은 반사 방지 코팅으로 코팅될 수 있다. 예를 들어, 일 실시예에서, 실리콘 링은 이산화규소 또는 질화규소로 코팅될 수 있다.
본 발명의 이러한 그리고 다른 변형 및 변경은 첨부된 청구범위에서 더욱 구체적으로 설명되는 본 발명의 취지 및 범주를 벗어나지 않고서 당업자에 의해 실시될 수 있다. 또한, 다양한 실시예의 태양들은 전체적으로 또는 부분적으로 호환될 수 있다는 것을 이해해야 한다. 또한, 당업자는 이상의 설명이 단지 예시적인 것이며 첨부된 청구범위에서 설명되는 본 발명을 제한하려는 것이 아니라는 것을 이해할 것이다.

Claims (52)

  1. 반도체 기판을 가열하기 위한 방법이며,
    반도체 기판을 처리 챔버 내에 위치시키는 단계와,
    상기 반도체 기판을 가열하기 위해 상기 반도체 기판 상으로 광 에너지를 유도하는 단계를 포함하고,
    상기 광 에너지는 0°보다 더 큰 입사각으로 상기 반도체 기판과 접촉하고, p-편광 평면 내에서 또는 상기 p-편광 평면 근방에서 상기 반도체 기판과 접촉하는 반도체 기판 가열 방법.
  2. 제1항에 있어서, 상기 광 에너지는 엇결성 광원에 의해 방출되는 반도체 기판 가열 방법.
  3. 제1항에 있어서, 상기 광 에너지는 10°보다 더 큰 입사각으로 상기 반도체 기판과 접촉하는 반도체 기판 가열 방법.
  4. 제1항에 있어서, 상기 광 에너지는 편광되어 제1 p-편광된 광 에너지 비임 및 제2 p-편광된 광 에너지 비임을 생성하고, 상기 제1 및 제2 p-편광된 광 에너지 비임은 상기 반도체 기판 상으로 유도되는 반도체 기판 가열 방법.
  5. 제1항에 있어서, 상기 광 에너지를 편광시키기 전에 광 에너지를 시준하는 단계를 더 포함하는 반도체 기판 가열 방법.
  6. 제5항에 있어서, 상기 광 에너지는 반사 장치를 사용하여 시준되는 반도체 기판 가열 방법.
  7. 제5항에 있어서, 상기 광 에너지는 광학 렌즈를 사용하여 시준되는 반도체 기판 가열 방법.
  8. 제1항에 있어서, 상기 광 에너지는 와이어 그리드 편광 장치를 사용하여 편광되는 반도체 기판 가열 방법.
  9. 제1항에 있어서, 상기 반도체 기판은 다른 에너지원과 공동으로 상기 광 에너지에 의해 가열되는 반도체 기판 가열 방법.
  10. 제9항에 있어서, 상기 다른 에너지원은 광 에너지원을 포함하는 반도체 기판 가열 방법.
  11. 제1항에 있어서, 상기 광 에너지는 아크 램프 또는 텅스텐 할로겐 램프에 의해 방출되는 반도체 기판 가열 방법.
  12. 제1항에 있어서, 상기 광 에너지는 40° 내지 85°의 입사각으로 상기 반도체 기판과 접촉하는 반도체 기판 가열 방법.
  13. 제1항에 있어서, 상기 반도체 기판에서 반사된 상기 광 에너지의 양을 감지하는 단계와, 이러한 정보를 기초로, 상기 반도체 기판에 의해 흡수되는 광 에너지의 양을 변화시키기 위해 상기 광 에너지의 구성을 변화시키는 단계를 더 포함하는 반도체 기판 가열 방법.
  14. 제1항에 있어서, 상기 반도체 기판은 전기 저항 가열기와 공동으로 상기 광 에너지에 의해 가열되는 반도체 기판 가열 방법.
  15. 제1항에 있어서, 상기 반도체 기판에서 반사된 상기 광 에너지의 일부를 상기 반도체 기판 상으로 재유도하는 단계를 더 포함하는 반도체 기판 가열 방법.
  16. 반도체 기판을 가열하기 위한 방법이며,
    반도체 기판을 처리 챔버 내에 위치시키는 단계와,
    적어도 제1 레이저 및 제2 레이저로부터 상기 반도체 기판 상으로 레이저 비임들을 유도하는 단계를 포함하고,
    상기 제1 레이저는 제1 파장 범위에서 광을 방출하고, 상기 제2 레이저는 제2 파장 범위에서 광을 방출하고, 상기 제1 파장 범위는 상기 제2 파장 범위와 다른 반도체 기판 가열 방법.
  17. 제16항에 있어서, 상기 제1 파장 범위와 상기 제2 파장 범위는 중첩되지 않는 반도체 기판 가열 방법.
  18. 제16항에 있어서, 상기 레이저 비임들은 p-편광 상태에 있는 반도체 기판 가열 방법.
  19. 제16항에 있어서, 상기 제1 레이저는 제1 레이저 비임을 방출하고, 상기 제2 레이저는 제2 레이저 비임을 방출하고, 상기 제1 및 제2 레이저 비임은 10°보다 더 큰 입사각으로 상기 반도체 기판과 접촉하는 반도체 기판 가열 방법.
  20. 제19항에 있어서, 상기 제1 및 제2 레이저 비임은 40° 내지 85°의 입사각으로 상기 반도체 기판과 접촉하는 반도체 기판 가열 방법.
  21. 제19항에 있어서, 상기 제1 레이저 비임은 제1 입사각으로 상기 반도체 기판과 접촉하고, 상기 제2 레이저 비임은 제2 입사각으로 상기 반도체 기판과 접촉하고, 상기 제1 입사각과 상기 제2 입사각은 상이한 반도체 기판 가열 방법.
  22. 제16항에 있어서, 상기 반도체 기판은 상기 레이저 비임 외에도 다른 에너지원에 의해 가열되는 반도체 기판 가열 방법.
  23. 제16항에 있어서, 상기 레이저 비임들 중 적어도 몇몇은 펄스식 레이저 비임인 반도체 기판 가열 방법.
  24. 제16항에 있어서, 상기 반도체 기판은 상기 레이저 비임 외에도 전기 저항 가열기에 의해 가열되는 반도체 기판 가열 방법.
  25. 제16항에 있어서, 상기 반도체 기판에서 반사된 상기 레이저 비임의 일부를 상기 반도체 기판 상으로 다시 재유도하는 단계를 더 포함하는 반도체 기판 가열 방법.
  26. 제16항에 있어서, 반도체 기판에서 반사된 레이저들 중 하나로부터의 광 에너지의 양을 감지하는 단계와, 이러한 정보를 기초로, 반도체 기판에 의해 흡수되는 광 에너지의 양을 변화시키기 위해 레이저들 중 적어도 하나의 구성을 변화시키는 단계를 더 포함하는 반도체 기판 가열 방법.
  27. 반도체 기판을 가열하기 위한 방법이며,
    반도체 기판을 처리 챔버 내에 위치시키는 단계와,
    상기 반도체 기판 상으로 펄스식 레이저 비임을 유도하는 단계와,
    상기 펄스식 레이저 비임을 적어도 10°의 입사각으로 상기 기판에 부딪히도록 구성하는 단계와,
    상기 펄스식 레이저 비임이 p-편광 평면 내에서 상기 기판에 부딪히도록 하기 위해 상기 펄스식 레이저 비임을 상기 기판에 부딪히도록 구성하는 단계를 포함하는 반도체 기판 가열 방법.
  28. 제27항에 있어서, 상기 펄스식 레이저 비임은 이온 주입 어닐 방법을 수행하기 위해 상기 반도체 기판에 부딪히는 반도체 기판 가열 방법.
  29. 제27항에 있어서, 상기 펄스식 레이저 비임은 40° 내지 85°의 입사각으로 상기 기판에 부딪히는 반도체 기판 가열 방법.
  30. 제27항에 있어서, 상기 반도체 기판은 상기 펄스식 레이저 비임 외에도 다른 에너지원에 의해 가열되는 반도체 기판 가열 방법.
  31. 제27항에 있어서, 상기 반도체 기판은 상기 펄스식 레이저 비임 외에도 적어도 하나의 다른 레이저 비임에 의해 접촉되고, 상기 다른 레이저 비임은 펄스식 레이저 비임이 상기 반도체 기판과 접촉하는 입사각과 다른 입사각으로 상기 반도체 기판과 접촉하는 반도체 기판 가열 방법.
  32. 제31항에 있어서, 상기 다른 레이저 비임도 펄스식 레이저 비임인 반도체 기판 가열 방법.
  33. 제27항에 있어서, 상기 반도체 기판은 상기 펄스식 레이저 비임 외에도 적어도 하나의 다른 레이저 비임에 의해 접촉되고, 상기 다른 레이저 비임은 상기 펄스식 레이저 비임의 파장 범위와 다른 파장 범위를 갖는 반도체 기판 가열 방법.
  34. 제27항에 있어서, 상기 반도체 기판에서 반사된 상기 펄스식 레이저 비임의 일부를 상기 반도체 기판 상으로 재유도하는 단계를 더 포함하는 반도체 기판 가열 방법.
  35. 제27항에 있어서, 상기 반도체 기판은 상기 레이저 비임 외에도 전기 저항 가열기에 의해 가열되는 반도체 기판 가열 방법.
  36. 제27항에 있어서, 반도체 기판에서 반사된 펄스식 레이저 비임의 양을 감지하는 단계와, 이러한 정보를 기초로, 반도체 기판에 의해 흡수되는 광 에너지의 양을 변화시키기 위해 펄스식 레이저 비임의 구성을 변화시키는 단계를 더 포함하는 반도체 기판 가열 방법.
  37. 반도체 기판을 가열하기 위한 방법이며,
    반도체 기판을 처리 챔버 내에 위치시키는 단계와,
    상기 반도체 기판을 가열하기 위해 상기 반도체 기판 상으로 적어도 제1 레이저 비임 및 제2 레이저 비임을 유도하는 단계를 포함하고,
    상기 제1 레이저 비임은 제1 입사각으로 상기 반도체 기판과 접촉하고, 상기 제2 레이저 비임은 제2 입사각으로 상기 반도체 기판과 접촉하고, 상기 제1 입사각은 상기 제2 입사각과 다르고, 상기 제1 및 제2 레이저 비임 각각은 p-편광된 상태로 상기 반도체 기판과 접촉하는 반도체 기판 가열 방법.
  38. 제37항에 있어서, 상기 제1 레이저 비임 및 상기 제2 레이저 비임은 동일한 레이저로부터 방출되는 반도체 기판 가열 방법.
  39. 제37항에 있어서, 상기 제1 레이저 비임은 제1 레이저로부터 방출되고, 상기 제2 레이저 비임은 제2 레이저로부터 방출되는 반도체 기판 가열 방법.
  40. 제37항에 있어서, 적어도 상기 제1 레이저 비임은 펄스식 레이저 비임을 포함하는 반도체 기판 가열 방법.
  41. 제37항에 있어서, 상기 제1 입사각 및 상기 제2 입사각은 10°보다 더 큰 반도체 기판 가열 방법.
  42. 제37항에 있어서, 상기 제1 입사각 및 상기 제2 입사각은 40° 내지 85°인 반도체 기판 가열 방법.
  43. 제37항에 있어서, 상기 제1 레이저 비임은 제1 파장 범위를 갖고, 상기 제2 레이저 비임은 제2 파장 범위를 갖고, 상기 제1 파장 범위는 상기 제2 파장 범위와 다른 반도체 기판 가열 방법.
  44. 제37항에 있어서, 상기 반도체 기판은 상기 제1 레이저 비임 및 상기 제2 레이저 비임 외에도 다른 에너지원에 의해 가열되는 반도체 기판 가열 방법.
  45. 제37항에 있어서, 상기 반도체 기판에서 반사된 상기 레이저 비임의 양을 감지하는 단계와, 이러한 정보를 기초로, 상기 반도체 기판에 의해 흡수되는 광 에너지의 양을 변화시키기 위해 적어도 하나의 레이저의 구성을 변화시키는 단계를 더 포함하는 반도체 기판 가열 방법.
  46. 제37항에 있어서, 반도체 기판에서 반사된 레이저들 중 하나로부터의 광 에너지의 양을 감지하는 단계와, 이러한 정보를 기초로, 반도체 기판에 의해 흡수되는 광 에너지의 양을 변화시키기 위해 레이저들 중 적어도 하나의 구성을 변화시키는 단계를 더 포함하는 반도체 기판 가열 방법.
  47. 반도체 기판을 가열하기 위한 방법이며,
    슬립이 없는 링에 의해 적어도 실질적으로 둘러싸인 반도체 기판을 처리 챔버 내에 위치시키는 단계와,
    상기 반도체 기판을 가열하기 위해 상기 슬립이 없는 링 상으로 광 에너지를 유도하는 단계를 포함하고,
    상기 광 에너지는 0°보다 더 큰 입사각으로 상기 슬립이 없는 링과 접촉하고, 또한 상기 광 에너지는 p-편광 상태로, 타원형 편광 상태로, 또는 p-편광 상태에 가깝게 상기 슬립이 없는 링과 접촉하는 반도체 기판 가열 방법.
  48. 제47항에 있어서, 상기 반도체 기판은 전기 저항 가열기에 의해서도 가열되는 반도체 기판 가열 방법.
  49. 제47항에 있어서, 상기 슬립이 없는 링은 적어도 하나의 레이저에 의해 가열되는 반도체 기판 가열 방법.
  50. 제47항에 있어서, 상기 광 에너지는 상기 반도체 기판상으로도 유도되어 반도체 기판을 가열하는 반도체 기판 가열 방법.
  51. 제47항에 있어서, 상기 슬립이 없는 링은 반사 방지 코팅으로 코팅되는 반도체 기판 가열 방법.
  52. 반도체 기판을 가열하기 위한 방법이며,
    반도체 기판을 처리 챔버 내에 위치시키는 단계와,
    상기 반도체 기판을 상기 처리 챔버 내에서 회전시키는 단계와,
    상기 반도체 기판을 가열하기 위해 상기 반도체 기판 상으로 광 에너지를 유도하는 단계를 포함하고,
    상기 광 에너지는 0°보다 더 큰 입사각으로 상기 반도체 기판과 접촉하고, 또한 상기 광 에너지는 p-편광 상태로, 타원형 편광 상태로, 또는 p-편광 상태에 가깝게 상기 반도체 기판과 접촉하고, 상기 광 에너지는 상기 반도체 기판의 반경 상의 위치에서 상기 반도체 기판과 접촉하고,
    상기 반도체 기판의 반경의 전체는 웨이퍼의 회전을 통해 가열되는 반도체 기판 가열 방법.
KR1020047006833A 2001-11-07 2002-11-05 전자기 에너지의 흡수를 최적화함으로써 반도체 웨이퍼를가열하기 위한 시스템 및 방법 KR100917501B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/040,272 2001-11-07
US10/040,272 US7015422B2 (en) 2000-12-21 2001-11-07 System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy

Publications (2)

Publication Number Publication Date
KR20050043755A KR20050043755A (ko) 2005-05-11
KR100917501B1 true KR100917501B1 (ko) 2009-09-16

Family

ID=21910085

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047006833A KR100917501B1 (ko) 2001-11-07 2002-11-05 전자기 에너지의 흡수를 최적화함으로써 반도체 웨이퍼를가열하기 위한 시스템 및 방법

Country Status (6)

Country Link
US (5) US7015422B2 (ko)
JP (1) JP4450624B2 (ko)
KR (1) KR100917501B1 (ko)
CN (2) CN100415933C (ko)
DE (1) DE10297368T5 (ko)
WO (1) WO2003040636A1 (ko)

Families Citing this family (387)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6970644B2 (en) * 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US7118780B2 (en) * 2001-03-16 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Heat treatment method
US6902622B2 (en) 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
TW552645B (en) * 2001-08-03 2003-09-11 Semiconductor Energy Lab Laser irradiating device, laser irradiating method and manufacturing method of semiconductor device
JP4397571B2 (ja) 2001-09-25 2010-01-13 株式会社半導体エネルギー研究所 レーザ照射方法およびレーザ照射装置、並びに半導体装置の作製方法
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7223660B2 (en) * 2002-07-31 2007-05-29 Intel Corporation Flash assisted annealing
US7101812B2 (en) 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US7259082B2 (en) * 2002-10-03 2007-08-21 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7405114B2 (en) * 2002-10-16 2008-07-29 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus and method of manufacturing semiconductor device
US6835914B2 (en) 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
US7154066B2 (en) * 2002-11-06 2006-12-26 Ultratech, Inc. Laser scanning apparatus and methods for thermal processing
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US20050189329A1 (en) * 2003-09-02 2005-09-01 Somit Talwar Laser thermal processing with laser diode radiation
US7148159B2 (en) * 2003-09-29 2006-12-12 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US7098155B2 (en) * 2003-09-29 2006-08-29 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
KR101254107B1 (ko) * 2003-10-03 2013-04-12 어플라이드 머티어리얼스, 인코포레이티드 다이나믹 표면 어닐링 프로세싱을 위한 흡수층
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
TWI297521B (en) * 2004-01-22 2008-06-01 Ultratech Inc Laser thermal annealing of lightly doped silicon substrates
JP2005243667A (ja) * 2004-02-24 2005-09-08 National Institute Of Advanced Industrial & Technology 熱処理装置
US7491909B2 (en) * 2004-03-31 2009-02-17 Imra America, Inc. Pulsed laser processing with controlled thermal and physical alterations
US7486705B2 (en) * 2004-03-31 2009-02-03 Imra America, Inc. Femtosecond laser processing system with process parameters, controls and feedback
US7885311B2 (en) * 2007-03-27 2011-02-08 Imra America, Inc. Beam stabilized fiber laser
FR2878185B1 (fr) * 2004-11-22 2008-11-07 Sidel Sas Procede de fabrication de recipients comprenant une etape de chauffe au moyen d'un faisceau de rayonnement electromagnetique coherent
US10857722B2 (en) * 2004-12-03 2020-12-08 Pressco Ip Llc Method and system for laser-based, wavelength specific infrared irradiation treatment
US7425296B2 (en) * 2004-12-03 2008-09-16 Pressco Technology Inc. Method and system for wavelength specific thermal irradiation and treatment
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US7279721B2 (en) 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US7176405B2 (en) * 2005-04-22 2007-02-13 Ultratech, Inc. Heat shield for thermal processing
US8152365B2 (en) * 2005-07-05 2012-04-10 Mattson Technology, Inc. Method and system for determining optical properties of semiconductor wafers
JP2007110064A (ja) * 2005-09-14 2007-04-26 Ishikawajima Harima Heavy Ind Co Ltd レーザアニール方法及び装置
US20080173620A1 (en) * 2005-09-26 2008-07-24 Ultratech, Inc. Apparatuses and methods for irradiating a substrate to avoid substrate edge damage
US7494272B2 (en) * 2006-06-27 2009-02-24 Applied Materials, Inc. Dynamic surface annealing using addressable laser array with pyrometry feedback
US7543981B2 (en) 2006-06-29 2009-06-09 Mattson Technology, Inc. Methods for determining wafer temperature
US8513626B2 (en) * 2007-01-12 2013-08-20 Applied Materials, Inc. Method and apparatus for reducing patterning effects on a substrate during radiation-based heating
FR2913210B1 (fr) * 2007-03-02 2009-05-29 Sidel Participations Perfectionnements a la chauffe des matieres plastiques par rayonnement infrarouge
FR2917005B1 (fr) * 2007-06-11 2009-08-28 Sidel Participations Installation de chauffage des corps de preformes pour le soufflage de recipients
US20090114630A1 (en) * 2007-11-05 2009-05-07 Hawryluk Andrew M Minimization of surface reflectivity variations
US7976216B2 (en) 2007-12-20 2011-07-12 Mattson Technology, Inc. Determining the temperature of silicon at high temperatures
US7947599B2 (en) * 2008-01-23 2011-05-24 International Business Machines Corporation Laser annealing for 3-D chip integration
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP2009253242A (ja) * 2008-04-11 2009-10-29 Tokyo Electron Ltd アニール装置
US8048053B2 (en) * 2008-04-14 2011-11-01 The Procter & Gamble Company Tampon having an auxiliary patch
US8319149B2 (en) * 2008-04-16 2012-11-27 Applied Materials, Inc. Radiant anneal throughput optimization and thermal history minimization by interlacing
CN102017101B (zh) * 2008-05-02 2014-06-04 应用材料公司 用于旋转基板的非径向温度控制系统
US7985945B2 (en) * 2008-05-09 2011-07-26 Applied Materials, Inc. Method for reducing stray light in a rapid thermal processing chamber by polarization
TWI384334B (zh) * 2008-05-13 2013-02-01 Macronix Int Co Ltd 烘烤裝置、烘烤的方法及縮小間隙的方法
US8367981B2 (en) * 2008-05-15 2013-02-05 Macronix International Co., Ltd. Baking apparatus, baking method and method of reducing gap width
US8452166B2 (en) 2008-07-01 2013-05-28 Applied Materials, Inc. Apparatus and method for measuring radiation energy during thermal processing
US7943414B2 (en) * 2008-08-01 2011-05-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
CN101990707B (zh) * 2008-09-30 2013-03-06 东京毅力科创株式会社 基板的异常载置状态的检测方法、基板处理方法、计算机可读取的存储介质以及基板处理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010087356A1 (ja) * 2009-01-28 2010-08-05 株式会社アルバック 温度検出装置、加熱装置
JP2010194685A (ja) * 2009-02-26 2010-09-09 Tokyo Electron Ltd 作業台
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9640412B2 (en) * 2009-11-20 2017-05-02 Applied Materials, Inc. Apparatus and method for enhancing the cool down of radiatively heated substrates
US20110177665A1 (en) * 2010-01-21 2011-07-21 Chan-Lon Yang Thermal process
CN102142365A (zh) * 2010-01-28 2011-08-03 联华电子股份有限公司 热处理制作工艺
TWI543264B (zh) * 2010-03-31 2016-07-21 應用材料股份有限公司 雷射光束定位系統
EP2567393B1 (en) * 2010-05-07 2018-02-14 Pressco IP LLC Corner-cube irradiation control
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101193351B1 (ko) * 2011-07-11 2012-10-19 삼성전기주식회사 소성로
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9903596B2 (en) * 2011-07-29 2018-02-27 Bsh Home Appliances Corporation Broiler shield for a residential oven and residential oven incorporating same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
KR101326108B1 (ko) * 2012-03-09 2013-11-06 에이피시스템 주식회사 히터 블럭 및 이를 포함하는 열처리 장치
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
CN104428879B (zh) * 2012-05-30 2018-01-30 应用材料公司 用于快速热处理的设备及方法
SG10201503482QA (en) 2012-06-11 2015-06-29 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US9261406B1 (en) 2012-08-27 2016-02-16 Nlight Photonics Corporation Pyrometer process temperature measurement for high power light sources
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5920188B2 (ja) * 2012-11-26 2016-05-18 信越半導体株式会社 加熱装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140270731A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Thermal management apparatus for solid state light source arrays
CN104078339B (zh) * 2013-03-26 2017-08-29 上海微电子装备有限公司 一种激光退火装置和方法
DE102013105959B4 (de) * 2013-06-07 2019-06-19 Heraeus Noblelight Gmbh Betriebsverfahren und Vorrichtung zur Bestrahlung eines Substrats
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9343307B2 (en) 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
RU2669549C2 (ru) * 2014-01-17 2018-10-11 Конинклейке Филипс Н.В. Нагревательная система, содержащая полупроводниковые источники света
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10053777B2 (en) 2014-03-19 2018-08-21 Applied Materials, Inc. Thermal processing chamber
JP6341279B2 (ja) * 2014-07-03 2018-06-13 新日鐵住金株式会社 レーザ加工装置
US10699922B2 (en) 2014-07-25 2020-06-30 Applied Materials, Inc. Light pipe arrays for thermal chamber applications and thermal processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
DE102014114220A1 (de) * 2014-09-30 2016-03-31 Osram Opto Semiconductors Gmbh Verfahren für das Aufwachsen von Halbleiterschichten und Träger zum Aufwachsen von Halbleiterschichten
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN105810572B (zh) * 2014-12-30 2018-12-25 中国科学院苏州纳米技术与纳米仿生研究所 一种用于离子注入的激光辅助装置及离子注入方法
CN104498677A (zh) * 2015-01-04 2015-04-08 宁波英飞迈材料科技有限公司 一种高通量微区快速热处理设备及其热处理方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9366455B1 (en) 2015-07-14 2016-06-14 Laser Heating Advanced Technologies, Llc System and method for indirectly heating a liquid with a laser beam immersed within the liquid
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
BR112018014882A2 (pt) * 2016-01-22 2018-12-26 Pressco Ip Llc sistema e método para a produção de um padrão de irradiação projetado em um sistema de banda estreita
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
CN106270877B (zh) * 2016-09-28 2019-11-15 深圳市艾贝特电子科技有限公司 基于fpc金手指激光锡焊装置及焊接方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) * 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR101866512B1 (ko) * 2017-04-13 2018-07-04 (주)앤피에스 기판 처리 장치 및 이를 이용한 기판 처리 방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10281335B2 (en) * 2017-05-26 2019-05-07 Applied Materials, Inc. Pulsed radiation sources for transmission pyrometry
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102495317B1 (ko) * 2018-03-15 2023-02-07 삼성전자주식회사 반도체 소자의 제조장치 및 반도체 소자의 제조방법
US10818839B2 (en) 2018-03-15 2020-10-27 Samsung Electronics Co., Ltd. Apparatus for and method of fabricating semiconductor devices
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11177144B2 (en) 2018-06-04 2021-11-16 Applied Materials, Inc. Wafer spot heating with beam width modulation
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7086232B2 (ja) * 2018-06-15 2022-06-17 マトソン テクノロジー インコーポレイテッド 被加工材の露光後ベーク処理のための方法および装置
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE112019004191T5 (de) * 2018-08-22 2021-06-10 Beijing E-town Semiconductor Technology Co., Ltd. Systeme und Verfahren zum thermischen Verarbeiten und zur Temperaturmessung eines Werkstücksbei niedrigen Temperaturen
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11495456B2 (en) * 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202118354A (zh) * 2019-07-17 2021-05-01 美商得昇科技股份有限公司 利用可調式電漿電位的可變模式電漿室
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
DE102019212400B4 (de) * 2019-08-20 2021-05-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung eingetragener Verein Verfahren zur Entfernung von Werkstoffen eines Substrats mittels elektromagnetischer Strahlung
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR102654945B1 (ko) * 2019-09-03 2024-04-03 삼성전자주식회사 웨이퍼 클리닝 장치 및 이를 이용한 웨이퍼 클리닝 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010073143A (ko) * 1998-09-09 2001-07-31 추후제출 반도체 웨이퍼를 가열하기 위한 멀티 램프 콘 리플렉터

Family Cites Families (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US622990A (en) * 1899-04-11 Harold boyd
US2318533A (en) 1940-12-06 1943-05-04 Western Electric Co Apparatus for heating material
US3879257A (en) 1973-04-30 1975-04-22 Scott Paper Co Absorbent unitary laminate-like fibrous webs and method for producing them
US3903342A (en) 1973-04-30 1975-09-02 Scott Paper Co Soft, absorbent, unitary, laminate-like fibrous web with delaminating strength and method for producing it
US4166001A (en) 1974-06-21 1979-08-28 Kimberly-Clark Corporation Multiple layer formation process for creped tissue
US4207467A (en) * 1978-09-05 1980-06-10 Laser Precision Corp. Film measuring apparatus and method
DE2953138A1 (de) 1978-11-28 1980-12-04 Western Electric Co Dual wavelength laser annealing of materials
US4316074A (en) 1978-12-20 1982-02-16 Quantronix Corporation Method and apparatus for laser irradiating semiconductor material
US4225382A (en) 1979-05-24 1980-09-30 The Procter & Gamble Company Method of making ply-separable paper
US4234356A (en) 1979-06-01 1980-11-18 Bell Telephone Laboratories, Incorporated Dual wavelength optical annealing of materials
JPS56142630A (en) 1980-04-09 1981-11-07 Fujitsu Ltd Manufacture of semiconductor device
US4318752A (en) 1980-05-16 1982-03-09 Bell Telephone Laboratories, Incorporated Heterojunction semiconductor laser fabrication utilizing laser radiation
US4344818A (en) 1981-05-04 1982-08-17 Kimberly-Clark Corporation Air/water hybrid former
US4547651A (en) 1981-05-28 1985-10-15 Mitsubishi Denki Kabushiki Kaisha Laser machining apparatus
DE3126953C2 (de) 1981-07-08 1983-07-21 Arnold, Peter, Dr., 8000 München Verfahren zur thermischen Behandlung der Oberfläche von Werkstücken mittels eines linear polarisierten Laserstrahls
JPS58164219A (ja) 1982-03-25 1983-09-29 Agency Of Ind Science & Technol 積層型半導体装置の製造方法
US4468279A (en) 1982-08-16 1984-08-28 Avco Everett Research Laboratory, Inc. Method for laser melting of silicon
GB2130009B (en) 1982-11-12 1986-04-03 Rca Corp Polycrystalline silicon layers for semiconductor devices
JPS59169125A (ja) 1983-03-16 1984-09-25 Ushio Inc 半導体ウエハ−の加熱方法
US4550684A (en) 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
JPS6063926A (ja) 1983-08-31 1985-04-12 Fujitsu Ltd 半導体装置の製造方法
US4615765A (en) 1985-02-01 1986-10-07 General Electric Company Self-registered, thermal processing technique using a pulsed heat source
US4719122A (en) 1985-04-08 1988-01-12 Semiconductor Energy Laboratory Co., Ltd. CVD method and apparatus for forming a film
US4780590A (en) * 1985-11-21 1988-10-25 Penn Research Corporation Laser furnace and method for zone refining of semiconductor wafers
KR910002596B1 (ko) 1985-11-21 1991-04-27 다이닛뽕 스크린 세이조오 가부시기가이샤 온도제어방법 및 그 장치
JPS62221931A (ja) * 1986-03-25 1987-09-30 Fuji Heavy Ind Ltd 無段変速機の制御装置
US4975561A (en) 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
GB2211210A (en) * 1987-10-16 1989-06-28 Philips Electronic Associated A method of modifying a surface of a body using electromagnetic radiation
US5188458A (en) 1988-04-27 1993-02-23 A G Processing Technologies, Inc. Pyrometer apparatus and method
US6016383A (en) 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5222112A (en) 1990-12-27 1993-06-22 Hitachi, Ltd. X-ray pattern masking by a reflective reduction projection optical system
DE4109956A1 (de) 1991-03-26 1992-10-01 Siemens Ag Verfahren zum kurzzeittempern einer halbleiterscheibe durch bestrahlung
US5446825A (en) 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
JP3213338B2 (ja) 1991-05-15 2001-10-02 株式会社リコー 薄膜半導体装置の製法
US5317656A (en) 1991-05-17 1994-05-31 Texas Instruments Incorporated Fiber optic network for multi-point emissivity-compensated semiconductor wafer pyrometry
US5255286A (en) 1991-05-17 1993-10-19 Texas Instruments Incorporated Multi-point pyrometry with real-time surface emissivity compensation
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
JPH05243166A (ja) 1992-02-26 1993-09-21 Nec Corp 半導体基板の気相成長装置
US5336641A (en) 1992-03-17 1994-08-09 Aktis Corporation Rapid thermal annealing using thermally conductive overcoat
US5232262A (en) * 1992-04-01 1993-08-03 Shin Yeh Enterprise Co., Ltd. Arm of an armchair with means to support a bottle or a cup
US5268989A (en) 1992-04-16 1993-12-07 Texas Instruments Incorporated Multi zone illuminator with embeded process control sensors and light interference elimination circuit
US5253324A (en) 1992-09-29 1993-10-12 North Carolina State University Conical rapid thermal processing apparatus
US5418885A (en) 1992-12-29 1995-05-23 North Carolina State University Three-zone rapid thermal processing system utilizing wafer edge heating means
DE4306398A1 (de) 1993-03-02 1994-09-08 Leybold Ag Vorrichtung zum Erwärmen eines Substrates
US5345534A (en) 1993-03-29 1994-09-06 Texas Instruments Incorporated Semiconductor wafer heater with infrared lamp module with light blocking means
JP3021227B2 (ja) 1993-04-01 2000-03-15 花王株式会社 吸収紙及びそれを具備する吸収性物品
KR100255689B1 (ko) 1993-05-27 2000-05-01 윤종용 반도체 레이져 소자 및 그 제조방법
US5488000A (en) 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US5607551A (en) 1993-06-24 1997-03-04 Kimberly-Clark Corporation Soft tissue
CA2119432A1 (en) 1993-11-12 1995-05-13 Greg A. Wendt Method for making stratified tissue
US5444815A (en) 1993-12-16 1995-08-22 Texas Instruments Incorporated Multi-zone lamp interference correction system
US5695607A (en) 1994-04-01 1997-12-09 James River Corporation Of Virginia Soft-single ply tissue having very low sidedness
US5493987A (en) 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
US5563095A (en) 1994-12-01 1996-10-08 Frey; Jeffrey Method for manufacturing semiconductor devices
JPH08222797A (ja) 1995-01-17 1996-08-30 Hewlett Packard Co <Hp> 半導体装置およびその製造方法
US6034378A (en) * 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
FI102696B (fi) 1995-02-22 1999-01-29 Instrumentarium Oy Kaksoissäteilylähdekokoonpano ja mittausanturi
JP3824675B2 (ja) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ 結晶製造装置
US5958185A (en) 1995-11-07 1999-09-28 Vinson; Kenneth Douglas Soft filled tissue paper with biased surface properties
JPH08286212A (ja) * 1995-04-14 1996-11-01 Semiconductor Energy Lab Co Ltd 表示装置の作製方法
MY113904A (en) * 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
TW284907B (en) 1995-06-07 1996-09-01 Cauldron Lp Removal of material by polarized irradiation and back side application for radiation
JP3581443B2 (ja) * 1995-07-11 2004-10-27 キヤノン株式会社 画像処理装置及びその方法
US5861609A (en) 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
US5762713A (en) 1995-11-28 1998-06-09 Texas Instruments Incorporated RTP lamp design for oxidation and annealing
JPH09246202A (ja) 1996-03-07 1997-09-19 Shin Etsu Handotai Co Ltd 熱処理方法および半導体単結晶基板
JPH09320961A (ja) 1996-05-31 1997-12-12 Nec Corp 半導体製造装置及び薄膜トランジスタの製造方法
US6072160A (en) 1996-06-03 2000-06-06 Applied Materials, Inc. Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US5937142A (en) 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5886320A (en) 1996-09-03 1999-03-23 International Business Machines Corporation Laser ablation with transmission matching for promoting energy coupling to a film stack
US5980637A (en) 1996-12-20 1999-11-09 Steag Rtp Systems, Inc. System for depositing a material on a substrate using light energy
US5820942A (en) 1996-12-20 1998-10-13 Ag Associates Process for depositing a material on a substrate using light energy
US6033761A (en) 1996-12-23 2000-03-07 Fort James Corporation Soft, bulky single-ply tissue having low sidedness and method for its manufacture
US6017418A (en) 1996-12-23 2000-01-25 Fort James Corporation Hydrophilic, humectant, soft, pliable, absorbent paper and method for its manufacture
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US5954982A (en) 1997-02-12 1999-09-21 Nikon Corporation Method and apparatus for efficiently heating semiconductor wafers or reticles
US5911890A (en) 1997-02-25 1999-06-15 Lsp Technologies, Inc. Oblique angle laser shock processing
US6033523A (en) 1997-03-31 2000-03-07 Fort James Corporation Method of making soft bulky single ply tissue
US5874711A (en) 1997-04-17 1999-02-23 Ag Associates Apparatus and method for determining the temperature of a radiating surface
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6027244A (en) 1997-07-24 2000-02-22 Steag Rtp Systems, Inc. Apparatus for determining the temperature of a semi-transparent radiating body
US6075922A (en) 1997-08-07 2000-06-13 Steag Rtp Systems, Inc. Process for preventing gas leaks in an atmospheric thermal processing chamber
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US6222990B1 (en) 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US5970382A (en) 1998-01-26 1999-10-19 Ag Associates Process for forming coatings on semiconductor devices
US6056434A (en) 1998-03-12 2000-05-02 Steag Rtp Systems, Inc. Apparatus and method for determining the temperature of objects in thermal processing chambers
US6204484B1 (en) 1998-03-31 2001-03-20 Steag Rtp Systems, Inc. System for measuring the temperature of a semiconductor wafer during thermal processing
JP3177961B2 (ja) * 1998-04-14 2001-06-18 日本電気株式会社 原子線ホログラフィによるパターン形成方法及び装置
US6153053A (en) 1998-04-15 2000-11-28 Fort James Corporation Soft, bulky single-ply absorbent paper having a serpentine configuration and methods for its manufacture
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6084213A (en) 1998-05-18 2000-07-04 Steag C.V.D. Sytems, Ltd. Method and apparatus for increasing temperature uniformity of heated wafers
US6034357A (en) 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
DE69937255T2 (de) * 1998-11-20 2008-07-03 Steag RTP Systems, Inc., San Jose Schnell-aufheiz- und -kühlvorrichtung für halbleiterwafer
US6310328B1 (en) * 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6174651B1 (en) 1999-01-14 2001-01-16 Steag Rtp Systems, Inc. Method for depositing atomized materials onto a substrate utilizing light exposure for heating
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6200023B1 (en) 1999-03-15 2001-03-13 Steag Rtp Systems, Inc. Method for determining the temperature in a thermal processing chamber
US6293696B1 (en) 1999-05-03 2001-09-25 Steag Rtp Systems, Inc. System and process for calibrating pyrometers in thermal processing chambers
DE19923400A1 (de) * 1999-05-21 2000-11-30 Steag Rtp Systems Gmbh Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
US6403923B1 (en) 1999-09-03 2002-06-11 Mattson Technology, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6359263B2 (en) * 1999-09-03 2002-03-19 Steag Rtp Systems, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6514876B1 (en) * 1999-09-07 2003-02-04 Steag Rtp Systems, Inc. Pre-metal dielectric rapid thermal processing for sub-micron technology
JP4514861B2 (ja) * 1999-11-29 2010-07-28 株式会社半導体エネルギー研究所 レーザ照射装置およびレーザ照射方法および半導体装置の作製方法
KR20020091063A (ko) 1999-12-21 2002-12-05 맷슨 써멀 프로덕츠, 인크. 급속 n₂ 열처리에 의한 실리콘(100)상의 초박형의질화물 성장
US6531681B1 (en) 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6570656B1 (en) * 2000-04-10 2003-05-27 Ultratech Stepper, Inc. Illumination fluence regulation system and method for use in thermal processing employed in the fabrication of reduced-dimension integrated circuits
US6808758B1 (en) * 2000-06-09 2004-10-26 Mattson Technology, Inc. Pulse precursor deposition process for forming layers in semiconductor devices
TW523791B (en) 2000-09-01 2003-03-11 Semiconductor Energy Lab Method of processing beam, laser irradiation apparatus, and method of manufacturing semiconductor device
JP2004523134A (ja) * 2000-09-19 2004-07-29 マットソン テクノロジイ インコーポレイテッド 誘電体膜の形成方法
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US6559424B2 (en) * 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
US6770146B2 (en) 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
DE10296448T5 (de) * 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US7005601B2 (en) * 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US8288239B2 (en) * 2002-09-30 2012-10-16 Applied Materials, Inc. Thermal flux annealing influence of buried species
US20040025787A1 (en) * 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US20030209326A1 (en) 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
US6875691B2 (en) * 2002-06-21 2005-04-05 Mattson Technology, Inc. Temperature control sequence of electroless plating baths
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7101812B2 (en) * 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US6835914B2 (en) * 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
US6747245B2 (en) 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing
US6797312B2 (en) * 2003-01-21 2004-09-28 Mattson Technology, Inc. Electroless plating solution and process
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010073143A (ko) * 1998-09-09 2001-07-31 추후제출 반도체 웨이퍼를 가열하기 위한 멀티 램프 콘 리플렉터

Also Published As

Publication number Publication date
US20020137311A1 (en) 2002-09-26
CN1556910A (zh) 2004-12-22
US20080050688A1 (en) 2008-02-28
CN101350294A (zh) 2009-01-21
CN100415933C (zh) 2008-09-03
JP4450624B2 (ja) 2010-04-14
US7847218B2 (en) 2010-12-07
US20090098742A1 (en) 2009-04-16
KR20050043755A (ko) 2005-05-11
US20080008460A1 (en) 2008-01-10
CN101350294B (zh) 2012-12-26
JP2005509281A (ja) 2005-04-07
US8669496B2 (en) 2014-03-11
US20120252229A1 (en) 2012-10-04
DE10297368T5 (de) 2004-10-14
US7453051B2 (en) 2008-11-18
US7015422B2 (en) 2006-03-21
WO2003040636A1 (en) 2003-05-15
US8222570B2 (en) 2012-07-17

Similar Documents

Publication Publication Date Title
KR100917501B1 (ko) 전자기 에너지의 흡수를 최적화함으로써 반도체 웨이퍼를가열하기 위한 시스템 및 방법
US6970644B2 (en) Heating configuration for use in thermal processing chambers
KR100729006B1 (ko) 열 처리 챔버들에서 반도체 웨이퍼들을 가열하기 위한 가열 디바이스
US8674257B2 (en) Automatic focus and emissivity measurements for a substrate system
US5727017A (en) Method and apparatus for determining emissivity of semiconductor material
US9029739B2 (en) Apparatus and methods for rapid thermal processing
WO2023192405A1 (en) Dual sensor wafer temperature measurement system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120824

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130826

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140822

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150824

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160826

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170828

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180824

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190828

Year of fee payment: 11