KR100880049B1 - 로드록 장치, 로드록 장치 조립체 및 기판 처리 방법 - Google Patents

로드록 장치, 로드록 장치 조립체 및 기판 처리 방법 Download PDF

Info

Publication number
KR100880049B1
KR100880049B1 KR1020060035099A KR20060035099A KR100880049B1 KR 100880049 B1 KR100880049 B1 KR 100880049B1 KR 1020060035099 A KR1020060035099 A KR 1020060035099A KR 20060035099 A KR20060035099 A KR 20060035099A KR 100880049 B1 KR100880049 B1 KR 100880049B1
Authority
KR
South Korea
Prior art keywords
substrate
plate
load lock
carrying
board
Prior art date
Application number
KR1020060035099A
Other languages
English (en)
Other versions
KR20060109852A (ko
Inventor
가츠히코 이와부치
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20060109852A publication Critical patent/KR20060109852A/ko
Application granted granted Critical
Publication of KR100880049B1 publication Critical patent/KR100880049B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Abstract

기판의 온도를 바람직하게 조절할 수 있는 로드록 장치 및 처리 방법을 제공한다.
외부에 대하여 기판을 반출입시키는 반출입부측에 설치한 반입구와, 기판을 처리하는 처리부측에 설치한 반출구를 구비한 로드록 장치에 있어서, 기판(G)을 가열하는 가열용 플레이트(71)를 구비하고, 상기 가열용 플레이트(71)는, 다공질재 로 이루어지는 플레이트 본체(75)와, 플레이트 본체(75)에 가열한 가열용 가스를 공급하는 가열용 가스 공급로(76)를 구비하는 구성으로 했다. 가열용 가스는, 플레이트 본체(75)중을 통과해, 플레이트 본체(75)의 표면으로부터 분출하여, 기판(G)에 공급되도록 했다.

Description

로드록 장치, 로드록 장치 조립체 및 기판 처리 방법{LOAD LOCK APPARATUS AND PROCESSING METHOD}
도 1은 처리 시스템의 구성을 설명하는 개략 평면도,
도 2는 처리 시스템의 구성을 설명하는 개략 측면도,
도 3은 로드록 장치의 개략 종단면도,
도 4는 상면 가열 플레이트 및 하면 가열 플레이트의 종단면도,
도 5는 상면 냉각 플레이트 및 하면 냉각 플레이트의 종단면도,
도 6은 다른 실시형태에 따른 상면 가열 플레이트의 종단면도,
도 7은 다른 실시형태에 따른 상면 가열 플레이트의 종단면도,
도 8은 다른 실시형태에 따른 하면 가열 플레이트의 종단면도,
도 9는 다른 실시형태에 따른 상면 냉각 플레이트의 종단면도,
도 10은 다른 실시형태에 따른 상면 냉각 플레이트의 종단면도,
도 11은 다른 실시형태에 따른 하면 냉각 플레이트의 종단면도.
도면의 주요 부분에 대한 부호의 설명
G : 기판 1 : 처리 시스템
2 : 반출입부 3 : 처리부
5 : 로드록 장치 21 : 제 1 로드록 장치
22 : 제 2 로드록 장치 30A 내지 30E : 기판 처리 장치
31 : 반송 장치 61 : 로드록실
63, 103 : 반입구 64, 104 : 반출구
71 : 상면 가열용 플레이트 72 : 하면 가열용 플레이트
76 : 가열용 가스 공급로 81, 121 : 통기성 보호막
82, 122 : 비통기성 보호재 102 : 로드록실
111 : 상면 냉각용 플레이트 112 : 하면 냉각용 플레이트
115 : 플레이트 본체 116 : 냉각용 가스 공급로
본 발명은 기판을 처리하는 처리 시스템 등에 사용되는 로드록(load lock) 장치 및 처리 방법에 관한 것이다.
예컨대, LCD 기판 등의 제조공정에 있어서는, 감압 분위기하에서 기판에 성막, 에칭, 애싱 등의 소정의 처리를 실시하는 기판 처리 장치를 복수 구비한 소위 멀티 챔버형의 처리 시스템이 사용되고 있다(예컨대, 일본 특허 공표 제 2004-523880 호 공보 참조). 이러한 처리 시스템에는, 기판을 반송하는 기판 반송 장치를 구비한 반송실과, 그 주위에 설치된 복수의 기판 처리 장치를 갖는 처리부가 구비되어 있다. 그리고, 기판 반송 장치의 반송 아암(arm)에 의해, 기판이 각 기판 처리 장치에 대하여 반출입되게 되어 있다. 또, 이러한 처리 시스템에는, 외부에 대하여 기판을 반출입시키는 반출입부가 구비되어 있고, 반출입부와 처리부 사이에는, 로드록 장치가 구비된다. 반출입부에 반입된 기판은, 로드록 장치를 거쳐서 처리부에 반입되어, 처리부에서 처리된 후, 다시 로드록 장치를 거쳐서 반출입부에 반출된다.
이러한 로드록 장치로서는, 로드록 장치내에서 기판을 예비 가열하기 위한 가열용 플레이트를 구비한 것이 알려져 있다(예컨대, 일본 특허 공개 제 2001-239144 호 공보 참조). 또, 가열용 플레이트와 냉각용 플레이트를 구비하고, 기판을 반출입부로부터 처리부에 반입할 때는, 가열용 플레이트에 의해 기판을 가열하고, 기판을 처리부로부터 반출입부에 반출할 때는, 냉각용 플레이트에 의해 기판을 냉각할 수 있게 한 것이 제안되어 있다(예컨대, 일본 특허 공표 제 2004-523880 호 참조).
로드록 장치 등에 있어서 사용되는 가열용 플레이트로서는, 예를 들면 스테인리스 합금 또는 알루미늄(Al) 합금 등의 금속제의 플레이트 본체의 내부에, 시스 히터(sheath heater) 등의 발열체를 내장한 구조로 하고, 발열체로부터 플레이트 본체에 열을 전도시켜, 가열된 플레이트 본체로부터 방사되는 열에 의해, 기판을 가열하는 구성이 고려된다. 냉각용 플레이트로서는, 예컨대 스테인리스 합금 또는 알루미늄 합금 등의 금속제의 플레이트 본체의 내부에 냉각수를 통과시키는 냉각수 송수로를 내장한 구조로 하고, 냉각수에 의해 플레이트 본체를 냉각시키고, 냉각된 플레이트 본체의 냉열에 의해, 기판을 냉각하는 구성이 고려된다. 또, 기판에 질소(N2)나 헬륨(He) 등의 불활성 가스를 공급하는 것에 의해, 기판을 냉각하는 방법도 제안되어 있다(예를 들면, 일본 특허 공표 제 2004-523880 호 공보 참조).
그러나, 가열용 플레이트 본체를 스테인리스 합금에 의해 형성하면, 열전도율이 나빠, 플레이트 본체를 균일하게 가열하는 것이 어려우므로, 기판에 가열 불균일이 생길 우려가 있었다. 그 때문에, 열 응력의 영향에 의해 기판이 변형하거나, 처리부에 있어서의 기판 처리에 불균일이 생길 우려가 있었다. 또, 기판에 메탈 컨테미네이션(metal contamination)이 부착될 우려가 있었다. 또, 가열용 플레이트 본체를 알루미늄 합금에 의해 형성했을 경우, 고온에 대한 내열성이 부족하여, 기판을 최고 약 400℃ 정도까지밖에 가열할 수 없는 문제가 있었다. 또, 복사열만의 가열로는 효율이 나쁜 문제가 있었다.
한편, 냉각용 플레이트 본체를 스테인리스 합금으로 형성했을 경우도, 스테인리스 합금의 열전도율이 나빠, 플레이트 본체를 균일하게 냉각하는 것이 어려우므로, 기판에 냉각 불균일이 생길 우려가 있었다. 그 때문에, 열 응력의 영향에 의해 기판이 변형할 우려가 있었다. 또, 기판에 가스를 공급해서 냉각할 경우, 기판에 대하여 가스를 균일하게 공급하는 것이 어려워, 기판에 냉각 불균일이 생길 우려가 있었다.
본 발명의 목적은, 기판의 온도를 바람직하게 조절할 수 있는 로드록 장치 및 처리 방법을 제공하는 것이다.
상기 과제를 해결하기 위해서, 본 발명에 의하면, 외부에 대하여 기판을 반출입시키는 반출입부측에 설치한 반입구와, 기판을 처리하는 처리부측에 설치한 반출구를 구비한 로드록 장치에 있어서, 로드록 장치 내에 반입한 기판을 가열하는 가열용 플레이트를 구비하고, 상기 가열용 플레이트는, 다공질재로 이루어지는 플레이트 본체와, 상기 플레이트 본체에 가열한 가열용 가스를 공급하는 가열용 가스 공급로를 구비하고, 상기 가열용 가스는, 상기 플레이트 본체 중을 통과해서, 상기 플레이트 본체의 표면으로부터 분출하여, 기판에 공급되는 것을 특징으로 하는, 로드록 장치가 제공된다. 이러한 구성에 의하면, 플레이트 본체로부터 기판에 대하여 가열용 가스를 균일하게 공급할 수 있다. 이로써, 기판을 균일하게 가열할 수 있다.
또, 본 발명에 의하면, 외부에 대하여 기판을 반출입시키는 반출입부측에 설치한 반입구와, 기판을 처리하는 처리부측에 설치한 반출구를 구비한 로드록 장치에 있어서, 로드록 장치 내에 반입한 기판을 가열하는 가열용 플레이트를 구비하고, 상기 가열용 플레이트는, 다공질재로 이루어지는 플레이트 본체와, 상기 플레이트 본체 내에 설치된 발열체와, 상기 플레이트 본체에 가스를 공급하는 가스 공급로를 구비하고, 상기 가스는, 상기 발열체에 의해 가열된 상기 플레이트 본체중을 통과할 때에 가열되어서, 상기 플레이트 본체의 표면으로부터 분출하여, 기판에 공급되는 것을 특징으로 하는, 로드록 장치가 제공된다.
상기 다공질재는 다공질 카본(carbon)이여도 좋다. 다공질 카본은 열전도율이 좋으므로, 기판을 효율적으로 가열할 수 있다. 또, 고온에서도 내열성이 좋으므로, 기판을 고온으로 가열하는 것이 가능하다.
상기 플레이트 본체의 표면에, 통기성을 갖는 보호막을 설치해도 좋다. 상기 가열용 플레이트는 기판에 대하여 상대적으로 근접 및 이격하는 것이 가능한 구성으로 하여도 좋다. 또, 상기 가열용 플레이트에, 기판을 정전 흡착시키는 정전 흡착용 전극을 구비해도 좋다.
또, 상기 가열용 플레이트 및 제 2 가열용 플레이트를 구비하고, 상기 가열용 플레이트 및 제 2 가열용 플레이트 중 한쪽이 기판의 표면측에 배치되고, 다른쪽이 기판의 이면측에 배치된 구성으로 하여도 좋다. 그렇게 하면, 기판을 더욱 효율적으로, 균일하게 가열할 수 있다.
또, 본 발명에 의하면, 외부에 대하여 기판을 반출입시키는 반출입부측에 설치한 반입구와, 기판을 처리하는 처리부측에 설치한 반출구를 구비한 로드록 장치에 있어서, 로드록 장치내에 반입된 기판을 냉각하는 냉각용 플레이트를 구비하고, 상기 냉각용 플레이트는, 다공질재로 이루어지는 플레이트 본체와, 상기 플레이트 본체에 냉각된 냉각용 가스를 공급하는 냉각용 가스 공급로를 구비하고, 상기 냉각용 가스는, 상기 플레이트 본체 중을 통과해서, 상기 플레이트 본체의 표면으로부터 분출하여, 기판에 공급되는 것을 특징으로 하는, 로드록 장치가 제공된다. 이러한 구성에 의하면, 플레이트 본체로부터 기판에 대하여 냉각용 가스를 균일하게 공급할 수 있다. 따라서, 기판을 균일하게 냉각할 수 있다.
또, 본 발명에 의하면, 외부에 대하여 기판을 반출입시키는 반출입부측에 설치한 반입구와, 기판을 처리하는 처리부측에 설치한 반출구를 구비한 로드록 장치에 있어서, 로드록 장치내에 반입된 기판을 냉각하는 냉각용 플레이트를 구비하고, 상기 냉각용 플레이트는, 다공질재로 이루어지는 플레이트 본체와, 상기 플레이트 본체 내에 설치된 냉각수 송수로와, 상기 플레이트 본체에 가스를 공급하는 가스 공급로를 구비하고, 상기 가스는, 상기 냉각수 송수로에 의해 냉각된 플레이트 본체 중을 통과할 때에 냉각되어서, 상기 플레이트 본체의 표면으로부터 분출하여, 기판에 공급되는 것을 특징으로 하는, 로드록 장치가 제공된다.
상기 다공질재는 다공질 카본이여도 좋다. 상기 플레이트 본체의 표면에는, 통기성을 갖는 보호막을 설치해도 좋다. 또, 상기 냉각용 플레이트는, 기판에 대하여 상대적으로 근접 및 이격하는 것이 가능한 구성으로 하여도 좋다. 또, 상기 냉각용 플레이트에는, 기판을 정전 흡착시키는 정전 흡착용 전극을 구비해도 좋다.
또, 상기 냉각용 플레이트 및 제 2 냉각용 플레이트를 구비하고, 상기 냉각용 플레이트 및 제 2 냉각용 플레이트 중 한쪽이 기판의 표면측에 배치되고, 다른쪽이 기판의 이면측에 배치된 구성으로 하여도 좋다. 그렇게 하면, 기판을 더욱 효율적으로, 균일하게 냉각할 수 있다.
또 본 발명에 의하면, 청구항 1 또는 2에 기재된 로드록 장치와, 청구항 8 또는 9에 기재된 로드록 장치를 구비한 것을 특징으로 하는, 로드록 장치가 제공된다.
또, 청구항 1 또는 2에 기재된 로드록 장치와, 청구항 8 또는 9에 기재된 로드록 장치를, 상하로 겹쳐 쌓아서 구비한 것을 특징으로 하는, 로드록 장치가 제공된다.
또, 본 발명에 의하면, 반출입부로부터 로드록 장치를 거쳐서 처리부에 기판을 반입하여, 상기 처리부에 있어서 기판을 처리하는 방법에 있어서, 상기 로드록 장치의 처리부측에 설치한 반출구를 폐쇄한 채, 상기 로드록 장치의 반출입부측에 설치한 반입구를 개방하여, 상기 반입구를 통해서 로드록 장치내에 기판을 반입하고, 상기 반입구를 폐쇄하여, 다공질재로 이루어지는 플레이트 본체를 갖는 가열용 플레이트를 기판의 표면 또는 이면에 근접시켜, 가열한 가열용 가스를 상기 플레이트 본체에 통과시켜서, 상기 플레이트 본체로부터 기판에 공급하여, 기판을 가열하고, 상기 반입구를 폐쇄한 채 상기 반출구를 개방하여, 상기 반출구를 통해서 처리부에 기판을 반입하는 것을 특징으로 하는, 처리 방법이 제공된다.
또, 본 발명에 의하면, 반출입부로부터 로드록 장치를 거쳐서 처리부에 기판을 반입하여, 상기 처리부에 있어서 기판을 처리하는 방법에 있어서, 상기 로드록 장치의 처리부측에 설치한 반출구를 폐쇄한 채, 상기 로드록 장치의 반출입부측에 설치한 반입구를 개방하여 상기 반입구를 통해서 로드록 장치내에 기판을 반입하고, 상기 반입구를 폐쇄하여, 다공질재로 이루어지는 플레이트 본체를 갖는 가열용 플레이트를 기판의 표면 또는 이면에 근접시켜, 상기 플레이트 본체의 내부에 설치된 발열체를 발열시키는 동시에, 상기 플레이트 본체에 가스를 통과시켜서, 상기 발열체에 의해 가열된 플레이트 본체에 의해 상기 가스를 가열하고, 상기 가열한 가스를 상기 플레이트 본체로부터 기판에 공급하여, 기판을 가열하고, 상기 반입구를 폐쇄한 채 상기 반출구를 개방하여, 상기 반출구를 통해서 처리부에 기판을 반입하는 것을 특징으로 하는, 처리 방법이 제공된다.
상기 처리부는 상기 반출입부보다도 감압되어 있고, 상기 로드록 장치에 기판을 반입한 후, 상기 반입구를 폐쇄하여, 상기 로드록 장치내를 밀폐 상태로 하고, 상기 로드록 장치 내를 소정의 압력까지 감압하고 나서, 상기 반출구를 개방하여, 상기 로드록 장치로부터 처리부에 기판을 반출하는 것으로 하여도 좋다.
또, 본 발명에 의하면, 반출입부로부터 로드록 장치를 거쳐서 처리부에 기판을 반입하여, 상기 처리부에 있어서 기판을 처리하는 방법에 있어서, 상기 로드록 장치의 처리부측에 설치한 반출구를 폐쇄한 채, 상기 로드록 장치의 반출입부측에 설치한 반입구를 개방하여, 상기 반입구를 통해서 로드록 장치내에 기판을 반입하고, 상기 반입구를 폐쇄하여, 다공질재로 이루어지는 플레이트 본체를 갖는 냉각용 플레이트를 기판의 표면 또는 이면에 근접시켜, 냉각한 냉각용 가스를 상기 플레이트 본체에 통과시켜서, 상기 플레이트 본체로부터 기판에 공급하여, 기판을 냉각하고, 상기 반입구를 폐쇄한 채 상기 반출구를 개방하여, 상기 반출구를 통해서 처리부에 기판을 반입하는 것을 특징으로 하는, 처리 방법이 제공된다.
또, 본 발명에 의하면, 반출입부로부터 로드록 장치를 거쳐서 처리부에 기판을 반입하여, 상기 처리부에 있어서 기판을 처리하는 방법에 있어서, 상기 로드록 장치의 처리부측에 설치한 반출구를 폐쇄한 채, 상기 로드록 장치의 반출입부측에 설치한 반입구를 개방하여, 상기 반입구를 통해서 로드록 장치내에 기판을 반입하고, 상기 반입구를 폐쇄하여, 다공질재로 이루어지는 플레이트 본체를 갖는 냉각용 플레이트를 기판의 표면 또는 이면에 근접시켜, 상기 플레이트 본체의 내부에 설치된 냉각수 송수로에 냉각수를 통과시키는 동시에, 상기 플레이트 본체에 가스를 통과시켜서, 상기 냉각수 송수로에 의해 냉각된 플레이트 본체에 의해 상기 가스를 냉각하고, 상기 냉각한 가스를 상기 플레이트 본체로부터 기판에 공급하여, 기판을 냉각하고, 상기 반입구를 폐쇄한 채 상기 반출구를 개방하여, 상기 반출구를 통해서 처리부에 기판을 반입하는 것을 특징으로 하는, 처리 방법이 제공된다.
상기 처리부는 상기 반출입부보다도 감압되어 있고, 상기 로드록 장치에 기판을 반입한 후, 상기 반입구를 폐쇄하여, 상기 로드록 장치내를 밀폐 상태로 하고, 상기 로드록 장치내를 소정의 압력까지 가압하고 나서, 상기 반출구를 개방하여, 상기 로드록 장치로부터 반출입부에 기판을 반출하는 것으로 하여도 좋다.
본 발명에 의하면, 가열용 플레이트 본체를 다공질재로 한 것에 의해서, 플레이트 본체중의 미세 구멍에 가열용 가스를 통과시킬 수 있다. 플레이트 본체의 표면으로부터 가열용 가스를 균일하게 분출시켜, 기판의 표면 또는 이면 전체에 가열용 가스를 균등하게 분출할 수 있다. 그 때문에, 기판의 표면 또는 이면을 가열용 가스에 의해 효율적으로 또한 균일하게 가열할 수 있다. 또, 발열체에 의해 플레이트 본체를 가열하면서, 플레이트 본체 중을 통과하는 가스를 가열해서, 가열한 가스를 기판에 분출하는 것에 의해, 기판을 효율적으로 가열할 수 있다.
또, 본 발명에 의하면, 냉각용 플레이트 본체를 다공질재로 한 것에 의해, 플레이트 본체 중의 미세 구멍에 냉각용 가스를 통과시킬 수 있다. 플레이트 본체의 표면으로부터 냉각용 가스를 균일하게 분출시켜, 기판의 표면 또는 이면 전체에 냉각용 가스를 균등하게 분출할 수 있다. 그 때문에, 기판의 표면 또는 이면을 냉각용 가스에 의해 효율적으로 또한 균일하게 냉각할 수 있다. 또, 냉각수 송수로에 의해 플레이트 본체를 냉각하면서, 플레이트 본체 중을 통과하는 가스를 냉각해서, 냉각한 가스를 기판에 분출하는 것에 의해, 기판을 효율적으로 냉각할 수 있다.
이하, 본 발명의 제 1 실시형태를, 기판의 일례로서의 LCD(Liquid Crystal Display; 액정 표시장치)용의 유리 기판(G)에 대하여, 플라즈마 CVD(Chemical Vapor Deposition; 화학적 증착) 처리에 의해 박막을 성막하는 공정을 실시하는 처리 시스템에 근거해서 설명한다. 도 1은 본 발명의 실시형태에 따른 처리 시스템(1)의 개략적인 구성을 도시한 평면도이다. 도 1에 도시하는 처리 시스템(1)은, 소위 멀티 챔버(multi chamber)형의 처리 시스템이며, 처리 시스템(1)의 외부에 대하여 기판(G)을 반출입시키는 반출입부(2)와, 기판(G)의 CVD 처리를 실행하는 처리부(3)를 구비하고 있다. 반출입부(2)와 처리부(3) 사이에는, 로드록 장치(5)가 설치되어 있다.
반출입부(2)에는, 복수매의 기판(G)을 수납한 카세트(C)를 탑재하는 탑재대(11)와, 기판(G)을 반송하는 제 1 반송 장치(12)가 설치되어 있다. 탑재대(11)상에는, 도 1에 있어서 대략 수평방향의 X축 방향을 따라, 복수의 카세트(C)가 일렬로 배치된다. 도 2에 도시하는 바와 같이, 탑재대(11)상의 카세트(C)내에는, 대략 직사각형의 박판 형상의 기판(G)이 대략 수평한 자세로 복수매 상하로 정렬하여 수납되어 있다.
반송 장치(12)는, 수평방향의 Y축 방향에 있어서 탑재대(11)의 후방(도 1에 있어서는 우측)에 구비되어 있다. 또, 반송 장치(12)는, X축 방향을 따라 연장 설치된 레일(13)과, 레일(13)을 따라 수평방향으로 이동 가능한 반송 기구(14)를 구비하고 있다. 반송 기구(14)는, 한 장의 기판(G)을 대략 수평으로 유지하는 반송 아암(15)을 구비하고 있고, 반송 아암(15)은 Z축 방향(연직방향)으로 굴신 및 대략 수평면내에서 선회 가능하게 구성되어 있다. 즉, 탑재대(11)상의 각 카세트(C)의 정면에 마련된 개구(16)에 반송 아암(15)을 액세스시켜서, 기판(G)을 한 장씩 취출하거나 수납할 수 있는 구성으로 되어 있다. 또, 반송 장치(12)를 사이에 두고 탑재대(11)와 대향하는 쪽[Y축 방향에 있어서 반송 장치(12)의 후방]에 설치된 로드록 장치(5)에 대하여, 반송 아암(15)을 액세스시켜, 기판(G)을 한 장씩 반입 및 반출시킬 수 있다.
도 2에 도시하는 바와 같이, 로드록 장치(5)는, 한 쌍의 로드록 장치, 즉 제 1 로드록 장치(21) 및 제 2 로드록 장치(22)에 의해 구성되어 있다. 제 1 로드록 장치(21)와 제 2 로드록 장치(22)는, 상하로 겹쳐 쌓아서 구비되어 있고, 도시의 예에서는, 제 1 로드록 장치(21) 위에 제 2 로드록 장치(22)가 설치되어 있다. 또, Y축 방향에 있어서 로드록 장치(21)의 전방측(도 2에 있어서는 좌측)에는, 후술하는 로드록 장치(21)의 반입구(63)를 폐쇄하는 게이트 밸브(25)가 설치되어 있고, Y축 방향에 있어서 로드록 장치(21)의 후방측에는, 후술하는 로드록 장치(21)의 반출구(64)를 개폐하는 게이트 밸브(26)가 설치되어 있다. Y축 방향에 있어서 로드록 장치(22)의 후방측에는, 후술하는 로드록 장치(22)의 반입구(103)를 폐쇄하는 게이트 밸브(27)가 설치되어 있고, Y축 방향에 있어서 로드록 장치(22)의 전방측에는, 후술하는 로드록 장치(22)의 반출구(104)를 개폐하는 게이트 밸브(28)가 설치되어 있다. 이러한 구성에 있어서, 각 게이트 밸브(25, 28)를 폐쇄하는 것에 의해, 반출입부(2)의 분위기와 로드록 장치(21, 22)내의 분위기를 각각 차단할 수 있게 되어 있다. 또, 각 게이트 밸브(26, 27)를 폐쇄하는 것에 의해, 처리부(3)의 분위기와 로드록 장치(21, 22)내의 분위기를 각각 차단할 수 있게 되어 있다. 각 로드록 장치(21, 22)의 구조에 대해서는, 이후에 상세하게 설명한다.
도 1에 도시하는 바와 같이, 처리부(3)에는, 기판(G)을 수납해서 플라즈마CVD 처리를 실시하는 복수, 예를 들면 5개의 기판 처리 장치(30A∼30E), 및 로드록 장치(5)와 각 기판 처리 장치(30A∼30E) 사이에서 기판(G)을 반송하는 제 2 반송 장치(31)가 구비되어 있다. 제 2 반송 장치(31)는 밀폐 구조의 챔버(32) 내에 설치된 반송실(33)에 격납되어 있다. 챔버(32)는 Y축 방향에 있어서 로드록 장치(5)의 후방에 설치된다. 또, 로드록 장치(5) 및 기판 처리 장치(30A∼30E)는 챔버(32)의 주위를 둘러싸도록 배치되어 있다.
반송실(33)과 로드록 장치(21, 22) 사이에는, 전술한 게이트 밸브(26, 27)가 각각 설치되어 있어, 각 게이트 밸브(26, 27)에 의해 반송실(33)내의 분위기와 로드록 장치(21, 22)내의 분위기를 각각 차단할 수 있게 되어 있다. 반송실(33)과 각 기판 처리 장치(30A∼30E) 사이에는, 각각 게이트 밸브(35)가 설치되어 있어, 각 게이트 밸브(35)에 의해 기판 처리 장치(30A∼30E)의 개구를 기밀하게 폐색하여, 반송실(33)내의 분위기와 각 기판 처리 장치(30A∼30E)내의 분위기를 각각 차단할 수 있게 되어 있다. 또, 도 2에 도시하는 바와 같이, 반송실(33)내를 강제 배기해서 감압시키기 위한 배기로(36)가 설치되어 있다. 처리 시스템(1)에 있어서의 처리시, 처리부(3)의 반송실(33), 기판 처리 장치(30A∼30E)내는, 반출입부(2)보다도 감압 분위기로 되고, 예를 들면 진공 상태로 된다.
제 2 반송 장치(31)는, 예를 들면 다관절의 반송 아암(51)을 구비하고 있다. 반송 아암(51)은, 한 장 또는 복수매의 기판(G)을 대략 수평으로 유지할 수 있고, Z축 방향으로 굴신 및 대략 수평면 내에서 선회 가능하게 구성되어 있다. 그리고, 각 로드록 장치(21, 22), 기판 처리 장치(30A∼30E)에, 각 게이트 밸브(26, 27, 35)를 거쳐서 반송 아암(51)을 액세스시켜서, 기판(G)을 한 장씩 반입 및 반출시킬 수 있게 구성되어 있다.
다음에, 전술한 로드록 장치(21)의 구성에 대해서 상세하게 설명한다. 도 3에 도시하는 바와 같이, 로드록 장치(21)는 밀폐 구조의 챔버(61)를 구비하고 있다. 챔버(61)의 내부는 기판(G)을 수납하는 로드록실(62)로 되어 있다.
챔버(61)의 반출입부(2)측, 즉 Y축 방향에 있어서 전방측에는, 로드록실(62)에 기판(G)을 반입하기 위한 반입구(63)가 설치되어 있다. 반입구(63)에는, 전술한 게이트 밸브(25)가 설치되어 있어, 게이트 밸브(25)에 의해 기밀하게 폐색 가능하게 되어 있다. 챔버(61)의 처리부(3)측, 즉 Y축 방향에 있어서 후방측에는, 로드록실(62)로부터 기판(G)을 반출하기 위한 반출구(64)가 설치되어 있다. 반출구(64)에는, 전술한 게이트 밸브(26)가 설치되어 있어, 게이트 밸브(26)에 의해 기밀하게 폐색 가능하게 되어 있다.
로드록실(62)내에는, 기판(G)을 지지하는 복수의 유지 부재(70)가 구비되어 있다. 각 유지 부재(70)는 대략 막대 형상을 이루고, 챔버(61)의 바닥부로부터 상방으로 돌출하도록 설치되어 있고, 각 유지 부재(70)의 상단부에 기판(G)의 하면을 탑재하는 것에 의해, 기판(G)을 대략 수평으로 지지하게 되어 있다.
또, 로드록실(62)내에는, 유지 부재(70)에 지지된 기판(G)을 가열하는 제 1 가열용 플레이트로서의 상면 가열용 플레이트(71) 및 제 2 가열용 플레이트로서의 하면 가열용 플레이트(72)가 구비되어 있다.
상면 가열용 플레이트(71)는 유지 부재(70)에 지지되는 기판(G)의 상면(예컨대, 디바이스가 형성되는 표면)측에 배치되고, 챔버(61)에 대하여 고정되어 있다. 도 4에 도시하는 바와 같이, 상면 가열용 플레이트(71)는, 다공질의 기재로 이루어져 통기성을 갖는 플레이트 본체(75)와, 플레이트 본체(75) 중에 통과시키는 가열용 가스를 공급하는 가열용 가스 공급로(76)를 구비하고 있다.
플레이트 본체(75)는, 두께를 갖는 대략 직사각형 판형상으로 형성되어 있고, 챔버(61)의 천장을 따라 대략 수평으로 구비되어 있다. 또, 유지 부재(70)에 지지된 기판(G)의 상면에 대하여 대략 평행한 자세로 대향하도록 되어 있다. 플레이트 본체(75)의 하면의 면적은 기판(G)의 상면의 면적과 거의 동일하거나, 또는 기판(G)의 상면의 면적보다 크게 되어 있어, 기판(G)의 상면 전체를 덮도록 해서 가열할 수 있게 되어 있다. 또, 플레이트 본체(75)는 상하로 2분할되어 있어, 상부판(75a)과 하부판(75b) 사이에, 대략 균일한 폭의 대략 수평방향으로 넓어지는 간극(75c)이 형성된 구조로 되어 있다. 간극(75c)에 대향하는 하부판(75b)의 상면에는, 아래로 향해서 오목형으로 우묵하게 들어간 복수의 홈(75d)이 마련되어 있다. 홈(75d)은, 예컨대, X축 방향으로 연장 설치되고, Y축 방향에 있어서 소정 간격을 두고 하부판(75b)의 상면 전체에 걸쳐서 마련되어 있다. 또, 간극(75c)에는, 챔버(61)의 외부에 있어서 가열된 가열용 가스를 공급하는 공급관(77)이 접속되어 있다. 공급관(77)은, 예를 들면 챔버(61)의 천장부 및 상부판(75a)를 관통하도록 설치되고, 상부판(75a)의 하면에 있어서 간극(75c)을 향해서 개구되어 있다. 가열용 가스로서는, 예컨대 N2(질소) 가스나 He(헬륨) 가스 등의 불활성 가스를 사용하는 것이 바람직하다. 본 실시형태에 있어서, 가열용 가스 공급로(76)는 공급관(77)의 내부 유로, 간극(75c), 홈(75d)에 의해 구성되어 있다.
유지 부재(70)에 지지된 기판(G)의 상면에 대향하는 플레이트 본체(75)의 하면[즉, 하부판(75b)의 하면]은 통기성을 갖는 보호막(81)에 의해 덮여 있다. 통기성 보호막(81)은 대략 균일한 두께로 플레이트 본체(75)의 하면 전체에 형성되어 있다. 또, 플레이트 본체(75)의 상면[즉, 상부판(75a)의 상면]은 비통기성의 박판 형상의 보호재(82)로 덮여 있다. 플레이트 본체(75)의 외측면도, 상부판(75a)으로부터 하부판(75b)에 걸쳐서, 박판 형상의 비통기성 보호재(82)에 의해 덮여 있다. 간극(75c)의 주연부도 비통기성 보호재(82)에 의해 덮여서, 폐색되어 있다. 플레이트 본체(75)는 비통기성 보호재(82)를 거쳐서 챔버(61)에 고정되어 있다. 이렇게 통기성 보호막(81)이나 비통기성 보호재(82)에 의해 플레이트 본체(75)의 다공질재의 외면을 피복함으로써, 다공질재를 적합하게 보호해서, 다공질재의 손상을 방지할 수 있다. 또, 비통기성 보호재(82)는, 플레이트 본체(75)의 하면 및 통기성 보호막(81)보다도 하방까지 연장되도록 설치해도 좋다. 이렇게 하면, 기판(G)을 가열할 때, 기판(G)의 주연부보다 외측을 비통기성 보호재(82)에 의해 둘러쌀 수 있어, 후술하는 바와 같이 플레이트 본체(75)의 하면으로부터 공급된 가열용 가스가 비통기성 보호재(82)의 외측으로 빠져나가는 것을 억제해서, 기판(G)의 상면에 집중해서 향하도록 할 수 있다. 따라서, 가열용 가스에 의한 열 효율을 향상시킬 수 있다.
이러한 플레이트 본체(75)를 구성하는 다공질재는, 기질재 중에 서로 연통한 다수의 미세 구멍이 형성된 구조로 되어 있어, 미세 구멍끼리 사이에서 유체가 유통 가능하다. 그 때문에, 가열용 가스 공급로(76)에 가열용 가스를 공급하면, 플레이트 본체(75) 중에 가열용 가스를 침투시킬 수 있다. 플레이트 본체(75)의 상면 및 외측면은 비통기성 보호재(82)에 의해 덮여 있으므로, 공급관(77)으로부터 간극(75c)에 도입된 가열용 가스는 플레이트 본체(75)의 하면을 향해 통기성 보호막(81)을 통과해서 하방으로 분출되게 되어 있다. 이렇게 플레이트 본체(75)의 하면으로부터 가열용 가스를 분출하는 것에 의해, 또 통과하는 가열용 가스에 의해 가열된 플레이트 본체(75)로부터 방사되는 열에 의해, 기판(G)이 가열되게 되어 있다. 또, 하부판(75b)에 복수의 홈(75d)이 형성되어 있는 것에 의해, 간극(75c)내의 가열용 가스가 홈(75d)으로부터 하부판(75b)중의 미세 구멍에 유입하기 쉬운 구조로 되어 있다.
상기 플레이트 본체(75)를 구성하는 다공질재로서는, 비교적 열전도성, 열방사율이 양호한 재질, 예를 들면 다공질 카본(C) 등을 이용하면 좋다. 이 경우, 플레이트 본체(75)를 효율적으로 가열할 수 있어, 가열용 가스에 의해 용이하게 가열할 수 있다. 또, 플레이트 본체(75)에 있어서의 온도 분포의 균일성을 양호하게 할 수 있으므로, 플레이트 본체(75)의 하면으로부터 열이 균등하게 방사되어, 기판(G)의 가열 불균일도 방지할 수 있다. 또, 내열성이 강하여, 고온하에서도 안정하여 충분한 내구성을 얻을 수 있으므로, 기판(G)을 고온으로 가열할 수 있다. 또, 통기성 보호막(81)이나 비통기성 보호재(82)에 의해 다공질 카본을 피복함으로써, 카본이 산화에 의해 소모되거나, 기판(G)에 파티클이 부착되는 것을 방지할 수 있다. 또, 가열용 가스로서 불활성 가스를 사용하면, 카본이 산화에 의해 소모되는 것을 방지할 수 있어, 뛰어난 내구성이 발휘된다. 또, 예를 들면 다공질 알루미늄(Al) 합금, 다공질 니켈(Ni) 합금 등의 다공질 금속을 이용하여도 좋다.
통기성 보호막(81)의 재질로서는, 내열성을 갖고, 열방사율이 높고, 플레이트 본체(75)의 다공질재와 열팽창율이 유사한 것을 사용하는 것이 바람직하고, 예를 들면 알루미나(Al2O3) 등의 세라믹을 사용해도 좋다. 이로써, 고온하에 있어서도, 플레이트 본체(75)의 다공질재를 확실하게 보호할 수 있다. 또, 통기성 보호막(81)의 재질로서, 열방사율이 높은 재질, 예컨대 알루미나를 사용하면, 통기성 보호막(81)으로부터 기판(G)에 대하여 효율적으로 열이 방사되기 때문에, 기판(G)의 가열을 촉진할 수 있다. 더욱이, 통기성 보호막(81)으로부터 열이 균등하게 방사되므로, 기판(G)에 가열 불균일이 발생하는 것을 방지할 수 있다. 또, 통기성 보호막(81)은 예컨대 용사(溶射)에 의해 형성되어도 좋다. 이로써, 다수의 기공을 가져서 통기성을 갖는 막을 바람직하게 형성할 수 있다. 또, 플레이트 본체(75)의 다공질재로서 다공질 알루미늄을 사용한 경우에는, 통기성 보호막(81)은 표면을 알루마이트 처리(산화 처리)함으로써 형성해도 좋다.
비통기성 보호재(82)의 재질로서는, 내열성을 갖는 것, 예컨대 세라믹 등을 사용해도 좋다. 이로써, 고온하에 있어서도, 플레이트 본체(75)의 다공질재를 확실히 보호할 수 있다. 또, 비통기성 보호재(82)의 재질은 단열성이 비교적 높은 것을 사용하면 좋다. 그렇게 하면, 플레이트 본체(75)중의 열이 플레이트 본체(75)의 상면이나 외측면으로부터 빠져나가는 것을 방지할 수 있어, 플레이트 본체(75) 하면의 통기성 보호막(81)으로부터 집중적으로 열이 방사하게 된다. 따라서, 기판(G)의 가열 효율의 향상을 도모할 수 있다.
도 3에 도시하는 바와 같이, 하면 가열용 플레이트(72)는, 두께를 갖는 대략 직사각형 판형상을 이루고, 챔버(61)의 저면을 따라 대략 수평으로 구비되어 있고, 유지 부재(70)에 지지된 기판(G)의 하면(예를 들면, 디바이스가 형성되지 않는 이면)측에 배치된다. 전술한 유지 부재(70)는 하면 가열용 플레이트(72)에 형성된 복수의 구멍(85) 내에 각각 배치되어 있다. 하면 가열용 플레이트(72)는 유지 부재(70)에 의해 유지된 기판(G)의 하면에 대하여 대략 평행한 자세로 대향한다. 또, 하면 가열용 플레이트(72)의 상면의 면적은 기판(G)의 하면의 면적과 거의 동일하거나, 또는 기판(G)의 하면의 면적보다 크게 되어 있어, 기판(G)의 하면 전체를 덮도록 하여 가열할 수 있다.
하면 가열용 플레이트(72)의 내부에는, 예를 들면 시스 히터 등의 발열체(86)가 내장되어 있다. 발열체(86)는 챔버(61)의 외부에 설치된 교류 전원(87)에 접속되어 있다. 즉, 교류 전원(87)으로부터 공급되는 전력에 의해 발열체(86)의 저항열이 발생해서, 발열체(86)로부터의 열전도에 의해 하면 가열용 플레이트(72)가 승온되게 되어 있다.
또, 하면 가열용 플레이트(72)는 승강 가능하게 되어 있다. 예를 들면 도 3에 도시하는 바와 같이, 챔버(61)의 하측으로 승강 기구로서의 실린더(91)가 설정치되어 있고, 실린더(91)에 접속된 로드(92)가 챔버(61)의 바닥부를 상하로 관통하도록 설치되어 있다. 하면 가열용 플레이트(72)는 로드(92)의 상단부에 장착되어 있다. 그리고, 실린더(91)의 구동에 의해, 로드(92)가 Z축 방향으로 승강함으로써, 하면 가열용 플레이트(72)가 로드(92)와 일체적으로 각 구멍(85)을 각각 유지 부재(70)를 따라 이동시키면서 승강하게 되어 있다.
또, 하면 가열용 플레이트(72)의 상면에는, 가열시에 기판(G)을 지지하기 위한 복수의 지지 부재(93)가 설치되어 있다. 하면 가열용 플레이트(72)를 대기 위치(P1)로 하강시켰을 때, 지지 부재(93)는 유지 부재(70)의 상단부보다 하방에 위치한다. 그 때문에, 유지 부재(70)에 기판(G)이 유지되어 있어도, 지지 부재(93)는 기판(G)에 접촉하지 않게 되어 있다. 한편, 하면 가열용 플레이트(72)를 대기 위치(P1)로부터 상승시킴으로써, 유지 부재(70)에 유지된 기판(G)을 지지 부재(93)에 의해 들어올려, 전술한 상면 가열용 플레이트(71)에 근접시킬 수 있다. 즉, 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)는 양자 사이에 수납된 기판(G)에 대하여 각각 상대적으로 접근 및 이격 가능한 구성으로 되어 있다. 이렇게, 하면 가열용 플레이트(72)를 승강시킨 구성으로 하면, 기판(G)을 유지 부재(70)에 주고받을 때 하면 가열용 플레이트(72)를 대기 위치(P1)로 하강시키는 것에 의해, 여유를 갖고서 주고받기를 행할 수 있고, 기판(G)의 가열시에는 가열 처리 위치(P2)로 상승시키는 것에 의해, 기판(G)을 효율적으로 가열할 수 있다.
또, 챔버(61)에는, 로드록실(62)내에, 예를 들면 N2(질소) 가스나 He(헬륨) 가스 등의 불활성 가스를 공급하는 가스 공급로(94), 및 로드록실(62)내를 강제 배기하는 배기로(95)가 접속되어 있다. 즉, 가스 공급로(94)로부터의 가스 공급과 배기로(95)에 의한 강제 배기에 의해, 로드록실(62)내의 압력을 조절할 수 있다.
다음에, 전술한 로드록 장치(22)의 구성에 대해서 상세하게 설명한다. 도 3에 도시하는 바와 같이, 로드록 장치(22)는 밀폐 구조의 챔버(101)를 구비하고 있다. 도시의 예에서는, 챔버(101)는 하단의 로드록 장치(21)의 챔버(61)의 상면에 탑재되어 있다. 챔버(101)의 내부는 기판(G)을 수납하는 로드록실(102)로 되어 있다.
챔버(101)의 처리부(3)측, 즉 Y축 방향에 있어서 후방측에는, 로드록실(102)에 기판(G)을 반입하기 위한 반입구(103)가 설치되어 있다. 반입구(103)에는, 전술한 게이트 밸브(27)가 설치되어 있어, 게이트 밸브(27)에 의해 기밀하게 폐색 가능하게 되어 있다. 챔버(101)의 반출입부(2)측, 즉 Y축 방향에 있어서 전방측에는, 로드록실(102)로부터 기판(G)을 반출하기 위한 반출구(104)가 설치되어 있다. 반출구(104)에는, 전술한 게이트 밸브(28)가 설치되어 있어, 게이트 밸브(28)에 의해 기밀하게 폐색 가능하게 되어 있다.
로드록실(102)내에는, 기판(G)을 유지하기 위한 복수의 지지 부재(110)가 구비되어 있다. 각 지지 부재(110)는 대략 막대 형상을 하고, 챔버(101)의 바닥부로부터 상방으로 돌출하도록 설치되어 있고, 각 지지 부재(110)의 상단부에 기판(G)의 하면을 탑재하는 것에 의해, 기판(G)을 대략 수평으로 유지하게 되어 있다.
또, 로드록실(102)내에는, 기판(G)을 냉각하는 제 1 냉각용 플레이트로서의 상면 냉각용 플레이트(111), 및 제 2 냉각용 플레이트로서의 하면 냉각용 플레이트(112)가 구비되어 있다.
도 5에 도시하는 바와 같이, 상면 냉각용 플레이트(111)는 지지 부재(110)에 지지된 기판(G)의 상면(예를 들면, 디바이스가 형성되는 표면)측에 배치되어 있다. 상면 냉각용 플레이트(111)는, 다공질재로 이루어져 통기성을 갖는 플레이트 본체(115)와, 플레이트 본체(115) 중에 통과시키는 냉각용 가스를 공급하는 냉각용 가스 공급로(116)를 구비하고 있다.
플레이트 본체(115)는, 두께를 갖는 대략 직사각형 판형상으로 형성되어 있고, 챔버(101)의 천장을 따라 대략 수평으로 구비되어 있다. 또, 지지 부재(110)에 지지된 기판(G)의 상면에 대하여 대략 평행한 자세로 대향하도록 되어 있다. 플레이트 본체(115)의 하면의 면적은 기판(G)의 상면의 면적과 거의 동일하거나 또는 기판(G)의 상면의 면적보다 크게 되어 있어, 기판(G)의 상면 전체를 덮도록 해서 가열할 수 있게 되어 있다. 또, 플레이트 본체(115)는 상하로 2분할되어 있고, 상부판(115a)과 하부판(115b) 사이에는, 대략 균일한 폭의 대략 수평방향으로 넓어지는 간극(115c)이 형성된 구조로 되어 있다. 간극(115c)에 대향하는 하부판(115b)의 상면에는, 아래로 향해서 오목형으로 우묵하게 들어간 복수의 홈(115d)이 마련되어 있다. 홈(115d)은, 예컨대 X축 방향으로 연장 설치되고, Y축 방향에 있어서 소정 간격을 두고 하부판(115b)의 상면 전체에 걸쳐서 마련되어 있다. 또, 간극(115c)에는, 챔버(101)의 외부에서 냉각된 냉각용 가스를 공급하는 공급관(117)이 접속되어 있다. 공급관(117)은, 예를 들면 후술하는 상면 냉각용 플레이트(111)를 지지하는 로드(126) 및 상부판(115a)을 관통하도록 설치되고, 상부판(115a)의 하면에 있어서 간극(115c)을 향해서 개구되어 있다. 냉각용 가스로서는, 예를 들면 N2(질소) 가스나 He(헬륨) 가스 등의 불활성 가스를 사용하는 것이 바람직하다. 본 실시형태에 있어서, 냉각용 가스 공급로(116)는 공급관(117)의 내부 유로, 간극(115c), 홈(115d)에 의해 구성되어 있다.
지지 부재(110)에 지지된 기판(G)의 상면에 대향하는 플레이트 본체(115)의 하면[즉, 하부판(115b)의 하면]은 통기성을 갖는 보호막(121)에 의해 덮여 있다. 통기성 보호막(121)은 대략 균일한 두께로 플레이트 본체(115)의 하면 전체에 형성되어 있다. 또, 플레이트 본체(115)의 상면[즉, 상부판(115a)의 상면]은 비통기성의 박판 형상의 보호재(122)에 의해 덮여 있다. 플레이트 본체(115)의 외측면도, 상부판(115a)과 하부판(115b)에 걸쳐서, 박판 형상의 비통기성 보호재(122)에 의해 덮여 있다. 간극(115c)의 주연부도 비통기성 보호재(122)에 의해 덮여서 폐색되어 있다. 플레이트 본체(115)는 비통기성 보호재(122)를 거쳐서 챔버(101)에 고정되어 있다. 이렇게 통기성 보호막(121)이나 비통기성 보호재(122)에 의해 플레이트 본체(115)의 다공질재의 외면을 피복함으로써, 다공질재를 적합하게 보호하여, 다공질재의 손상을 방지할 수 있다. 또, 비통기성 보호재(122)는 플레이트 본체(115)의 하면 및 통기성 보호막(121)보다도 하방까지 연장되도록 설치하여도 좋다. 그렇게 하면, 기판(G)을 냉각할 때, 기판(G)의 주연부보다 외측을 비통기성 보호재(122)에 의해 둘러쌀 수 있어, 후술하는 바와 같이 플레이트 본체(115)의 하면으로부터 공급된 냉각용 가스가 비통기성 보호재(122)의 외측으로 빠져나가는 것을 억제해서, 기판(G)의 상면에 집중해서 향하도록 할 수 있다. 따라서, 냉각용 가스에 의한 냉각 효율을 향상시킬 수 있다.
이러한 플레이트 본체(115)를 구성하는 다공질재는, 기질재 중에 서로 연통한 다수의 미세 구멍이 형성된 구조로 되어 있어, 미세 구멍끼리의 사이에서 유체가 유통가능하다. 그 때문에, 냉각용 가스 공급로(116)에 냉각용 가스를 공급하면, 플레이트 본체(115) 중에 냉각용 가스를 침투시킬 수 있다. 플레이트 본체(115)의 상면 및 외측면은 비통기성 보호재(122)에 의해 덮여 있으므로, 공급관(117)으로부터 간극(115c)에 도입된 냉각용 가스는 플레이트 본체(115)의 하면을 향해, 통기성 보호막(121)을 통과해서, 하방으로 분출할 수 있도록 되어 있다. 이렇게 플레이트 본체(115)의 하면으로부터 냉각용 가스를 분출하는 것에 의해, 또 통과하는 냉각용 가스에 의해 냉각된 플레이트 본체(115)의 냉열에 의해, 기판(G)이 냉각되게 되어 있다. 또, 하부판(115b)에 복수의 홈(115d)이 형성되어 있는 것에 의해, 간극(115c)내의 냉각용 가스가 홈(115d)으로부터 하부판(115b)중의 미세 구멍에 유입하기 쉬운 구조로 되어 있다.
상기 플레이트 본체(115)를 구성하는 다공질재로서는, 비교적 열전도성, 열방사율이 양호한 재질, 예를 들면 다공질 알루미늄 합금 등의 다공질 금속을 이용하여도 좋다. 또, 다공질 니켈 합금, 다공질 카본 등을 이용하여도 좋다. 이러한 열전도성이 양호한 다공질재를 사용함으로써, 플레이트 본체(115)를 효율적으로 냉각할 수 있어, 냉각용 가스에 의해서 용이하게 냉각할 수 있다. 또, 플레이트 본체(115)에 있어서의 온도 분포의 균일성을 양호하게 할 수 있으므로, 플레이트 본체(115)의 하면에서 냉열이 균등하게 공급되어, 기판(G)의 냉각 불균일도 방지할 수 있다.
통기성 보호막(121)의 재질로서는, 열방사율이 높은 것, 또, 플레이트 본체(75)의 다공질재와 열팽창율이 유사한 것을 사용하는 것이 바람직하고, 세라믹, 예를 들면 알루미나(Al2O3) 등을 사용해도 좋다. 이로써, 플레이트 본체(75)의 다공질재를 확실히 보호할 수 있다. 또, 통기성 보호막(121)은, 예를 들면 용사에 의해 형성하여도 좋다. 이로써, 다수의 기공을 가져서 통기성을 갖는 막을 적합하게 형성할 수 있다. 또, 플레이트 본체(115)의 다공질재로서 다공질 알루미늄 합금을 사용하는 경우, 통기성 보호막(121)은 표면을 알루마이트 처리(산화 처리)함으로써 형성해도 좋다.
비통기성 보호재(122)의 재질로서는, 예컨대 세라믹 등을 사용해도 좋다. 이로써, 플레이트 본체(115)의 다공질재를 확실히 보호할 수 있다. 또, 비통기성 보호재(122)의 재질은, 단열성이 비교적 높은 것을 사용하면 좋다. 그렇게 하면, 플레이트 본체(115)중의 냉열이 플레이트 본체(75)의 상면이나 외측면으로부터 빠져나가는 것을 방지할 수 있어, 플레이트 본체(115) 하면의 통기성 보호막(121)이 집중적으로 냉각되게 된다. 따라서, 기판(G)의 냉각 효율의 향상을 도모할 수 있다.
또, 상면 냉각용 플레이트(111)는 승강 가능하게 구성되어 있어, 지지 부재(110)에 지지된 기판(G)에 대하여 근접 및 이격할 수 있다. 예를 들면 도 3에 도시하는 바와 같이, 챔버(101)의 상방에 승강 기구로서의 실린더(125)가 설치되어 있고, 실린더(125)에 접속된 로드(126)가 챔버(101)의 천장을 상하로 관통하도록 설치되어 있다. 상면 냉각용 플레이트(111)는 로드(126)의 하단부에 장착되어 있다. 그리고, 실린더(125)의 구동에 의해, 로드(126)가 Z축 방향으로 승강함으로써, 상면 냉각용 플레이트(111)가 로드(126)와 일체적으로 승강하게 되어 있다. 상면 냉각용 플레이트(111)는, 예를 들면 지지 부재(110)에 지지된 기판(G)으로부터 이격하는 상방의 대기 위치(P3)와, 기판(G)에 근접하는 하방의 냉각 처리 위치(P4)로 이동한다. 이렇게, 상면 냉각용 플레이트(111)를 승강시키는 구성에 의하면, 기판(G)을 지지 부재(110)에 주고받을 때에 상면 냉각용 플레이트(111)를 대기 위치(P3)로 상승시키는 것에 의해, 여유를 갖고서 주고받기를 행할 수 있고, 기판(G)의 냉각시에는 냉각 처리 위치(P4)로 하강시키는 것에 의해, 기판(G)을 효율적으로 냉각할 수 있다. 또, 상면 냉각용 플레이트(111)는 다공질재가 사용되고 있으므로, 경량이며, 적은 구동력으로 용이하게 승강시킬 수 있다.
하면 냉각용 플레이트(112)는, 두께를 갖는 대략 직사각형 판형상을 이루고, 챔버(61)의 저면을 따라 대략 수평으로 구비되어 있고, 지지 부재(110)에 지지된 기판(G)의 하면(예컨대, 디바이스가 형성되지 않는 이면)측에 배치되고, 챔버(101)에 대하여 고정되어 있다. 전술한 지지 부재(110)는 하면 냉각용 플레이트(112)에 형성된 복수의 구멍(128)내에 각각 배치되어 있다. 하면 냉각용 플레이트(112)는 지지 부재(110)에 의해 지지된 기판(G)의 하면에 대하여 대략 평행한 자세로 대향한다. 하면 냉각용 플레이트(112)의 상면의 면적은 기판(G)의 하면의 면적과 거의 동일하거나 또는 기판(G)의 하면의 면적보다 크게 되어 있어, 기판(G)의 하면전체를 덮도록 해서 냉각할 수 있다.
하면 냉각용 플레이트(112)의 내부에는, 냉각수를 통과시키는 냉각수 송수로(130)가 내장되어 있다. 냉각수 송수로(130)는 챔버(101)의 외부에 설치된 도시하지 않는 냉각수 공급원에 접속되어 있다. 냉각수는, 냉각수 공급원으로부터 공급되어, 하면 냉각용 플레이트(112) 내의 냉각수 송수로(130)를 순환해서, 하면 냉각용 플레이트(112)를 냉각한 후, 하면 냉각용 플레이트(112)의 외부로 회수되게 되어 있다.
또, 챔버(101)에는, 로드록실(102)내에 예를 들면 N2(질소) 가스나 He(헬륨) 가스 등의 불활성 가스를 공급하는 가스 공급로(131) 및 로드록실(102)내를 강제 배기하는 배기로(132)가 접속되어 있다. 즉, 가스 공급로(131)로부터의 가스 공급과 배기로(132)에 의한 강제 배기에 의해, 로드록실(102)내의 압력을 조절할 수 있다.
다음에, 이상과 같이 구성된 처리 시스템(1)에 있어서의 기판(G)의 처리 공정에 대해서 설명한다. 우선, 복수매의 기판(G)이 수납된 캐리어(C)가 개구(16)를 반송 장치(12)측을 향한 상태로 탑재대(11)상에 탑재된다. 그리고, 반송 장치(12)의 반송 아암(15)이 개구(16)에 진입되어, 한 장의 기판(G)이 취출된다. 기판(G)을 유지한 반송 아암(15)은 하단에 배치된 로드록 장치(21)의 게이트 밸브(25)의 전방에 대향하는 위치로 이동된다.
한편, 로드록 장치(21)에서는, 게이트 밸브(25, 26)에 의해 반입구(63), 반출구(64)가 각각 폐색되어 있어, 로드록실(62)이 밀폐되어 있다. 로드록 장치(22)에서는, 게이트 밸브(27, 28)에 의해 반입구(103), 반출구(104)가 폐색되어 있어, 로드록실(102)이 밀폐되어 있다. 따라서, 반출입부(2)의 분위기와 처리부(3)의 반송실(33)내의 분위기는 로드록 장치(21, 22)를 거쳐서 서로 차단된 상태가 되어 있다. 반출입부(2)는, 예를 들면 대기압으로 되어 있는 것에 대해서, 반송실(33)내는 진공으로 되어 있다.
로드록 장치(21)에 있어서는, 우선, 로드록 장치(21)내를 소정의 압력, 즉 반출입부(2)와 대략 동일한 대략 대기압으로 한 상태에서, 반출구(64)를 게이트 밸브(26)에 의해 폐쇄한 채, 게이트 밸브(25)를 개방 상태로 해서, 반입구(63)를 개구시킨다. 반입구(63)를 개구시키고 있는 동안에도, 반출구(64)를 게이트 밸브(26)에 의해 폐색함으로써, 반송실(33)내의 진공 상태를 유지할 수 있다. 또, 하면 가열용 플레이트(72)는 대기 위치(P1)로 하강시켜 둔다. 이 상태에서 기판(G)을 유지한 반송 아암(15)을 반입구(63)를 거쳐서 로드록실(62)내에 진입시켜, 반송 아암(15)으로부터 유지 부재(70)상에 기판(G)을 주고받는다.
이렇게 하여 기판(G)이 반입구(63)를 통해서 반입되고, 반송 아암(15)이 로드록실(62)로부터 퇴출하면, 게이트 밸브(25)를 폐쇄하여, 로드록실(62)을 밀폐 상태로 해서 로드록실(62)내를 배기로(95)에 의해 강제 배기함으로써, 로드록실(62) 내를 소정의 압력, 즉 반송실(33) 내부와 대략 동일한 압력의 진공 상태로 감압한다.
한편, 기판(G)은 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)에 의해 양면에서 가열된다. 우선, 하면 가열용 플레이트(72)가 대기 위치(P1)로부터 상승된다. 그러면, 하면 가열용 플레이트(72)가 상승하는 도중에, 기판(G)은 지지 부재(93)에 의해 유지 부재(70)로부터 들어올려져, 지지 부재(93)에 의해 지지된 상태가 된다. 기판(G)은, 각 지지 부재(93)의 상단부에 탑재되어 대략 수평으로 지지되고, 하면 가열용 플레이트(72)와 일체적으로 상승하여, 상면 가열용 플레이트(71)에 근접하게 된다. 이렇게 해서, 하면 가열용 플레이트(72)가 가열 처리 위치(P2)에 배치되어, 기판(G)의 상면 전체에 상면 가열용 플레이트(71)의 하면이 근접하게 되어서, 하면 전체에는 하면 가열용 플레이트(72)의 상면이 근접한 상태가 된다. 기판(G)의 하면과 하면 가열용 플레이트(72)의 상면 사이 및 기판(G)의 상면과 상면 가열용 플레이트(71)의 하면 사이에는, 각각 대략 균일한 폭의 간극이 형성된다. 또, 상면 가열용 플레이트(71)의 하면 주연부로부터 돌출하도록 설치된 비통기성 보호재(82)의 하부 가장자리부는, 도 4에 도시하는 바와 같이 하면 가열용 플레이트(72)의 상면 주연부에 근접하여, 기판(G)을 둘러싸도록 배치된다.
하면 가열용 플레이트(72)의 상면은 발열체(86)로부터의 열전도에 의해 균일하게 승온되고, 기판(G)의 하면은 하면 가열용 플레이트(72)의 상면으로부터의 복사열에 의해 균일하게 가열된다. 한편, 상면 가열용 플레이트(71)에 있어서는, 공급관(77)으로부터 간극(75c)에 가열용 가스가 공급된다. 간극(75c)에 도입된 가열용 가스는 하부판(75b)중의 미세 구멍에 유입된다. 그리고, 하부판(75b)내로 확산하면서 하면으로 향하고, 통기성 보호막(81)의 미세 구멍을 통과하여, 통기성 보호막(81)으로부터 하방으로 분출하여서, 기판(G)의 상면을 향해서 토출된다. 이렇게 하여, 기판(G)에 가열용 가스가 접촉함으로써, 기판(G)이 효율적으로 가열된다. 플레이트 본체(75)로부터 기판(G)의 상면에 공급된 가열용 가스는, 기판(G)의 상면을 따라 기판(G)의 주연부측으로 흘러, 비통기성 보호재(82) 하부 가장자리부와 하면 가열용 플레이트(72)의 상면 주연부 사이의 간극으로부터 외측으로 유출되어, 배기로(95)에 의해 배기된다.
또, 공급관(77)으로부터 간극(75c)에 도입된 가열용 가스는, 기판(G)의 면적보다 크게 넓어진 간극(75c) 전체에 확산되어, 간극(75c)으로부터 하부판(75b)의 상면 전체에 균등하게 침투한다. 간극(75c)으로부터 하부판(75b)에 침투할 때에는, 하부판(75b)에 형성된 복수의 홈(75d)으로부터 각각 균등하게 침입하기 쉽게 되어 있다. 따라서, 하부판(75b)의 전체에 균등하게 가열용 가스가 통과하고, 통기성 보호막(81)의 하면의 미세 구멍 전체로부터, 가열용 가스가 균등한 유량으로 분출되므로, 기판(G)의 상면 전체를 균등하게 가열할 수 있다. 또, 상술한 간극(75c) 내의 가열용 가스는 상부판(75a)에도 침투하고 확산하여, 플레이트 본체(75) 전체를 승온시킨다. 그리고, 기판(G)은 가열된 플레이트 본체(75)로부터의 복사열에 의해서도 가열된다. 상술한 바와 같이, 하부판(75b)에는 전체에 균등하게 가열용 가스가 통과함으로써, 하부판(75b) 전체가 가열용 가스에 의해서 균등하게 가열된다. 따라서, 플레이트 본체(75)의 하면으로부터 복사열이 균등하게 방사되어, 복사열에 의한 기판(G)의 가열도 균등하게 행할 수 있다. 또, 기판(G)의 주위에는 비통기성 보호재(82)의 하부 가장자리부가 배치되어 있으므로, 가열용 가스 및 복사열은 기판(G)의 상면을 향하여 집중적으로 공급된다. 따라서, 기판(G)을 더욱 효율적으로 가열할 수 있다. 또, 비통기성 보호재(82)의 하부 가장자리부에 의해, 기판(G)이 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72) 사이로부터 벗어나는 것을 방지할 수 있다.
이와 같이, 기판(G)을 양면으로부터 가열함으로써, 기판(G)을 균일하게 가열할 수 있고, 또 단시간에 효율적으로 가열할 수 있다. 또, 기판(G)의 한 면에만 가열용 플레이트를 근접시켜 한 면으로부터만 가열할 경우, 가열되는 쪽의 면과 그 반대측의 면 사이에서 온도차가 생겨, 열 응력의 영향에 의해 기판(G)이 뒤틀려버릴 우려가 있지만, 상기한 바와 같이, 기판(G)을 양면으로부터 가열함으로써, 기판(G)에 온도차가 생기는 것을 방지해서, 기판(G)이 뒤틀리는 것을 방지할 수 있다.
기판(G)의 가열이 종료하고, 로드록실(62)이 대략 진공 상태로 되면, 반입구(63)를 게이트 밸브(25)에 의해 폐쇄한 채, 게이트 밸브(26)을 개방 상태로 해서, 반출구(64)를 개구시킨다. 반출구(64)를 개구시키고 있는 동안에도, 반입구(63)를 게이트 밸브(25)에 의해서 폐색함으로써, 로드록실(62) 및 반송실(33)내의 진공 상태를 유지할 수 있다. 또, 하면 가열용 플레이트(72)는 하강시켜, 대기 위치(P1)로 복귀시킨다. 그러면, 하면 가열용 플레이트(72)가 하강하는 도중에, 기판(G)의 하면에 유지 부재(70)가 접촉해, 기판(G)이 지지 부재(93)로부터 유지 부재(70)에 주고받아져, 기판(G)이 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)로부터 이격한 상태가 된다. 이 상태에서, 제 2 반송 장치(31)의 반송 아암(51)을 반출구(64)를 거쳐서 로드록실(62)내에 진입시킨다. 그리고, 반송 아암(51)에 의해 유지 부재(70)로부터 기판(G)을 수취하여, 기판(G)을 유지한 반송 아암(51)을 로드록실(62)로부터 퇴출시킨다. 이렇게 해서, 기판(G)이 로드록실(62)로부터 반출구(64)을 통해서 반출되어, 처리부(3)의 반송실(33)에 반입된다.
반송실(33)에 반입된 기판(G)은 반송 아암(51)에 의해 반송실(33)로부터 기판 처리 장치(30A∼30E)중 어느 하나에 반입되어, 소정의 플라즈마 CVD 처리에 의한 성막이 행하여진다. 기판 처리 장치(30A∼30E)에 있어서는, 감압 분위기하에서 기판(G)이 가열되는 동시에, 처리실내에 반응 가스가 공급되어, 마이크로파의 에너지에 의해 반응 가스가 플라즈마화된다. 이로써, 기판(G)의 표면상에 소정의 박막이 형성된다. 여기서, 반입된 기판(G)은 로드록실(62)에 있어서 예비 가열되어 있으므로, 기판 처리 장치(30A∼30E)에 있어서의 기판(G)의 가열 시간을 짧게 할 수 있어, 효율적으로 처리할 수 있다.
기판 처리 장치(30A∼30E)에 있어서 기판(G)의 처리가 종료하면, 반송 아암(51)에 의해 기판 처리 장치(30A∼30E)로부터 기판(G)을 취출하여, 반송실(33)로 반출시킨다. 이때, 기판(G)은 고온 상태로 되어 있다.
한편, 로드록 장치(22)는 폐색 상태의 게이트 밸브(27, 28)에 의해서 반입구(103), 반출구(104)를 각각 기밀하게 밀봉하여, 로드록실(102)을 밀폐한 상태로 해 둔다. 또, 배기로(132)의 강제 배기에 의해, 로드록실(102) 내를 소정의 압력, 즉 반송실(33)과 대략 동일한 진공 상태로 감압시켜 놓는다. 이 상태에서, 반출구(104)를 게이트 밸브(28)에 의해 폐쇄한 채, 게이트 밸브(27)를 개방 상태로 하여, 반입구(103)를 개구시킨다. 반입구(103)를 개구시키고 있는 동안에도, 반출구(104)를 게이트 밸브(28)에 의해서 폐색함으로써, 로드록실(102) 및 반송실(33) 내의 진공 상태를 유지할 수 있다. 또, 상면 냉각용 플레이트(111)는 대기 위치(P3)에 유지시킨다. 그리고, 기판(G)을 유지한 반송 아암(51)을 반입구(103) 를 거쳐서 로드록실(102)내에 진입시켜, 기판(G)을 반송 아암(51)으로부터 지지 부재(110)상에 주고받는다.
기판(G)이 반입구(103)를 통하여 반입되어, 반송 아암(51)이 로드록실(102)로부터 퇴출하면, 게이트 밸브(27)를 폐쇄하여, 로드록실(102)을 밀폐 상태로 한다. 그리고, 로드록실(102)내에 가스 공급로(131)로부터 불활성 가스를 공급해서, 로드록 장치(21)내가 소정의 압력, 즉 반출입부(2)와 대략 동일한 대략 대기압으로 될 때까지 가압한다.
한편, 기판(G)은 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)에 의해 양면에서 냉각된다. 냉각시는, 상면 냉각용 플레이트(111)를 하강시켜서 냉각 처리 위치(P4)에 배치시킨다. 즉, 기판(G)의 상면 전체에 상면 냉각용 플레이트(111)의 하면을 근접시켜, 하면 전체에 하면 냉각용 플레이트(112)의 상면을 근접시킨 상태로 한다. 상면 냉각용 플레이트(111)와 기판(G) 사이, 하면 냉각용 플레이트(112)와 기판(G) 사이에는, 각각 대략 균일한 폭의 간극이 형성된다. 상면 냉각용 플레이트(111)의 하면 주연부로부터 돌출하도록 설치된 비통기성 보호재(122)의 하부 가장자리부는, 도 5에 도시하는 바와 같이 하면 냉각용 플레이트(112)의 상면 주연부에 근접해, 기판(G)을 둘러싸도록 배치된다.
하면 냉각용 플레이트(112)의 상면은 냉각수 송수로(130)를 통과하는 냉각수의 냉열에 의해 균일하게 냉각되고, 기판(G)의 하면은 하면 냉각용 플레이트(112)의 상면의 냉열에 의해 균일하게 냉각된다. 한편, 상면 냉각용 플레이트(111)에 있어서는, 공급관(117)으로부터 간극(115c)에 냉각용 가스가 공급된다. 간극(115c)에 도입된 냉각용 가스는 하부판(115b)중의 미세 구멍에 유입한다. 그리고, 하부판(115b)내로 확산하면서 하면을 향하고, 통기성 보호막(121)을 통과해서, 통기성 보호막(121)으로부터 하방으로 분출되어서, 기판(G)의 상면을 향하여 토출된다. 이렇게 해서, 기판(G)에 냉각용 가스가 접촉함으로써, 기판(G)이 효율적으로 냉각된다. 플레이트 본체(115)로부터 기판(G)의 상면에 공급된 냉각용 가스는, 기판(G)의 상면을 따라 기판(G)의 주연부측으로 흘러, 비통기성 보호재(122)의 하부 가장자리부와 하면 냉각용 플레이트(112)의 상면 주연부 사이의 간극으로부터 외측으로 유출되어 배기로(132)에 의해 배기된다.
또, 공급관(117)으로부터 간극(115c)에 도입된 냉각용 가스는 기판(G)의 면적보다 크게 넓어진 간극(115c) 전체에 확산되어, 간극(115c)으로부터 하부판(115b)의 상면 전체에 균등하게 침투한다. 간극(115c)으로부터 하부판(115b)에 침투할 때에는, 하부판(115b)에 형성된 복수의 홈(115d)으로부터 각각 균등하게 유입하기 쉽게 되어 있다. 따라서, 하부판(115b) 전체에 균등하게 냉각용 가스가 통과해, 통기성 보호막(121)의 하면의 미세 구멍 전체로부터, 냉각용 가스가 균등한 유량으로 분출되므로, 기판(G)의 상면 전체를 균등하게 냉각할 수 있다. 또, 상술한 간극(115c)내의 냉각용 가스는 상부판(115a)에도 침투, 확산하여, 플레이트 본체(115) 전체를 냉각시킨다. 기판(G)은 냉각된 플레이트 본체(115)의 냉열에 의해도 냉각된다. 상술한 바와 같이, 하부판(115b)에는 전체에 균등하게 냉각용 가스가 통과하므로써, 하부판(115b) 전체가 냉각용 가스에 의해 균등하게 냉각된다. 따라서, 플레이트 본체(115)의 냉열에 의한 기판(G)의 냉각도 균일하게 실행할 수 있다. 또, 기판(G)의 주위에는, 비통기성 보호재(122)의 하부 가장자리부가 배치되어 있으므로, 냉각용 가스 및 냉열은 기판(G)의 상면을 향해서 집중적으로 공급된다. 따라서, 기판(G)을 더욱 효율적으로 냉각할 수 있다. 또, 비통기성 보호재(122)의 하부 가장자리부에 의해, 기판(G)이 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112) 사이로부터 벗어나는 것을 방지할 수 있다.
이와 같이, 기판(G)을 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)에 의해 양면에서 냉각함으로써, 기판(G)을 균일하게 냉각할 수 있고, 또 단시간에 효율적으로 냉각할 수 있다. 또, 기판(G)의 한 면에만 냉각용 플레이트를 근접시켜 한 면으로부터만 냉각할 경우, 냉각되는 쪽의 면과 그 반대측의 면 사이에서 온도차가 생겨, 열 응력의 영향에 의해 기판(G)이 뒤틀려버릴 우려가 있지만, 상기한 바와 같이, 기판(G)을 양면으로부터 균등하게 냉각함으로써, 기판(G)에 온도차가 생기는 것을 방지해서, 기판(G)이 뒤틀리는 것을 방지할 수 있다.
기판(G)의 냉각이 종료하고, 로드록실(102)이 대략 대기압 상태로 되면, 반입구(103)를 게이트 밸브(27)에 의해 폐쇄한 채, 게이트 밸브(28)를 개방 상태로 해서, 반출구(104)를 개구시킨다. 반출구(104)를 개구시키고 있는 동안에도, 반입구(103)를 게이트 밸브(27)에 의해 폐색함으로써, 반송실(33)내의 진공 상태를 유지할 수 있다. 상면 냉각용 플레이트(111)는 대기 위치(P3)로 복귀한다. 그리고, 반송 장치(12)의 반송 아암(15)을 반출구(104)를 거쳐서 로드록실(102)내에 진입시켜, 반송 아암(15)에 의해 지지 부재(110)로부터 기판(G)을 수취하여, 기판(G)을 유지한 반송 아암(15)을 로드록실(102)로부터 퇴출시킨다. 이렇게 해서, 기판(G)은, 반송 아암(15)에 의해 로드록실(102)로부터 반출구(104)를 통해서 반출입부(2)에 반출되어, 탑재대(11)상의 캐리어(C)에 복귀된다. 이상과 같이 해서, 처리 시스템(1)에 있어서의 일련의 처리 공정이 종료한다.
이러한 처리 시스템(1)에 의하면, 상면 가열용 플레이트(71)의 플레이트 본체(75)를 다공질재로 함으로써, 플레이트 본체(75)중의 미세 구멍에 가열용 가스를 통과시킬 수 있다. 플레이트 본체(75)의 표면으로부터 가열용 가스를 균일하게 분출시켜, 기판(G)의 표면 전체에 가열용 가스를 균등하게 분출할 수 있다. 그 때문에, 기판(G)을 가열용 가스에 의해 효율적으로 또한 균일하게 가열할 수 있어, 기판(G)의 온도를 바람직하게 조절할 수 있다. 기판(G)을 균일하게 예비 가열함으로써, 기판(G)의 뒤틀림 변형을 방지할 수 있고, 또 기판 처리 장치(30A∼30E)에 있어서의 처리 불균일을 방지할 수 있다. 상면 가열용 플레이트(71)에 경량의 다공질재를 사용하는 것에 의해, 장치의 경량화를 도모할 수 있다.
또, 상면 냉각용 플레이트(111)의 플레이트 본체(115)를 다공질재로 함으로써, 플레이트 본체(115)중의 미세 구멍에 냉각용 가스를 통과시킬 수 있다. 플레이트 본체(115)의 표면으로부터 냉각용 가스를 균일하게 분출시켜, 기판(G)의 표면 전체에 냉각용 가스를 균등하게 분출할 수 있다. 그 때문에, 기판(G)을 냉각용 가스에 의해 효율적으로 또한 균일하게 냉각할 수 있어, 기판(G)의 온도를 바람직하게 조절할 수 있다. 기판(G)을 균일하게 냉각함으로써, 기판(G)의 뒤틀림 변형을 방지할 수 있다. 상면 냉각용 플레이트(111)에 경량의 다공질재를 사용하는 것에 의해, 장치의 경량화를 도모할 수 있다.
이상, 본 발명의 바람직한 실시형태에 대해서 설명했지만, 본 발명은 이러한 예에 한정되지 않는다. 당업자라면, 특허청구의 범위에 기재된 기술적 사상의 범위 내에 있어서, 각종의 변경예 또는 수정예에 이를 수 있는 것은 명확해서, 그것들에 관해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.
이상의 실시형태에서는, 가열용의 로드록 장치(21)을 1대 설치하는 것으로 했지만, 이러한 로드록 장치(21)는 2대 이상 설치해도 좋다. 또, 냉각용의 로드록 장치(22)를 1대 설치하는 것으로 했지만, 이러한 로드록 장치(22)는 2대 이상 설치해도 좋다. 또, 가열용의 로드록 장치(21)와 냉각용의 로드록 장치(22)는 상하로 겹쳐 쌓는 것에 한정되지 않고, 예컨대, 가로로 일렬로 세워서 설치하도록 해도 무방하고, 이격한 위치에 설치해도 무방하다.
이상의 실시형태에서 도시한 로드록 장치(21)에 있어서, 상면 가열용 플레이트(71)에서는 공급관(77)으로부터 플레이트 본체(75)의 내부에 설치한 간극(75c)에 가열용 가스가 공급되는 구성으로 했지만, 가열용 가스 공급로(76)의 형태는, 이러한 것에 한정되지 않는다. 예를 들면 도 6에 도시하는 바와 같이, 플레이트 본체(75)의 상면과 비통기성 보호재(82) 사이에, 간극(140)을 마련하여, 공급관(77)으로부터 간극(140)에 가열용 가스를 공급하여서, 플레이트 본체(75) 전체에 있어서 가열용 가스가 하방으로 흐르도록 해도 좋다.
이상의 실시형태에서는, 플레이트 본체(75)와 기판(G)이 가열용 가스에 의해 가열되는 구성으로 했지만, 도 7에 도시하는 바와 같이, 플레이트 본체(75)내에 예를 들면 시스 히터 등의 발열체를 구비하여, 플레이트 본체(75) 및 플레이트 본체(75)중을 통과하는 가스를 발열체에 의해 가열할 수 있는 구성으로 하여도 좋다. 도 7에 도시하는 예에서는, 발열체(150)는 가는 선형상으로 형성되어 있고, 플레이트 본체(75)의 복수의 홈(75d)내를 따라 설치되어 있다. 발열체(150)에는, 챔버(61)의 외부에 설치된 교류 전원(151)이 접속되어 있어, 교류 전원(151)으로부터 공급되는 전력에 의해 저항 열이 발생하게 되어 있다. 또, 공급관(77)으로부터는, 예를 들면 N2 가스나 He 가스 등의 불활성 가스가 공급되게 되어 있다. 즉, 공급관(77), 간극(75c)으로 이루어지는 가스 공급로(152)가 구성되어 있다.
이러한 구성에 있어서, 플레이트 본체(75)는 발열체(150)로부터 전도하는 열에 의해 가열된다. 가스 공급로(152)로부터 공급된 가스는, 플레이트 본체(75)의 하부판(75b)에 유입될 때에, 발열체(150)에 의해 가열되고, 또 플레이트 본체(75)를 통과하는 동안에 플레이트 본체(75)의 열에 의해 가열된다. 이렇게 해서, 발열체(150) 및 플레이트 본체(75)에 의해 가열된 가스가 플레이트 본체(75)의 표면으로부터 분출하여, 기판(G)의 표면 또는 이면에 공급되게 되어 있다. 따라서, 가스를 미리 가열하지 않아도, 발열체(150)와 플레이트 본체(75)에 의해 충분히 가열할 수 있어, 충분히 가열된 가스를 기판(G)에 분출할 수 있다. 또, 가스가 플레이트 본체(75)를 통과할 때에, 발열체(150)의 열이 가스에 의해 플레이트 본체(75) 중에 운반되므로, 가스의 흐름에 의해 플레이트 본체(75)의 가열이 촉진된다. 가스가 플레이트 본체(75) 중에 균일하게 흐르는 것에 의해, 플레이트 본체(75)의 온도 분포의 균일성이 양호해진다. 따라서, 플레이트 본체(75)의 하면이 효율적으로 또한 균일하게 가열되어, 플레이트 본체(75)의 하면으로부터의 복사열이 균일하게 방사된다. 따라서, 기판(G)을 효율적으로 또한 균일하게 가열할 수 있다.
또, 이상의 실시형태에서는, 하면 가열용 플레이트(72)를 승강 가능하게 하고, 또 하면 가열용 플레이트(72)상의 지지 부재(93)에 의해 유지 부재(70)로부터 기판(G)을 수취하는 구성으로 했지만, 기판(G)을 수취하지 않고, 유지 부재(70)(이 경우는, 가열시에 기판을 지지하는 지지 부재로서 기능)에 지지된 기판(G)에 단지 근접하는 구성으로 하여도 좋다. 또, 상면 가열용 플레이트(71)를 승강 가능하게 하고, 상면 가열용 플레이트(71) 자체의 승강 이동에 의해, 상면 가열용 플레이트(71)를 기판(G)에 근접 및 이격시키는 것이 가능한 구성으로 하여도 좋다. 상면 가열용 플레이트(71)는 다공질재가 사용되고 있으므로, 경량이며, 적은 구동력으로 용이하게 승강시킬 수 있다. 또, 이상의 실시형태에서는, 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)를 각각 기판(G)에 대하여 간극을 두고 근접시킨 상태에서 가열을 실행하는 것으로 했지만, 상면 가열용 플레이트(71) 또는 하면 가열용 플레이트(72)를 기판(G)에 접촉시킨 상태에서 가열하도록 해도 좋다.
이상의 실시형태에서는, 상면 가열용 플레이트(71)를 다공질재로 이루어지는 플레이트 본체(75) 및 가열용 가스를 분출하는 구성을 구비하는 것으로 했지만, 상면 가열용 플레이트(71) 대신에 하면 가열용 플레이트(72)를 다공질재로 이루어지는 플레이트 본체 및 가열용 가스를 분출하는 구성을 구비하는 것으로 하여도 좋다. 그렇게 하면, 기판(G)의 이면에 가열용 가스를 균일하게 분출하여서 기판(G)의 이면을 효율적으로 또한 균일하게 가열할 수 있다. 또, 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)의 양쪽을 모두 다공질재로 이루어지는 플레이트 본체 및 가열용 가스를 분출하는 구성을 구비하는 것으로 하여도 좋다.
또, 상면 가열용 플레이트(71) 또는 하면 가열용 플레이트(72)의 표면에 정전 흡착용 전극을 구비해, 기판(G)을 정전 흡착시키도록 해도 좋다. 도 8은, 가열용 가스를 분출하는 구성을 구비한 하면 가열용 플레이트에 있어서, 정전 흡착용 전극을 구비한 경우의 일례를 도시하고 있다. 도 8에 있어서, 하면 가열용 플레이트(160)는, 다공질재로 이루어져 통기성을 갖는 플레이트 본체(161)와, 플레이트 본체(161)에 가열용 가스를 공급하는 가열용 가스 공급로(162)를 구비하고 있다. 플레이트 본체(161)는, 플레이트 본체(75)와 같이, 상부판(161a)과 하부판(161b) 사이에 대략 균일한 폭의 대략 수평방향으로 넓어지는 간극(161c)이 형성된 구조로 되어 있다. 상부판(161a)의 하면에는, 위로 향해서 오목형으로 우묵하게 들어간 복수의 홈(161d)이 마련되어 있다. 가열용 가스 공급로(162)는 간극(161c)에 접속된 공급관(163)의 내부 유로, 간극(161c), 홈(161d)에 의해 구성되어 있다. 플레이트 본체(161)의 상면에는, 통기성 보호막(171)이 형성되고, 플레이트 본체(161)의 하면 및 외측면은 비통기성 보호재(172)에 의해 덮여 있다. 또, 통기성 보호막(171)의 내부에, 박층형상의 도체로 이루어지는 정전 흡착용 전극(173)이 내장되어 있다. 정전 흡착용 전극(173)은 통기성을 갖고, 또 통기성 보호막(171)에 의해 전체가 덮여서 보호되어 있다. 이 경우에 있어서의 통기성 보호막(171)의 재질로서는, 절연성을 갖는 재질, 예를 들면 알루미나 등의 세라믹 등이 사용된다. 또, 정전 흡착용 전극(173)은 챔버(61)의 외부에 설치된 직류 전원(175)에 접속되어 있다. 또, 정전 흡착용 전극(173)은 용사 등에 의해 형성해도 좋다. 예를 들면 플레이트 본체(161)의 표면에, 통기성 보호막(171), 정전 흡착용 전극(173), 통기성 보호막(171)의 순서로 용사를 실행해, 층상으로 형성하면 좋다. 또, 유지 부재(70)는 하면 가열용 플레이트(160)를 상하로 관통하도록 형성된 복수의 구멍(176)내에 각각 배치되어 있고, 하면 가열용 플레이트(160)는 각 구멍(176)을 유지 부재(70)를 따라 이동시키면서 승강할 수 있는 구성으로 되어 있다.
이러한 구성에 있어서, 기판(G)은, 하면 가열용 플레이트(160)의 상면에 있어서 통기성 보호막(171)의 표면에 발생하는 정전기력에 의해, 통기성 보호막(171)의 표면에 흡착된다. 따라서, 기판(G)은 하면 가열용 플레이트(160)에 밀착한 상태에서 확실히 유지된다. 또, 기판(G)을 수취할 때에는, 우선 하면 가열용 플레이트(160)를 대기 위치로 하강시켜 두고, 유지 부재(70)에 기판을 주고받은 후, 하면 가열용 플레이트(160)를 상승시켜, 하면 가열용 플레이트(160)에 의해 기판(G)을 유지 부재(70)로부터 들어올려, 정전 흡착시키도록 하면 좋다. 한편, 가열용 가스는, 플레이트 본체(161), 통기성 보호막(171), 정전 흡착용 전극(173), 통기성 보호막(171)을 순차로 통과해서, 정전 흡착된 기판(G)의 하면 전체에 공급된다. 이로써, 기판(G)을 효율적으로 또한 균일하게 가열할 수 있다. 또, 이러한 가열용 플레이트(160)에 있어서도, 도 7에 도시한 상면 가열용 플레이트(71)와 같이, 플레이트 본체(161)내에 발열체를 설치해, 플레이트 본체(161) 및 플레이트 본체(161) 중을 통과하는 가스를 발열체에 의해 가열할 수 있는 구성으로 하여도 좋다.
또, 이상의 실시형태에서 나타낸 로드록 장치(22)에 있어서, 상면 냉각용 플레이트(111)에서는, 공급관(117)으로부터 플레이트 본체(115)의 내부에 설치한 간극(115c)에 냉각용 가스가 공급되는 구성으로 했지만, 냉각용 가스 공급로(116)의 형태는 이러한 것에 한정되지 않는다. 예를 들면 도 9에 도시하는 바와 같이, 플레이트 본체(115)의 상면과 비통기성 보호재(122) 사이에 간극(170)을 마련하고, 공급관(117)로부터 간극(170)에 냉각용 가스를 공급해, 플레이트 본체(115) 전체에 있어서 냉각용 가스가 하방으로 흐르도록 해도 좋다.
이상의 실시형태에서는, 상면 냉각용 플레이트(111)에는 미리 냉각된 냉각용 가스가 공급되어, 플레이트 본체(115)와 기판(G)이 냉각용 가스에 의해 냉각되는 구성으로 했지만, 도 10에 도시하는 바와 같이, 플레이트 본체(115)내에 예를 들면 냉각수를 송수하는 냉각수 송수로를 설치해, 플레이트 본체(115) 및 플레이트 본체(115)중을 통과하는 가스를 냉각수 송수로에 의해 냉각할 수 있는 구성으로 하여도 좋다. 도 10에 도시하는 예에서는, 냉각수 송수로(180)는 가는 관 형상으로 형성되어 있고, 플레이트 본체(115)의 복수의 홈(115d)내를 따라 설치되어 있다. 또, 냉각수 송수로(180)는 챔버(101)의 외부에 설치된 도시하지 않는 냉각수 공급원에 접속되어 있다. 한편, 공급관(117)으로부터는, 예를 들면 N2 가스나 He 가스 등의 불활성 가스가 공급되게 되어 있다. 즉, 공급관(117), 간극(115c)으로 이루어지는 가스 공급로(181)가 구성되어 있다.
이러한 구성에 있어서, 플레이트 본체(115)는 냉각수 송수로(180)내를 지나는 냉각수의 냉열에 의해 냉각된다. 가스 공급로(181)로부터 공급된 가스는, 플레이트 본체(115)의 하부판(115b)에 유입될 때에, 냉각수 송수로(180)에 의해 냉각되고, 또 플레이트 본체(115)를 통과할 때에, 플레이트 본체(115)의 냉열에 의해 냉각된다. 이렇게 하여, 냉각수 송수로(180) 및 플레이트 본체(115)에 의해 냉각된 가스가, 플레이트 본체(115)의 표면으로부터 분출하여, 기판(G)의 표면 또는 이면에 공급되게 되어 있다. 따라서, 가스를 미리 냉각하지 않아도, 냉각수 송수로(180)와 플레이트 본체(115)에 의해 충분히 냉각할 수 있어, 충분히 냉각된 가스를 기판(G)에 분출할 수 있다. 또, 가스가 플레이트 본체(115)를 통과할 때에, 냉각수 송수로(180)의 냉열이 가스에 의해 플레이트 본체(115) 중에 운반되므로, 가스의 흐름에 의해 플레이트 본체(115)의 냉각이 촉진된다. 가스가 플레이트 본체(115) 중에 균일하게 흐르는 것에 의해, 플레이트 본체(115)의 온도 분포의 균일성이 양호해진다. 따라서, 플레이트 본체(115)의 하면이 효율적으로 또한 균일하게 냉각되어, 플레이트 본체(115)의 하면으로부터 냉열이 균일하게 공급된다. 따라서, 기판(G)을 효율적으로 또한 균일하게 냉각할 수 있다.
또, 이상의 실시형태에서는, 상면 냉각용 플레이트(111)를 챔버(101)에 대하여 승강가능하게 하여, 기판(G)에 대하여 근접 및 이격시키는 것이 가능한 구성으로 하고, 하면 냉각용 플레이트(112)를 챔버(101)에 대하여 고정시킨 구성으로 했지만, 물론 하면 냉각용 플레이트(112)도 기판(G)에 대하여 근접 및 이격시키는 것이 가능한 구성으로서도 좋다. 또, 예를 들면 로드록 장치(21)에 있어서의 하면 가열용 플레이트(72)와 같이, 하면 냉각용 플레이트(112)의 상면에, 기판(G)을 지지하기 위한 지지 부재를 설치해, 기판(G)의 냉각시에 지지 부재(110)로부터 기판(G)을 수취하는 구성으로 하여도 좋다. 이 경우, 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)를, 양자간에 수납된 기판(G)에 대하여, 각각 상대적으로 근접 및 이격 가능한 구성으로 할 수 있다. 또, 이상의 실시형태에서는, 상면냉각용 플레이트(111)와 하면 냉각용 플레이트(112)를 각각 기판(G)에 대하여 간극을 두고 근접시킨 상태에서 냉각을 실행하는 것으로 했지만, 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)를 기판(G)에 접촉시킨 상태에서 냉각하도록 해도 좋다.
이상의 실시형태에서는, 상면 냉각용 플레이트(111)를 다공질재로 이루어지는 플레이트 본체(115) 및 냉각용 가스를 분출하는 구성을 구비하는 것으로 했지만, 상면 냉각용 플레이트(111) 대신에 하면 냉각용 플레이트(112)를, 다공질재로 이루어지는 플레이트 본체 및 냉각용 가스를 분출하는 구성을 구비하는 것으로 하여도 좋다. 그렇게 하면, 기판(G)의 이면 냉각용 가스를 균일하게 분출하여서, 기판(G)의 이면을 효율적으로 또한 균일하게 냉각할 수 있다. 또, 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)의 양쪽을, 모두 다공질재로 이루어지는 플레이트 본체 및 냉각용 가스를 분출하는 구성을 구비하는 것으로 하여도 좋다.
또, 상면 냉각용 플레이트(111) 또는 하면 냉각용 플레이트(112)의 표면에 정전 흡착용 전극을 구비하여, 기판(G)을 정전 흡착시키도록 하여도 무방하다. 도 11은, 냉각용 가스를 분출하는 구성을 구비한 하면 냉각용 플레이트에 있어서, 정전 흡착용 전극을 구비한 경우의 일례를 도시하고 있다. 도 11에 있어서, 하면 냉각용 플레이트(190)는, 다공질재로 이루어져 통기성을 갖는 플레이트 본체(191)와, 플레이트 본체(191)에 냉각용 가스를 공급하는 냉각용 가스 공급로(192)를 구비하고 있다. 플레이트 본체(191)는, 플레이트 본체(151)와 마찬가지로, 상부판(191a)과 하부판(191b) 사이에 대략 균일한 폭의 수평방향으로 넓어지는 간극(191c)이 형성된 구조로 되어 있다. 상부판(191a)의 하면에는, 상부를 향해서 오목한 형상으로 우묵하게 된 복수의 홈(191d)이 마련되어 있다. 냉각용 가스 공급로(192)는, 간극(161c)에 접속된 공급관(193)의 내부 공간, 간극(191c), 홈(191d)에 의해 구성되어 있다. 플레이트 본체(191)의 상면에는, 통기성 보호막(201)이 형성되고, 플레이트 본체(191)의 하면 및 외측면은 비통기성 보호재(202)에 의해 덮여 있다. 또, 통기성 보호막(201)의 내부에, 박층 형상의 도체로 이루어지는 정전 흡착용 전극(203)이 내장되어 있다. 정전 흡착용 전극(203)은 통기성을 갖고, 또 통기성 보호막(201)에 의해 전체가 피복되어, 보호되어 있다. 이 경우에 있어서의 통기성 보호막(201)의 재질로서는, 절연성을 갖는 재질, 예컨대 세라믹 등이 사용된다. 또, 정전 흡착용 전극(203)은, 챔버(61)의 외부에 설치된 직류 전원(205)에 접속되어 있다. 또, 정전 흡착용 전극(203)은 용사 등에 의해 형성해도 좋다. 예컨대, 플레이트 본체(191)의 표면에, 통기성 보호막(201), 정전 흡착용 전극(203), 통기성 보호막(201)의 순서로 용사를 실행해, 층상으로 형성하면 좋다. 이러한 구성에 있어서, 기판(G)은, 하면 냉각용 플레이트(190)의 상면에 있어서 통기성 보호막(201)의 표면에 발생하는 정전기력에 의해, 통기성 보호막(201)의 표면에 흡착된다. 따라서, 기판(G)은 하면 냉각용 플레이트(190)에 밀착한 상태로 확실하게 유지된다. 또, 냉각용 가스는, 플레이트 본체(191), 통기성 보호막(201), 정전 흡착용 전극(203), 통기성 보호막(201)을 순차로 통과해서, 기판(G)의 하면 전체에 공급된다. 이로써, 기판(G)을 효율적으로 또한 균일하게 냉각할 수 있다. 또, 이러한 하면 냉각용 플레이트(190)에 있어서도, 도 10에 도시한 상면 냉각용 플레이트(111)와 같이, 플레이트 본체(191)내에 예를 들면 냉각수를 송수하는 냉각수 송수로를 설치해, 플레이트 본체(191) 및 플레이트 본체(191)중을 통과하는 가스를 냉각수 송수로에 의해 냉각할 수 있는 구성으로 하여도 무방하다.
처리 시스템은, 복수의 기판 처리 장치를 구비한 멀티 챔버형의 것에 한정되지는 않는다. 또, 이상의 실시형태에서는, 처리부(3)에 있어서 플라즈마 CVD 처리를 실행하는 처리 시스템(1)에 대해서 설명했지만, 처리부에서 행하여지는 처리는 다른 처리이라도 무방하다. 본 발명은, 기타의 감압 분위기하에서 실행하는 처리, 예를 들면 열 CVD 처리, 에칭 처리, 애싱 처리 등을 처리부에 있어서 실행하는 처리 시스템에 적용할 수 있다. 또, 이상의 실시형태에서는, LCD용 기판(G)을 처리하는 경우에 대해서 설명했지만, 기판은 다른 것, 예컨대 반도체 웨이퍼 등이라도 무방하다.
본 발명은, 예를 들면 기판의 CVD 처리 등을 실행하는 처리 시스템에 구비되는 로드록 장치, 해당 처리 시스템에 있어서의 처리 방법에 적용할 수 있다.

Claims (34)

  1. 외부에 대하여 기판을 반출입시키는 반출입부측에 설치한 반입구와, 기판을 처리하는 처리부측에 설치한 반출구를 구비한 로드록 장치에 있어서,
    로드록 장치 내에 반입한 기판을 가열하는 가열용 플레이트를 구비하고,
    상기 가열용 플레이트는, 다공질재로 이루어지는 플레이트 본체와, 상기 플레이트 본체에 가열한 가열용 가스를 공급하는 가열용 가스 공급로를 구비하고,
    상기 가열용 가스는, 상기 플레이트 본체 중을 통과해서, 상기 플레이트 본체의 표면으로부터 분출하여 기판에 공급되며,
    상기 플레이트 본체의 표면에, 통기성을 갖는 보호막을 설치한 것을 특징으로 하는
    로드록 장치.
  2. 외부에 대하여 기판을 반출입시키는 반출입부측에 설치한 반입구와, 기판을 처리하는 처리부측에 설치한 반출구를 구비한 로드록 장치에 있어서,
    로드록 장치 내에 반입한 기판을 가열하는 가열용 플레이트를 구비하고,
    상기 가열용 플레이트는, 다공질재로 이루어지는 플레이트 본체와, 상기 플레이트 본체 내에 설치된 발열체와, 상기 플레이트 본체에 가스를 공급하는 가스 공급로를 구비하고,
    상기 가스는, 상기 발열체에 의해 가열된 상기 플레이트 본체 중을 통과할 때에 가열되어, 상기 플레이트 본체의 표면으로부터 분출하여 기판에 공급되며,
    상기 플레이트 본체의 표면에, 통기성을 갖는 보호막을 설치한 것을 특징으로 하는
    로드록 장치.
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 외부에 대하여 기판을 반출입시키는 반출입부측에 설치한 반출구와, 기판을 처리하는 처리부측에 설치한 반입구를 구비한 로드록 장치에 있어서,
    로드록 장치 내에 반입된 기판을 냉각하는 냉각용 플레이트를 구비하고,
    상기 냉각용 플레이트는, 다공질재로 이루어지는 플레이트 본체와, 상기 플레이트 본체에 냉각된 냉각용 가스를 공급하는 냉각용 가스 공급로를 구비하고,
    상기 냉각용 가스는, 상기 플레이트 본체 중을 통과해서, 상기 플레이트 본체의 표면으로부터 분출하여 기판에 공급되며,
    상기 플레이트 본체의 표면에, 통기성을 갖는 보호막을 설치한 것을 특징으로 하는
    로드록 장치.
  9. 외부에 대하여 기판을 반출입시키는 반출입부측에 설치한 반출구와, 기판을 처리하는 처리부측에 설치한 반입구를 구비한 로드록 장치에 있어서,
    로드록 장치 내에 반입된 기판을 냉각하는 냉각용 플레이트를 구비하고,
    상기 냉각용 플레이트는, 다공질재로 이루어지는 플레이트 본체와, 상기 플레이트 본체내에 설치된 냉각수 송수로와, 상기 플레이트 본체에 가스를 공급하는 가스 공급로를 구비하고,
    상기 가스는, 상기 냉각수 송수로에 의해 냉각된 플레이트 본체 중을 통과할 때에 냉각되어, 상기 플레이트 본체의 표면으로부터 분출하여 기판에 공급되며,
    상기 플레이트 본체의 표면에, 통기성을 갖는 보호막을 설치한 것을 특징으로 하는
    로드록 장치.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 반출입부로부터 로드록 장치를 거쳐서 처리부에 기판을 반입하고, 상기 처리부에서 기판을 처리하는 방법에 있어서,
    상기 로드록 장치의 처리부측에 설치한 반출구를 폐쇄한 채, 상기 로드록 장치의 반출입부측에 설치한 반입구를 개방하여, 상기 반입구를 통해서 로드록 장치 내에 기판을 반입하고,
    상기 반입구를 폐쇄하여, 다공질재로 이루어지고 통기성을 갖는 보호막을 표면에 마련한 플레이트 본체를 갖는 가열용 플레이트를 기판의 표면 또는 이면에 근접시키고, 가열한 가열용 가스를 상기 플레이트 본체에 통과시켜서, 상기 플레이트 본체로부터 기판에 공급하여 기판을 가열하고,
    상기 반입구를 폐쇄한 채 상기 반출구를 개방하여, 상기 반출구를 통해서 처리부에 기판을 반입하는 것을 특징으로 하는
    기판 처리 방법.
  18. 반출입부로부터 로드록 장치를 거쳐서 처리부에 기판을 반입하고, 상기 처리부에서 기판을 처리하는 방법에 있어서,
    상기 로드록 장치의 처리부측에 설치한 반출구를 폐쇄한 채, 상기 로드록 장치의 반출입부측에 설치한 반입구를 개방하여, 상기 반입구를 통해서 로드록 장치내에 기판을 반입하고,
    상기 반입구를 폐쇄하여, 다공질재로 이루어지고 통기성을 갖는 보호막을 표면에 마련한 플레이트 본체를 갖는 가열용 플레이트를 기판의 표면 또는 이면에 근접시키고, 상기 플레이트 본체의 내부에 설치된 발열체를 발열시키는 동시에, 상기 플레이트 본체에 가스를 통과시켜서, 상기 발열체에 의해 가열된 플레이트 본체에 의해 상기 가스를 가열하고, 상기 가열한 가스를 상기 플레이트 본체로부터 기판에 공급하여 기판을 가열하고,
    상기 반입구를 폐쇄한 채 상기 반출구를 개방하여, 상기 반출구를 통해서 처리부에 기판을 반입하는 것을 특징으로 하는
    기판 처리 방법.
  19. 삭제
  20. 처리부에서 기판을 처리하여, 상기 처리부로부터 로드록 장치를 거쳐서 반출입부에 기판을 반출하는 기판의 처리 방법에 있어서,
    상기 로드록 장치의 반출입부측에 설치한 반출구를 폐쇄한 채, 상기 로드록 장치의 처리부측에 설치한 반입구를 개방하여, 상기 반입구를 통해서 로드록 장치내에 기판을 반입하고,
    상기 반입구를 폐쇄하여, 다공질재로 이루어지고 통기성을 갖는 보호막을 표면에 마련한 플레이트 본체를 갖는 냉각용 플레이트를 기판의 표면 또는 이면에 근접시키고, 냉각한 냉각용 가스를 상기 플레이트 본체에 통과시켜서, 상기 플레이트 본체로부터 기판에 공급하여 기판을 냉각하고,
    상기 반입구를 폐쇄한 채 상기 반출구를 개방하여, 상기 반출구를 통해서 반출입부에 기판을 반출하는 것을 특징으로 하는
    기판 처리 방법.
  21. 처리부에서 기판을 처리하여, 상기 처리부로부터 로드록 장치를 거쳐서 반출입부에 기판을 반출하는 기판의 처리 방법에 있어서,
    상기 로드록 장치의 반출입부측에 설치한 반출구를 폐쇄한 채, 상기 로드록 장치의 처리부측에 설치한 반입구를 개방하여, 상기 반입구를 통해서 로드록 장치내에 기판을 반입하고,
    상기 반입구를 폐쇄하여, 다공질재로 이루어지고 통기성을 갖는 보호막을 표면에 마련한 플레이트 본체를 갖는 냉각용 플레이트를 기판의 표면 또는 이면에 근접시키고, 상기 플레이트 본체의 내부에 설치된 냉각수 송수로에 냉각수를 통과시키는 동시에, 상기 플레이트 본체에 가스를 통과시켜서, 상기 냉각수 송수로에 의해 냉각된 플레이트 본체에 의해 상기 가스를 냉각하고, 상기 냉각한 가스를 상기 플레이트 본체로부터 기판에 공급하여 기판을 냉각하고,
    상기 반입구를 폐쇄한 채 상기 반출구를 개방하여, 상기 반출구를 통해서 반출입부에 기판을 반출하는 것을 특징으로 하는
    기판 처리 방법.
  22. 삭제
  23. 제 1 항 또는 제 2 항에 있어서,
    상기 다공질재는 다공질 카본인 것을 특징으로 하는
    로드록 장치.
  24. 제 1 항 또는 제 2 항에 있어서,
    상기 가열용 플레이트는 기판에 대하여 상대적으로 근접 및 이격하는 것이 가능한 것을 특징으로 하는
    로드록 장치.
  25. 제 1 항 또는 제 2 항에 있어서,
    상기 가열용 플레이트에, 기판을 정전 흡착시키는 정전 흡착용 전극을 구비한 것을 특징으로 하는
    로드록 장치.
  26. 제 1 항 또는 제 2 항에 있어서,
    상기 가열용 플레이트 및 제 2 가열용 플레이트를 구비하고,
    상기 가열용 플레이트 및 제 2 가열용 플레이트 중 한쪽이 기판의 표면측에 배치되고, 다른 쪽이 기판의 이면측에 배치된 것을 특징으로 하는
    로드록 장치.
  27. 제 8 항 또는 제 9 항에 있어서,
    상기 다공질재는 다공질 카본인 것을 특징으로 하는
    로드록 장치.
  28. 제 8 항 또는 제 9 항에 있어서,
    상기 냉각용 플레이트는 기판에 대하여 상대적으로 근접 및 이격하는 것이 가능한 것을 특징으로 하는
    로드록 장치.
  29. 제 8 항 또는 제 9 항에 있어서,
    상기 냉각용 플레이트에, 기판을 정전 흡착시키는 정전 흡착용 전극을 구비한 것을 특징으로 하는
    로드록 장치.
  30. 제 8 항 또는 제 9 항에 있어서,
    상기 냉각용 플레이트 및 제 2 냉각용 플레이트를 구비하고,
    상기 냉각용 플레이트 및 제 2 냉각용 플레이트 중 한쪽이 기판의 표면측에 배치되고, 다른쪽이 기판의 이면측에 배치된 것을 특징으로 하는
    로드록 장치.
  31. 제 1 항 또는 제 2 항의 로드록 장치와, 제 8 항 또는 제 9 항의 로드록 장치로 이루어지는 한쌍의 로드록 장치를 구비한 것을 특징으로 하는
    로드록 장치 조립체.
  32. 제 1 항 또는 제 2 항의 로드록 장치와, 제 8 항 또는 제 9 항의 로드록 장치를, 상하로 겹쳐 쌓아서 구비한 것을 특징으로 하는
    로드록 장치 조립체.
  33. 제 17 항 또는 제 18 항에 있어서,
    상기 처리부는 상기 반출입부보다도 감압되어 있고,
    상기 로드록 장치에 기판을 반입한 후, 상기 반입구를 폐쇄하여, 상기 로드록 장치 내를 밀폐 상태로 하고,
    상기 로드록 장치 내를 소정의 압력까지 감압하고 나서, 상기 반출구를 개방하여, 상기 로드록 장치로부터 처리부에 기판을 반출하는 것을 특징으로 하는
    기판 처리 방법.
  34. 제 20 항 또는 제 21 항에 있어서,
    상기 처리부는 상기 반출입부보다도 감압되어 있고,
    상기 로드록 장치에 기판을 반입한 후, 상기 반입구를 폐쇄하여, 상기 로드록 장치내를 밀폐 상태로 하고,
    상기 로드록 장치 내를 소정의 압력까지 가압하고 나서, 상기 반출구를 개방하여, 상기 로드록 장치로부터 반출입부에 기판을 반출하는 것을 특징으로 하는
    기판 처리 방법.
KR1020060035099A 2005-04-18 2006-04-18 로드록 장치, 로드록 장치 조립체 및 기판 처리 방법 KR100880049B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005119733A JP4619854B2 (ja) 2005-04-18 2005-04-18 ロードロック装置及び処理方法
JPJP-P-2005-00119733 2005-04-18

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020070113408A Division KR101118362B1 (ko) 2005-04-18 2007-11-07 로드록 장치 및 처리 방법

Publications (2)

Publication Number Publication Date
KR20060109852A KR20060109852A (ko) 2006-10-23
KR100880049B1 true KR100880049B1 (ko) 2009-01-22

Family

ID=37107264

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020060035099A KR100880049B1 (ko) 2005-04-18 2006-04-18 로드록 장치, 로드록 장치 조립체 및 기판 처리 방법
KR1020070113408A KR101118362B1 (ko) 2005-04-18 2007-11-07 로드록 장치 및 처리 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020070113408A KR101118362B1 (ko) 2005-04-18 2007-11-07 로드록 장치 및 처리 방법

Country Status (5)

Country Link
US (2) US7624772B2 (ko)
JP (1) JP4619854B2 (ko)
KR (2) KR100880049B1 (ko)
CN (1) CN1854839B (ko)
TW (1) TWI416643B (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3910791B2 (ja) * 2000-09-19 2007-04-25 東京エレクトロン株式会社 基板の熱処理方法及び基板の熱処理装置
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US7652227B2 (en) * 2006-05-18 2010-01-26 Applied Materials, Inc. Heating and cooling plate for a vacuum chamber
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
JP4801522B2 (ja) * 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ 半導体製造装置及びプラズマ処理方法
WO2008144670A1 (en) 2007-05-18 2008-11-27 Brooks Automation, Inc. Load lock fast pump vent
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
CN101311786B (zh) * 2007-05-25 2010-09-29 群康科技(深圳)有限公司 液晶显示面板制造方法及干燥装置
US8232352B2 (en) * 2007-06-11 2012-07-31 Bridgestone Corporation Rubber composition and tire using the same
KR100905488B1 (ko) * 2007-06-25 2009-07-01 (주)에티스 반도체 제조용 히팅 장치
KR100965413B1 (ko) * 2008-04-18 2010-06-25 엘아이지에이디피 주식회사 기판 처리용 클러스터 장치 및 클러스터 장치의 기판 처리방법
KR20100000146A (ko) * 2008-06-24 2010-01-06 주성엔지니어링(주) 챔버리드를 포함하는 기판처리를 위한 진공챔버
JP4249252B1 (ja) * 2008-08-05 2009-04-02 株式会社幸和 加熱機能を備えたコンベア
KR20120023656A (ko) * 2009-05-15 2012-03-13 가부시키가이샤 시마쓰세사쿠쇼 표면파 플라즈마 cvd 장치 및 성막 방법
JP5562189B2 (ja) * 2010-09-22 2014-07-30 東京エレクトロン株式会社 基板処理装置
EP2639587B1 (en) * 2010-11-08 2019-08-14 Hitachi High-Technologies Corporation Reaction plate assembly, reaction plate and nucleic acid analysis device
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
JP5854741B2 (ja) * 2011-10-04 2016-02-09 株式会社アルバック 基板処理装置
DE102012100927A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
US9799543B2 (en) * 2012-02-16 2017-10-24 Saint-Gobain Glass France Process box, arrangements and methods for processing coated substrates
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US20130340939A1 (en) * 2012-06-21 2013-12-26 Tel Solar Ag System for substrate handling and processing
JP6241777B2 (ja) * 2012-07-20 2017-12-06 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9111971B2 (en) * 2012-07-30 2015-08-18 Applied Materials Israel, Ltd. System and method for temperature control of a semiconductor wafer
TWI624897B (zh) * 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
JP2014204018A (ja) * 2013-04-08 2014-10-27 シンフォニアテクノロジー株式会社 被処理体の冷却ユニット
JP2014204017A (ja) * 2013-04-08 2014-10-27 シンフォニアテクノロジー株式会社 被処理体の受容装置
JP6218526B2 (ja) * 2013-09-20 2017-10-25 Towa株式会社 切断装置及び切断方法
CN108695213B (zh) 2013-09-26 2022-03-18 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
CN104681402B (zh) * 2015-03-16 2018-03-16 京东方科技集团股份有限公司 基板加热装置和基板加热方法
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US9929029B2 (en) * 2015-10-15 2018-03-27 Applied Materials, Inc. Substrate carrier system
CN107275250A (zh) * 2016-04-08 2017-10-20 上海新昇半导体科技有限公司 降低预抽腔体中芯片温度的方法及芯片降温装置
JP6747220B2 (ja) * 2016-09-28 2020-08-26 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN110600453B (zh) * 2018-06-12 2021-07-27 欣兴电子股份有限公司 封装载板
CN108645640B (zh) * 2018-06-21 2020-08-04 南方电网科学研究院有限责任公司 一种加热板装置及散热测试系统
KR20210022068A (ko) 2018-07-17 2021-03-02 에이에스엠엘 네델란즈 비.브이. 입자 빔 검사 장치
US20200126826A1 (en) * 2018-10-18 2020-04-23 Applied Materials, Inc. Load lock body portions, load lock apparatus, and methods for manufacturing the same
CN111430268B (zh) * 2019-01-10 2024-04-09 东京毅力科创株式会社 处理装置
TW202107528A (zh) * 2019-04-30 2021-02-16 美商得昇科技股份有限公司 氫氣輔助的大氣自由基氧化
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
WO2021044622A1 (ja) * 2019-09-06 2021-03-11 キヤノンアネルバ株式会社 ロードロック装置
US11557496B2 (en) * 2020-03-23 2023-01-17 Applied Materials, Inc. Load lock with integrated features
WO2023169766A1 (en) * 2022-03-11 2023-09-14 Asml Netherlands B.V. Vacuum chamber system including temperature conditioning plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100218724B1 (ko) * 1996-10-30 1999-09-01 노승민 티에프티 엘씨디용 글라스의 자동 에칭장치 및 에칭방법
KR20030087942A (ko) * 2002-05-10 2003-11-15 동경 엘렉트론 주식회사 처리방법 및 처리장치

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1615878A (en) * 1920-11-02 1927-02-01 Henry L Doherty Door-closing device
JPS53139872A (en) * 1977-05-10 1978-12-06 Toray Industries Porous body comprising metal coated carbon fiber
US4307753A (en) * 1980-07-29 1981-12-29 Greer Hydraulics, Incorporated Wide frequency pulsation dampener device
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4734998A (en) * 1986-01-06 1988-04-05 Jimmy Wells Needham Method and apparatus for dehydrating toxic chemical sludge
JPH0333058Y2 (ko) * 1987-06-26 1991-07-12
EP0343530B1 (de) * 1988-05-24 2001-11-14 Unaxis Balzers Aktiengesellschaft Vakuumanlage
US5007590A (en) * 1990-01-23 1991-04-16 Itex Enterprises, Inc. Apparatus and method for mixing solid or semi-solid wastes with additives
US5617963A (en) * 1995-06-14 1997-04-08 Unique Concepts Inc. Apparatus for mounting an appliance at an opening
JPH09249471A (ja) * 1996-03-14 1997-09-22 Sumitomo Sitix Corp 半導体製造装置の耐熱用具及びその製造方法
JPH11307513A (ja) * 1998-04-20 1999-11-05 Sony Corp 絶縁体基板対応プラズマ処理装置
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
SE515785C2 (sv) 2000-02-23 2001-10-08 Obducat Ab Anordning för homogen värmning av ett objekt och användning av anordningen
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
KR100960773B1 (ko) 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
JP2002158273A (ja) * 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
JP4620879B2 (ja) * 2001-01-23 2011-01-26 キヤノンアネルバ株式会社 基板温度制御機構及び真空処理装置
JP4493863B2 (ja) * 2001-01-25 2010-06-30 東京エレクトロン株式会社 プラズマ処理装置およびそのクリーニング方法および静電チャックの除電方法
US6840982B2 (en) * 2001-03-13 2005-01-11 American Moxie, Llc Storage device utilizing a differentially permeable membrane to control gaseous content
JP2003007682A (ja) * 2001-06-25 2003-01-10 Matsushita Electric Ind Co Ltd プラズマ処理装置用の電極部材
JP4695297B2 (ja) * 2001-06-26 2011-06-08 キヤノンアネルバ株式会社 薄膜形成装置及びロードロックチャンバー
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
JP2003231970A (ja) * 2002-02-08 2003-08-19 Hitachi Zosen Corp 基板処理装置および基板処理方法
JP4048242B2 (ja) 2002-05-29 2008-02-20 エスペック株式会社 熱処理装置
JP3970184B2 (ja) * 2003-01-10 2007-09-05 東京エレクトロン株式会社 処理装置
JP4540953B2 (ja) * 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4350695B2 (ja) * 2004-12-01 2009-10-21 株式会社フューチャービジョン 処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100218724B1 (ko) * 1996-10-30 1999-09-01 노승민 티에프티 엘씨디용 글라스의 자동 에칭장치 및 에칭방법
KR20030087942A (ko) * 2002-05-10 2003-11-15 동경 엘렉트론 주식회사 처리방법 및 처리장치

Also Published As

Publication number Publication date
KR101118362B1 (ko) 2012-03-13
CN1854839B (zh) 2011-11-09
US7624772B2 (en) 2009-12-01
CN1854839A (zh) 2006-11-01
KR20060109852A (ko) 2006-10-23
US20100040437A1 (en) 2010-02-18
KR20070118208A (ko) 2007-12-14
JP4619854B2 (ja) 2011-01-26
US8196619B2 (en) 2012-06-12
JP2006303013A (ja) 2006-11-02
TW200711022A (en) 2007-03-16
TWI416643B (zh) 2013-11-21
US20060231027A1 (en) 2006-10-19

Similar Documents

Publication Publication Date Title
KR100880049B1 (ko) 로드록 장치, 로드록 장치 조립체 및 기판 처리 방법
KR100802671B1 (ko) 기판 처리 방법
KR101002553B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기록 매체
JP4784599B2 (ja) 真空処理装置及び真空処理方法並びに記憶媒体
CN108074845A (zh) 基板处理装置、反应管以及半导体装置的制造方法
US20010000747A1 (en) Multi-function chamber for a substrate processing system
JP2018535550A (ja) 基板を真空処理モジュール内へロードする装置及び方法、真空処理モジュール内の真空堆積プロセスのために基板を処理する装置及び方法、並びに基板を真空処理するためのシステム
JP2001257250A (ja) デュアル基板ロードロック・プロセス装置
TW201313948A (zh) 批式處理裝置
JP4927623B2 (ja) ロードロック装置の昇圧方法
KR101096601B1 (ko) 기판 처리 장치
KR100680239B1 (ko) 성막장치 및 성막장치를 사용하는 성막시스템
JP2004018215A (ja) フラット・パネル・ディスプレイ用熱処理装置及び熱処理方法
KR20150110206A (ko) 열처리 장치
JP2005259858A (ja) 基板処理装置
KR102378336B1 (ko) 베이크 장치 및 베이크 방법
KR20210008549A (ko) 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
JP3609077B1 (ja) 高圧熱処理装置
JP2019184230A (ja) 有機膜形成装置、有機膜形成システム、および有機膜形成方法
TWI823438B (zh) 有機膜形成裝置及有機膜的製造方法
JP2006186189A (ja) ガス処理製造装置、ガス処理製造方法
KR20230114197A (ko) 열처리 장치, 열처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
J201 Request for trial against refusal decision
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
E801 Decision on dismissal of amendment
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20071107

Effective date: 20080805

S901 Examination by remand of revocation
E902 Notification of reason for refusal
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131218

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee