CN1854839A - 负载锁定装置及处理方法 - Google Patents

负载锁定装置及处理方法 Download PDF

Info

Publication number
CN1854839A
CN1854839A CNA2006100721180A CN200610072118A CN1854839A CN 1854839 A CN1854839 A CN 1854839A CN A2006100721180 A CNA2006100721180 A CN A2006100721180A CN 200610072118 A CN200610072118 A CN 200610072118A CN 1854839 A CN1854839 A CN 1854839A
Authority
CN
China
Prior art keywords
substrate
plate
load lock
main body
lock apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100721180A
Other languages
English (en)
Other versions
CN1854839B (zh
Inventor
岩渕胜彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1854839A publication Critical patent/CN1854839A/zh
Application granted granted Critical
Publication of CN1854839B publication Critical patent/CN1854839B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明提供一种可适宜地调节基板温度的负载锁定装置及处理方法。该负载锁定装置包括:设置在相对外部搬入搬出基板的搬入搬出部一侧的搬入口和设置在处理基板的处理部一侧的搬出口,其中,具有加热基板(G)的加热用板(71),所述加热用板(71)包括由多孔质材料构成的板主体(75)和向板主体内供给已加热的加热用气体的加热用气体供给路(76)。加热用气体通过板主体(75),并从板主体(75)的表面喷出,向基板(G)供给。

Description

负载锁定装置及处理方法
技术领域
本发明涉及在处理基板的处理系统等中所使用的负载锁定装置以及处理方法。
背景技术
例如,在LCD基板等的制造过程中,使用具有多个在低压气氛下对基板进行成膜、蚀刻、灰化等规定处理的基板处理装置的、即所谓的多腔室型的处理系统(例如参照专利文献1)。在这种处理系统中设置有处理部,该处理部包括具有搬运基板的基板搬运装置的搬运室、以及在其周围设置的多个基板处理装置。此外,利用基板搬运装置的搬运臂相对各基板处理装置进行基板的搬入搬出。此外,在上述处理系统中,具有相对于外部搬入搬出基板的搬入搬出部,在搬入搬出部和处理部之间具有负载锁定装置。搬入该搬入搬出部的基板通过负载锁定装置而搬入到处理部,在处理部中被处理后,再通过负载锁定装置而搬出至搬入搬出部。
作为所述负载锁定装置,公知有一种具有在负载锁定装置内预热基板用的加热用板的负载锁定装置(例如参照专利文献2)。此外,还提出有如下方案的装置,即,其具有加热用板和冷却用板,可以在将基板从搬入搬出部搬入到处理部时,利用加热用板加热基板,在将基板从处理部搬出至搬入搬出部时,利用冷却用板冷却基板(例如参照专利文献1)。
作为在负载锁定装置中使用的加热用板,其结构为:在例如由不锈钢合金或者铝合金的金属制成的板主体内部,内置有铠装加热器(sheathed heater)等发热体,使热量从发热体传递至板主体,利用从已加热的板主体放射的热量对基板进行加热。作为冷却用板,其结构为:在例如由不锈钢合金或者铝合金等金属制成的板主体内部,内置有使冷却水通过的冷却水送水路,利用冷却水使板主体冷却,利用已冷却的板主体的低温对基板进行冷却。此外,还提出有一种通过向基板供给氮气(N2)或者氦气(He)等惰性气体来冷却基板的方法的方案(例如参照专利文献1)。
专利文献1:日本特表2004-523880号公报
专利文献2:日本特开2001-239144号公报
但是,在使用不锈钢合金形成加热用板的板主体时,其热传导率较差,难以均匀地对板主体进行加热,因此,存在有对基板产生加热偏差的问题。因此,由于热应力的影响而使基板产生变形,从而导致在处理部中进行的基板处理过程中产生差异等问题。而且,还会产生在基板上附着金属污染的问题。此外,在使用铝合金形成加热用板的板主体时,对于高温的耐热性不足,存在最高只能将基板加热至大约400℃左右的问题。此外,在仅以辐射热的加热中,存在效率差的问题。
另一方面,在使用不锈钢合金形成冷却用板的板主体时,由于不锈钢合金的热传导率较差,所以难以均匀地对板主体进行冷却,因此,存在有在基板上产生冷却差异的问题。因此,由于热应力的影响而产生基板变形的问题。此外,在向基板上供给气体冷却时,难以均匀地对基板供给气体,在基板上存在发生冷却差异的问题。
发明内容
本发明的目的在于提供一种可以方便地调节基板温度的负载锁定装置以及处理方法。
为了解决上述问题,根据本发明,提供一种负载锁定装置,其特征在于,包括:设置在相对外部搬入搬出基板的搬入搬出部一侧的搬入口和设置在处理基板的处理部一侧的搬出口,其中,具有对搬入到负载锁定装置内的基板进行加热的加热用板;上述加热用板包括由多孔质材料构成的板主体和向上述板主体供给被加热的加热用气体的加热用气体供给路,使上述加热用气体通过上述板主体,并从上述板主体的表面喷出来向基板供给。根据上述结构,可以从板主体均匀地向基板供给加热用气体。从而,可以均匀地加热基板。
此外,根据本发明,提供一种负载锁定装置,其特征在于,包括:设置在相对外部搬入搬出基板的搬入搬出部一侧的搬入口和设置在处理基板的处理部一侧的搬出口,其中,具有对搬入到负载锁定装置内的基板进行加热的加热用板;上述加热用板包括由多孔质材料构成的板主体、设置在上述板主体内的发热体、和向上述板主体供给气体的气体供给路;上述气体在通过由上述发热体加热的板主体中时而被加热,并从上述板主体表面喷出,向基板供给。
上述多孔质材料可以是多孔质碳。由于多孔质碳的热传导率较好,因此可以高效地使基板加热。此外,由于在高温下耐热性好,所以可以将基板加热至高温。
可以在上述板主体的表面设置具有透气性的保护膜。上述加热用板可以相对于基板相向地接近以及离开。此外,可以在上述加热用板中设置静电吸附基板的静电吸附用电极。
此外,可以形成为如下结构:具有上述加热用板以及第二加热用板;上述加热用板以及第二加热用板中的一方设置于基板的表面一侧,另一方设置于基板的背面一侧。这样,可以更高效地使基板均匀地加热。
而且,根据本发明,提供一种负载锁定装置,其特征在于,包括:设置在相对外部搬入搬出基板的搬入搬出部一侧的搬入口和设置在处理基板的处理部一侧的搬出口,其中,具有对搬入到负载锁定装置内的基板进行冷却的冷却用板;上述冷却用板包括由多孔质材料构成的板主体和向上述板主体供给被冷却的冷却用气体的冷却用气体供给路;使上述冷却用气体通过上述板主体,并从上述板主体的表面喷出,向基板供给。根据上述结构,可以从板主体均匀地向基板供给冷却用气体。从而,可以均匀地对基板进行冷却。
此外,根据本发明,提供一种负载锁定装置,其特征在于,包括:设置在相对外部搬入搬出基板的搬入搬出部一侧的搬入口和设置在处理基板的处理部一侧的搬出口,其中,具有对搬入到负载锁定装置内的基板进行冷却的冷却用板;上述冷却用板包括由多孔质材料构成的板主体、设置在上述板主体内的冷却水送水路、和向上述板主体供给气体的气体供给路;上述气体在通过由上述冷却水送水路冷却的板主体中时而被冷却,并从上述板主体表面喷出,向基板供给。
上述多孔质材料可以是多孔质碳。在上述板主体表面可以设置具有透气性的保护膜。而且,上述冷却用板能够相对于基板相向地接近以及离开。此外,在上述冷却用板中可以设置静电吸附基板的静电吸附用电极。
此外,可以形成为如下结构:具有上述冷却用板以及第二冷却用板;上述冷却用板以及第二冷却用板中的一方设置于基板的表面一侧,另一方设置于基板的背面一侧。这样,可以更高效地使基板均匀地冷却。
根据本发明,还提供一种负载锁定装置,其特征在于:设置有如上述第一~第七方面中任一方面所述的负载锁定装置,以及如上述第八~第十四方面中任一方面所述的负载锁定装置。
此外,提供一种负载锁定装置,其特征在于:上下重叠设置有如上述第一~第七方面中任一项所述的负载锁定装置,以及如上述第八~第十四方面中任一方面所述的负载锁定装置。
此外,根据本发明提供一种处理方法,其特征在于:其是将基板从搬入搬出部通过负载锁定装置搬入到处理部,在上述处理部中对基板进行处理的方法,其中:使设置在上述负载锁定装置的处理部一侧的搬出口保持关闭,打开设置在上述负载锁定装置的搬入搬出部一侧的搬入口,将基板通过上述搬入口而搬入到负载锁定装置内;关闭上述搬入口,使具有由多孔质材料构成的板主体的加热用板接近基板的表面或背面,使已加热的加热用气体通过上述板主体,从上述板主体向基板供给来加热基板;使上述搬入口保持关闭,打开上述搬出口,使上述基板通过上述搬出口而搬入到处理部。
而且,根据本发明,提供一种处理方法,其特征在于:其是将基板从搬入搬出部通过负载锁定装置搬入到处理部,在上述处理部中对基板进行处理的方法,其中,使设置在上述负载锁定装置的处理部一侧的搬出口保持关闭,打开设置在上述负载锁定装置的搬入搬出部一侧的搬入口,通过上述搬入口将基板搬入到负载锁定装置内;关闭上述搬入口,使具有由多孔质材料构成的板主体的加热用板接近基板的表面或背面,并使设置于上述板主体内部的发热体发热,同时,使气体通过上述板主体内,利用由上述发热体加热的板主体对上述气体进行加热,将上述已加热气体从上述板主体供给至基板来加热基板;使上述搬入口保持关闭,打开上述搬出口,使上述基板通过上述搬出口而搬入到处理部。
上述处理部与上述搬入搬出部相比进一步被减压;在向上述负载锁定装置中搬入基板后,关闭上述搬入口,使上述负载锁定装置内成为密闭状态;将上述负载锁定装置内部减压至规定压力后,打开上述搬出口,使上述基板从上述负载锁定装置搬出至处理部。
此外,根据本发明,提供一种处理方法,其特征在于:其是将基板从搬入搬出部通过负载锁定装置搬入到处理部,在上述处理部中对基板进行处理的方法,其中,使设置于上述负载锁定装置的处理部一侧的搬出口保持关闭,打开设置在上述负载锁定装置的搬入搬出部一侧的搬入口,通过上述搬入口将基板搬入到负载锁定装置内;关闭上述搬入口,使具有由多孔质材料构成的板主体的冷却用板接近基板的表面或背面,使已冷却的冷却用气体通过上述板主体,从上述板主体向基板供给来冷却基板;使上述搬入口保持关闭,打开上述搬出口,使上述基板通过上述搬出口而搬入到处理部。
而且,根据本发明,提供一种处理方法,其特征在于:其是将基板从搬入搬出部通过负载锁定装置搬入到处理部,在上述处理部中对基板进行处理的方法,其中,使设置于上述负载锁定装置的处理部一侧的搬出口保持关闭,打开设置在上述负载锁定装置的搬入搬出部一侧的搬入口,通过上述搬入口将基板搬入到负载锁定装置内;关闭上述搬入口,使具有由多孔质材料构成的板主体的冷却用板接近基板的表面或背面,并使冷却水通过设置于上述板主体内部的冷却水送水路,同时,使气体通过上述板主体内,利用由上述冷却水送水路冷却的板主体冷却上述气体,将上述已冷却的气体从上述板主体向基板供给来冷却基板;使上述搬入口保持关闭,打开上述搬出口,使上述基板通过上述搬出口而搬出到处理部。
上述处理部与上述搬入搬出部相比进一步被减压;在向上述负载锁定装置中搬入基板后,关闭上述搬入口,使上述负载锁定装置内成为密闭状态;将上述负载锁定装置内部加压至规定压力后,打开上述搬出口,使基板从上述负载锁定装置搬出至搬入搬出部。
根据本发明,通过以多孔质材料形成加热用板的板主体,可以使加热用气体通过板主体中的细孔。使加热用气体从板主体的表面均匀地喷出,可以将加热用气体均匀地吹送至基板的整个表面或者背面。因此,可以利用加热用气体高效且均匀地加热基板的表面或者背面。此外,利用发热体一边加热板主体一边加热从板主体中通过的气体,通过将加热后的气体吹送至基板,可以高效地对基板进行加热。
此外,根据本发明,通过以多孔质材料形成冷却用板的板主体而可以使冷却用气体通过板主体中的细孔。使冷却用气体从板主体的表面均匀地喷出,可以将冷却用气体均匀地吹送至基板的整个表面或者背面。因此,可以利用冷却用气体高效且均匀地冷却基板的表面或者背面。此外,利用冷却水送水路一边冷却板主体一边冷却从板主体中通过的气体,通过将冷却后的气体吹送至基板,可以高效地对基板进行冷却。
附图说明
图1是说明处理系统的结构的平面图。
图2是说明处理系统的结构的侧面图。
图3是负载锁定装置的纵截面图。
图4是上面加热用板以及下面加热用板的纵截面图。
图5是上面冷却用板以及下面冷却用板的纵截面图。
图6是另一实施方式所涉及的上面加热用板的纵截面图。
图7是另一实施方式所涉及的上面加热用板的纵截面图。
图8是另一实施方式所涉及的上面加热用板的纵截面图。
图9是另一实施方式所涉及的上面冷却用板的纵截面图。
图10是另一实施方式所涉及的上面冷却用板的纵截面图。
图11是另一实施方式所涉及的上面冷却用板的纵截面图。
符号说明
G    基板
1    处理系统
2    搬入搬出部
3    处理部
5    负载锁定(load lock)装置
21       第一负载锁定装置
22       第二负载锁定装置
30A~30E 基板处理装置
31       搬运装置
61       负载锁定室
63       搬入口
64       搬出口
71       上面加热用板
72       下面加热用板
75       板主体
76       加热用气体供给路
81       透气性保护膜
82       非透气性保护材料
102      负载锁定室
103      搬入口
104      搬出口
111      上面冷却用板
112      下面冷却用板
115      板主体
116      冷却用气体供给路
121      透气性保护膜
122      非透气性保护材料
具体实施方式
下面,根据利用等离子CVD(Chemical Vapor Deposition:化学气相沉积)对作为基板实例的LCD(Liquid Crystal Display:液晶显示装置)用玻璃基板G实施成膜工序的处理系统进行说明。图1表示涉及本发明实施方式的处理系统1的简要结构的平面图。图1所示处理系统1是所谓的多腔室型处理系统,其包括:相对处理系统1的外部,搬入搬出基板G的搬入搬出部2;和进行基板G的CVD处理的处理部3。在搬入搬出部2和处理部3之间设置有负载锁定装置5。
在搬入搬出部2中设置有:承载收纳有多个基板G的盒C的装载台11、和用于搬运基板G的第一搬运装置12。在装载台11上,沿着图1中的大致水平方向的X轴方向排列有多个盒C。如图2所示,将大致长方形的薄板状基板G,以水平状态多个上下排列而收纳于装载台11上的盒C内。
搬运装置12在水平方向的Y轴方向上被设置在装载台11的后方(图1中的右方)。此外,搬运装置12包括沿着X方向延伸设置的导轨13和能够沿着导轨13在水平方向上移动的搬运机构14。搬运机构14具有使一块基板G保持为大致水平的搬运臂15,搬运臂15可在Z轴方向(竖直方向)上伸缩,并可在大致水平面内旋转。即,可使搬运臂15进入到设置在装载台11上的各盒C的正面的开口16,逐块取出或者收纳基板G。此外,对于设置在夹持搬运装置12并与装载台11相向一侧(在Y方向上搬运装置12的后方)的负载锁定装置5,可使搬运臂15进入,并逐块搬入以及搬出基板G。
如图2所示,负载锁定装置5由一对负载锁定装置,即第一负载锁定装置21以及第二负载锁定装置22构成。将第一负载锁定装置21和第二负载锁定装置22上下重叠配置,在图示例子中,在第一负载锁定装置21的上方设置第二负载锁定装置。此外,在Y轴方向上,在负载锁定装置21的前侧(在图2中为左侧),设置有对后述负载锁定装置21的搬入口63进行开闭的闸阀25;在Y轴方向上,在负载锁定装置21的后侧,设置有对后述负载锁定装置21的搬出口64进行开闭的闸阀26。在Y轴方向上,在负载锁定装置22后侧,设置有使后述负载锁定装置22的搬入口103开闭的闸阀27,在Y轴方向上,在负载锁定装置22的前侧,设置有使后述负载锁定装置22的搬出口104进行开闭的闸阀28。在所述结构中,通过关闭各闸阀25、28而分别隔断搬入搬出部2的气氛和负载锁定装置21、22内的气氛。此外,通过关闭各闸阀26、27而分别隔断处理部3的气氛和负载锁定装置21、22内的气氛。以后,对各负载锁定装置21、22的结构进行详细说明。
如图1所示,在处理部3中设置有收纳基板G并进行等离子CVD处理的多个(例如5个)基板处理装置30A~30E;以及在负载锁定装置5和各基板处理装置30A~30E之间搬运基板G的第二搬运装置31。在设置于密封构造的腔室32内的搬运室33中,收纳第二搬运装置31。将腔室32设置于Y轴方向上的负载锁定装置5的后方。此外,负载锁定装置5和基板处理装置30A~30E以包围腔室32的周围的方式而设置。
在搬运室33和负载锁定装置21、22之间分别设置有上述闸阀26、27,利用各闸阀26、27分别隔断搬运室33内的气氛和负载锁定装置21、22内的气氛。在搬运室33和各基板处理装置30A~30E之间分别设置有闸阀35,利用各闸阀35来气密地封闭基板处理装置30A~30E的开口,分别隔断搬运室33内的气氛和各基板处理装置30A~30E内的气氛。此外,如图2所示,设置有对搬运室33内进行强制排气并减压用的排气路36。当在处理系统1中进行处理时,使处理部3的搬运室33、基板处理装置30A~30E内,与搬入搬出部2相比形成低压气氛,例如形成真空状态。
第二搬运装置31具有例如多关节的搬运臂51。搬运臂51能够保持一块或者多块基板G大致水平,可在Z轴方向上伸缩并在水平面内旋转。而且,可使搬运臂51通过各闸阀26、27、35而进入各负载锁定装置21、22、基板处理装置30A~30E,逐块搬入以及搬出基板。
下面,对上述负载锁定装置21的结构进行详细说明。如图3所示,负载锁定装置21具有密闭构造的腔室61。腔室61的内部形成为收纳基板G的负载锁定室62。
在腔室61的搬出搬入部2一侧,即在Y轴方向的前侧,设置有用于向负载锁定室62内搬入基板G的搬入口63。在搬入口63处设置有上述闸阀25,利用闸阀25可以气密地形成封闭状态。在腔室61的处理部3一侧,即在Y轴方向的后侧,设置有用于从腔室62搬出基板G的搬出口64。在搬出口64处设置有上述闸阀26,利用闸阀26可以气密地形成封闭状态。
在负载锁定室62内具有支撑基板G的多个保持部件70。各保持部件70形成为棒状,从腔室61的底面向上方突出,通过将基板G的下面承载在各保持部件70的上端部,而可以大致水平地支撑基板G。
而且,在负载锁定室62内具有作为加热由保持部件70支撑的基板G的第一加热用板的上面加热用板71,以及作为第二加热用板的下面加热用板72。
上面加热用板71被设置在由保持部件70支撑的基板G的上面(例如设备形成的表面)一侧,相对于腔室61而固定。如图4所示,上面加热用板71包括:由多孔质(porous)基材构成并具有透气性的板主体75;和供给在板主体75中通过的加热用气体的加热用气体供给路76。
板主体75形成为具有厚度的大致长方形板状,大致水平地沿着腔室61的顶板设置。此外,以相对于由保持部70支撑的基板G的上面,呈大致平行的状态相向设置。板主体75的下面面积与基板G的上面面积大致相同,或者大于基板G的上面面积,可使其覆盖基板G的整个上面并进行加热。此外,将板主体75分割为上下两部分,在上部板75a和下部板75b之间形成大致均匀宽度的在大致水平方向上扩展的间隙75c。在与间隙75c相向的下部板75b的上面上,设置有呈凹状下陷的多个沟75d。使沟75d设置成例如在X轴方向上延伸,在Y轴方向上分开规定间隔,并跨越下部板75b的整个上面。此外,将供给在腔室61外部进行加热后的加热用气体的供给管77连接到间隙75c。例如按照使其贯通腔室61的顶板部以及上部板75a的方式设置供给管77,在上部板75a的下面上,朝向间隙75c形成开口。作为加热用气体,优选使用例如N2(氮气)或He(氦气)等惰性气体。在本实施方式中,由供给管77的内部流路、间隙75c、沟75d构成加热用气体供给路76。
利用具有透气性的保护膜81来覆盖与支持部件70所支撑的基板G的上面相向的板主体75的下面(即下部板75b的下面)。透气性保护膜81以大致均匀的厚度形成在板主体75的整个下面上。此外,还利用非透气性的薄板状保护材料82覆盖板主体75的上面(即上部板75a的上面)。还利用薄板状的非透气性保护材料82从上部板75a跨越至下部板75b来覆盖板主体75的外侧面。还利用非透气性保护材料82覆盖间隙75c的边缘部分而使其封闭。经由非透气性保护材料82将板主体75固定在腔室61内。如上所述,通过以透气性保护膜81和非透气性保护材料82覆盖板主体75的多孔质材料的外表面,而可以有效地保护多孔质材料,并防止多孔质材料损伤。其中,非透气性保护材料82也可以设置为延伸至板主体75的下面以及透气性保护膜81的下方。这样,在加热基板G时,可以利用非透气性保护材料82包围基板G的边缘部的外侧,抑制后述从板主体75的下面供给的加热用气体逃逸到非透气性保护材料82的外侧,并可以向基板G的上面集中。因此,可以提高利用加热用气体的加热效率。
构成所述板主体75的多孔质材料,在基材中形成有连通的多个细孔,在细孔彼此之间可以使流体流通。因此,在向加热用气体供给路76供给加热用气体时,可使加热用气体浸透到板主体75中。由于板主体75的上面以及外侧面用非透气性保护材料82覆盖,因此,使得从供给管77导入到间隙75c中的加热用气体朝向板主体75的下面而透过透气性保护膜81,并向下方喷出。如上所述,通过从板主体75的下面吹送加热用气体,此外,利用从由透过的加热用气体而加热后的板主体75所放射的热量,来加热基板G。其中,通过在下部板75b上形成多个沟75d,使间隙75c内的加热用气体很容易从沟75d流入下部板75b中的细孔。
作为构成上述板主体75的多孔质材料,可以使用相比之下热传导性、热放射率较好的材质,例如多孔质碳(C)。在该情况下,可以高效地加热板主体75,可以很容易地利用加热用气体进行加热。此外,由于可以使板主体75中的温度分布的均匀性保持良好,因此,可以从板主体75的下面均匀地放射热量,可以防止基板G的加热差异。并且,耐热性强,即使在高温下也能够保持稳定,具有很强的耐久性,因此,可以将基板G加热至高温。其中,通过用透气性保护膜81和非透气性保护材料82覆盖多孔质碳,可以防止碳因氧化而消耗、以及微粒附着在基板G上等情况的发生。此外,作为加热用气体如果使用惰性气体,则可以防止碳因氧化而消耗,使其发挥良好的耐久性。而且,也可以使用多孔质铝(Al)合金、多孔质镍(Ni)合金等多孔质金属。
作为透气性保护膜81,优选使用具有耐热性,热放射率高,与板主体75的多孔质材料热膨胀率接近的材料,例如,可以使用氧化铝(Al2O3)等陶瓷。因此,即使在高温下,也可以有效地保护板主体75的多孔质材料。此外,作为透气性保护膜81的材质,如果使用热放射率较高的材质,例如氧化铝,则能从透气性保护膜81对基板G高效地放射热量,因此,能够促进基板G的加热。而且,由于从透气性保护膜81均匀地放射热量,因此可以防止在基板G上产生加热差异。此外,可以通过例如热喷涂形成透气性保护膜81。以此,可以合适地形成具有多个气孔以及拥有透气性的膜。其中,在使用多孔质铝合金作为板主体75的多孔质材料的情况下,透气性保护膜81可以通过耐酸铝(alumite)处理(氧化处理)其表面而形成。
作为非透气性保护材料82的材质,可以使用具有耐热性的材质,例如陶瓷等。以此,即使在高温下,也可以有效地保护板主体75的多孔质材料。此外,非透气性保护材料82的材质,可以使用绝热性较高的材质。这样,可以防止板主体75中的热量从板主体75的上面和外侧面逃逸,从板主体75下面的透气性保护膜81集中地放射热量。因此,可以实现基板G的加热效率的提高。
如图3所示,下面加热用板72形成为具有厚度的大致长方形板状,沿着腔室61的底面大致水平设置,配置在由保持部件70支撑的基板G的下面(例如没有形成设备的背面)一侧。上述保持部件70分别设置在形成于下面加热用板72上的多个孔85中。下面加热用板72以相对于由保持部70支撑的基板G的下面呈大致平行的状态而相向设置。其中,下面加热用板72的上面面积与基板G的下面面积大致相同,或者大于基板G的下面面积,可以覆盖并加热基板G的整个下面。
在下面加热用板72的内部,内置有例如铠装加热器(sheathedheater)等发热体86。发热体86与设置于上述61外部的交流电源87连接。即,利用从交流电源87供给的电力产生发热体的电阻热,利用从发热体86的传热使下面加热用板72升温。
此外,使下面加热用板72形成为可以升降。如图3所示,在腔室61的下方设置有作为升降机构的气缸(cylinder)91,与气缸91连接的杆92以上下贯通腔室61底部的方式设置。下面加热用板72安装于杆92的上端部。并且,利用气缸91的驱动,使杆92在Z轴方向上升降,以此,下面加热用板72与杆92一体使各孔85分别沿保持部件70移动并升降。
而且,在下面加热用板72的上面,设置有用于在加热时支撑基板G的多个支撑部件93。当使下面加热用板72下降至等待位置P1时,支撑部件93位于保持部件70上端部的下方。因此,形成为即使基板G保持在保持部件70上,支撑部件93也不与基板G接触。另一方面,通过将下面加热用板72从待机位置P1上升,可以利用支撑部件93抬起保持在保持部件70上的基板G,使其与上述上面加热用板71接近。即,构成为使上面加热用板71和下面加热用板72相对于收纳在两者间的基板G可以分别相向接近以及远离。如上所述,如果形成使下面加热用板72升降的结构,则在将基板G传递到保持部件70上时,通过使下面加热用板72下降至等待位置P1,可以具有足够的空间来进行传递,在加热基板G时,通过使其上升至加热位置P2,可以高效地对基板进行加热。
此外,在腔室62上连接有向负载锁定室62内供给例如N2(氮气)或He(氦气)等惰性气体的气体供给路94,以及使负载锁定室62内强制排气的排气路95。即,可以通过来自气体供给路94的气体供给和由排气路95的强制排气,对负载锁定室62内的压力进行调节。
下面,对上述负载锁定装置22的结构进行说明。如图3所示,负载锁定装置22具有密闭构造的腔室101。在图示的例中,腔室101承载于下段负载锁定装置21的腔室61上方。腔室101的内部形成为收纳基板G的负载锁定室102。
在腔室101的处理部3一侧,即,在Y轴方向上的后侧,设置有用于向负载锁定室102搬入基板G的搬入口103。在搬入口103处设置有上述闸阀27,可以利用闸阀27使其气密地封闭。在腔室101的搬入搬出部2一侧,即,在Y轴方向上的前侧,设置有用于从负载锁定室102搬出基板G的搬出口104。在搬出口104处设置有上述闸阀28,可以利用闸阀28使其气密地封闭。
在负载锁定室102内具有用于保持基板G的多个支撑部件110。各支撑部件110形成为大致棒状,从腔室101的底部向上方突出,通过将基板G的下面承载于各支撑部件110的上端部,而使基板G保持大致水平。
而且,在负载锁定室102内具有作为冷却基板G的第一冷却用板的上面冷却用板111以及作为第二冷却用板的下面冷却用板112。
如图5所示,上面冷却用板111设置在由支撑部件110支撑的基板G的上面(例如形成设备的表面)一侧。上面冷却用板111设置有由多孔质材料构成的具有透气性的板主体115、和供给在板主体115中通过的冷却用气体的冷却用气体供给路116。
板主体115形成为具有厚度的大致长方形板状,沿着腔室101的顶板大致水平设置。此外,相对于由支撑部件110支撑的基板的上面,呈大致平行的状态相向设置。板主体115的下面面积与基板G的上面面积大致相同,或者大于基板G的上面面积,覆盖基板G的整个上面而对其进行冷却。此外,将板主体115分割为上下两部分,在上部板115a和下部板115b之间形成大致均匀宽度的在大致水平方向上扩展的间隙115c。在与间隙115c相向的下部板115b上面上,设置有呈凹状下陷的多个沟115d。使沟115d例如在X轴方向上延伸设置,在Y轴方向上分开规定间隔,跨越下部板115b的整个上面。此外,将用于供给在腔室101外部进行冷却后的冷却用气体的供给管117连接到间隙115c。按照例如使其贯通用于支撑后述上面冷却用板111的杆126以及上部板115a的方式设置供给管117,在上部板115a的下面,朝向间隙115c形成开口。作为冷却用气体,优选使用例如N2(氮气)或He(氦气)等惰性气体。在本实施方式中,由供给管117的内部流路、间隙115c和沟115d构成冷却用气体供给路116。
利用具有透气性的保护膜121覆盖与由支撑部件110所支撑的基板G的上面相向的板主体115的下面(即下部板115b的下面)。透气性保护膜121以大致均匀的厚度,形成在板主体115的整个下面。另外,利用非透气性薄板状的保护材料122覆盖板主体115的上面(即上部板115a的上面)。还利用薄板状的非透气性保护材料122,从上部板115a跨越至下部板115b,覆盖板主体115的外侧面。还利用非透气性保护材料122覆盖间隙115c的边缘部分,并使其封闭。经由非透气性保护材料122将板主体115固定在腔室101内。如上所述,通过以透气性保护膜121和非透气性保护材料122覆盖板主体115的多孔质材料的外表面,而可以有效地保护多孔质材料,防止多孔质材料损伤。而且,非透气性保护材料122也可以设置为延伸至板主体115的下面以及透气性保护膜121的下方。这样,在冷却基板G时,可以利用非透气性保护材料122包围基板G的边缘部分的外侧,抑制后述从板主体115的下面供给的冷却用气体逃逸到非透气性保护材料122的外侧,可以向基板G的上面集中。因此,可以提高利用冷却用气体的冷却效率。
构成所述板主体115的多孔质材料,构成为在基材中形成有连通的多个细孔的结构,在细孔之间可以使流体流通。因此,在向冷却用气体供给路116供给冷却用气体时,可使冷却用气体浸透到板主体115中。由于板主体115的上面以及外侧面用非透气性保护材料122覆盖,因此,使得从供给管117导入到间隙115c中的冷却用气体,朝向板主体115的下面透过透气性保护膜121,向下方喷出。如上所述,通过从板主体115的下面吹送冷却用气体,而且利用由透过的冷却用气体冷却后的板主体115的低温,来使基板G冷却。其中,通过在下部板115b上形成多个沟115d,使间隙115c内的冷却用气体很容易从沟115d流入下部板115b中的细孔。
作为构成上述板主体115的多孔质材料,可以使用相比之下热传导性、热放射率较好的材质,例如,多孔质铝合金等多孔质金属。此外,也可以使用镍合金、多孔质碳等。通过使用上述热传导性良好的多孔质材料而可以高效地冷却板主体115,容易利用冷却用气体进行冷却。此外,由于可以使在板主体115上的温度分布的均匀性保持良好,因此,可以均匀地从板主体115的下面供给低温,防止基板G的冷却差异。
作为透气性保护膜121的材质,使用热放射率较高的物质,而且,优选使用与板主体115的多孔质材料热膨胀率接近的材料,可以使用陶瓷,例如氧化铝(Al2O3)等。以此,可以有效地保护板主体115的多孔质材料。此外,可以利用例如热喷涂形成透气性保护膜121。以此,可以适当地形成具有多个气孔以及拥有透气性的膜。而且,在使用铝合金作为板主体115的多孔质材料的情况下,透气性保护膜121可以通过耐酸铝(alumite)处理(氧化处理)其表面而形成。
作为非透气性保护材料122的材质,可以使用陶瓷等。以此,可以有效地保护板主体115的多孔质材料。此外,非透气性保护材料122的材质,可以使用绝热性较高的材质。这样,可以防止板主体155中的低温从板主体115的上面和外侧面逃走,从板主体115下面的透气性保护膜121集中地进行冷却。因此,可以实现基板G的冷却效率的提高。
此外,使上面冷却用板111形成为能够升降的结构,可以相对于由支撑部件110支撑的基板G接近以及离开。如图3所示,在腔室101的上方设置有作为升降机构的气缸125,设置与气缸125连接的杆126,使其上下贯通腔室101的顶板。上面冷却用板111被安装在杆126的下端部。然后,利用气缸125的驱动,使杆126在Z轴方向上升降,以此,使上面冷却用板111与杆126一体地进行升降。使上面冷却用板111例如在从支撑部件110所支撑的基板G离开的上方的等待位置P3、和与基板G接近的下方的冷却处理位置P4之间移动。从而,如果采用使上面冷却用板111升降的结构,则在将基板G向支撑部件110上传递时,通过使上面冷却用板111上升至等待位置P3,可具有足够的空间来进行传递;在进行基板G的冷却时,通过下降至冷却处理位置P4,可有效地冷却基板G。其中,由于上面冷却用板111使用多孔质材料,因此重量轻,很容易使用较小的驱动力进行升降。
下面冷却用板112形成为具有厚度的大致长方形板状,沿着腔室101的底面大致水平设置,设置在由支撑部件110支撑的基板G的下面(例如设备未形成的背面)一侧,并相对于腔室101而固定。上述支撑部件110分别设置在形成于下面冷却用板112上的多个孔128内。下面冷却用板112以相对于由支撑部件110支撑的基板G的下面呈大致平行的状态而相向设置。下面冷却用板112的上面的面积,与基板G的面积大致相同,或者大于基板G下面的面积,可覆盖基板G的整个下面进行冷却。
在下面冷却用板112的内部,内置有使冷却水通过的冷却水送水路130。冷却水送水路130与设置在腔室101外部的图未示出的冷却水供给源连接。从冷却水供给源供给冷却水,经下面冷却用板112内的冷却水送水路130而循环,使下面冷却用板冷却后,回收到下面冷却用板112的外部。
此外,在腔室101上连接有向负载锁定室102内供给例如N2气体(氮气)或He气体(氦气)等惰性气体的气体供给路131,以及使负载锁定室102内强制排气的排气路132。即,可利用从气体供给路131的气体供给,和通过排气路132进行的强制排气,来调节负载锁定室102内的压力。
下面,对如上所述构成的处理系统1中的基板G的处理工序进行说明。首先,使收纳有多块基板G的载置盒C,在使开口16朝向搬运装置12一侧的状态下承载在装载台11上。然后,使搬运装置12的搬运臂15进入开口16,取出一块基板G。使保持基板G的搬运臂15向着与设置在下段的负载锁定装置21的闸阀25的前方相向的位置移动。
另一方面,在负载锁定装置21中,利用闸阀25、26分别封闭搬入口63和搬出口64,使负载锁定室62密封。在负载锁定装置22中,利用闸阀27、28封闭搬入口103和搬出口104,使负载锁定室102密封。从而,搬入搬出部2的气氛和处理部3的搬运室33内的气氛通过负载锁定装置21、22而形成相互隔断的状态。与搬入搬出部2例如形成大气压相对,将搬运室33内抽成真空。
在负载锁定装置21中,首先,在使负载锁定装置21内形成规定压力的状态下,即,在与搬入搬出部2大致相同的大约大气压的状态下,利用闸阀26使搬出口64保持关闭,使闸阀26形成开放状态,并打开搬入口63。在打开搬入口63的期间,仍利用闸阀26封闭搬出口64,以此可以维持搬运室33内的真空状态。此外,下面加热用板72下降至等待位置P1。在该状态下,使保持基板G的搬运臂15通过搬入口63进入到负载锁定室62内,将基板G从搬运臂15传递到保持部件70上。
如上所述,使基板G通过搬入口63搬入,搬运臂15从负载锁定室62退出后,关闭闸阀25,使负载锁定室62形成密封状态,通过利用排气路95对负载锁定室62内进行强制排气,而使负载锁定室62内减压至规定压力,即,与搬运室33内大致相同压力的真空状态。
另一方面,利用上面加热用板71和下面加热用板72,从两面加热基板G。首先,使下面加热用板72从等待位置P1上升。然后,在下面加热用板72的上升途中,利用支撑部件93将基板G从保持部件70抬起,形成由支撑部件93支撑的状态。基板G承载在各支撑部件93的上端部大致水平地支撑,并与下面加热用板72一体地上升,接近上面加热用板71。之后,将下面加热用板72设置在加热处理位置P2,形成使上面加热用板71的下面接近整个基板G的上面,使下面加热用板72的上面接近整个基板G的下面的状态。在基板G的下面和下面加热用板72的上面之间,以及基板G的上面与上面加热用板71的下面之间,分别形成宽度大致均匀的间隙。此外,如图4所示,使从上面加热用板71的下面边缘部分突出设置的非透气性保护材料82的下边缘部分,与下面加热用板72的上面边缘部分接近,形成为包围基板G的方式。
利用来自发热体86的传热,使下面加热用板72的上面均匀地升温,利用来自下面加热用板72上面的辐射热,使基板G的下面均匀地被加热。另一方面,在上面加热用板71中,从供给管77向间隙75c供给加热用气体。导入间隙75c的加热用气体流入下部板75b中的细孔。然后,一边在下部板75b内扩散一边向下面透过透气性保护膜81的细孔,从透气性保护膜81向下方喷出,并吹送到基板G的上面。此后,通过使加热用气体接触基板G,高效地对基板G进行加热。从板主体71向基板G的上面供给的加热用气体,沿着基板G的上面向基板G边缘部分一侧流动,从非透气性保护材料82的下边缘部分和下面加热用板72的上面边缘部分之间的间隙,向外侧流出,并利用排气路95排气。
其中,从供给管77导入间隙75c的加热用气体,扩散到大于基板G的面积的整个间隙75c中,从间隙75c均匀地渗透至下部板75b的整个上面。在从间隙75c渗透至下部板75b时,很容易分别从形成于下部板75b上的多个沟75d均匀流入。从而,使加热用气体均匀地通过整个下部板75b,并使加热用气体以均匀的流量从透气性保护膜81下面的全部细孔喷出,因而,可以均匀地加热基板G的整个上面。此外,上述间隙75c内的加热用气体也渗透至上部板75a中并扩散,使整个板主体75升温。然后,利用来自加热后的板主体75的辐射热对基板G进行加热。如上所述,通过使加热用气体均匀地通过整个下部板75b,利用加热用气体使整个下部板75b均匀地加热。因此,从板主体75的下面均匀地放射辐射热,可以均匀地利用辐射热对基板G进行加热。此外,由于在基板G的周围设置有非透气性保护材料82的下边缘部分,因此集中地向基板G的上面供给加热用气体以及辐射热。从而,可对基板G更加有效地进行加热。此外,利用非透气性保护材料82的下边缘部分,可以防止基板G从上面加热用板71和下面加热用板72之间移位。
如上所述,通过从两个面对基板G进行加热,可以均匀地加热基板G,还可以在短时间内高效地进行加热。此外,在使加热用板仅向基板G的一个面接近,并仅从一面进行加热的情况下,在加热一侧的面和与其相对一侧的面之间发生温度差,由于热应力的影响,产生基板G发生弯曲的问题,但是,如上所述,通过从两个面加热基板G而可以防止在基板G上产生温度差,从而可防止基板G弯曲。
在基板G的加热结束后,当负载锁定室62成为大致真空的状态后,利用闸阀25使搬入口63保持关闭,使闸阀26成为开放状态,并打开搬出口64。在打开搬出口64期间,仍利用闸阀25封闭搬入口63,以此可以维持负载锁定室62和搬运室33内的真空状态。然后,使下面加热用板72下降,返回到等待位置P1。随后,在下面加热用板72下降的途中,使保持部件70与基板G的下面接触,基板G从支撑部件93传递至保持部件70,基板G形成为从上面加热用板71和下面加热用板72离开的状态。在该状态下,使第二搬运装置31的搬运臂51通过搬出口64进入到负载锁定室62内。然后,利用搬运臂51将基板G从保持部件70取下,使保持有基板G的搬运臂51从负载锁定室62退出。之后,将基板G从负载锁定室62经过搬出口64搬出,并搬入到处理部3的搬运室33中。
利用搬运臂51,将搬入到搬运室33内的基板G从搬运室33搬入到基板处理装置30A~30E的任何一个中,实施利用规定的等离子CVD处理进行的成膜。在基板处理装置30A~30E中,在低压气氛下使基板G加热的同时,向处理室内供给反应气体,利用微波的能量使反应气体等离子体化。从而,在基板G的表面上形成规定的薄膜。在此,由于搬入的基板G在负载锁定室62中已进行预热,因此,可以缩短在基板处理装置30A~30E中对基板G进行加热的时间,从而可以高效地进行处理。
在基板处理装置30A~30E中基板G的处理结束后,利用搬运臂51将基板G从基板处理装置30A~30E中取出,并搬出到搬运室33中。此时,基板G处于高温状态。
另一方面,负载锁定装置22分别利用封闭状态的闸阀27、28而气密地将搬入口103、搬出口104密封,使负载锁定室102处于密封状态。此外,利用排气路132的强制排气,使负载锁定室102内降压至规定的压力,即与搬运室33大致相同的真空状态。在该状态下,利用闸阀28使搬出口104保持关闭,使闸阀27形成开放状态,打开搬入口103。在打开搬入口103的期间,仍利用闸阀28封闭搬出口104,以此可维持负载锁定室102和搬运室33内的真空状态。而且,使上面冷却用板111待机在等待位置P3。然后,使保持基板G的搬运臂51通过搬入口103进入到负载锁定室102内,将基板G从搬运臂51传递到支撑部件110上。
通过搬入口103搬入基板G,将搬运臂51从负载锁定室102退出后,关闭闸阀27,使负载锁定室102形成密闭状态。然后,从气体供给路131向负载锁定室102内供给惰性气体,使负载锁定装置21内加压至规定的压力,即形成与搬入搬出部2大致相同的大约大气压。
另一方面,利用上面冷却用板111和下面冷却用板112,从两个面冷却基板G。在冷却时,使上面冷却用板111下降而设置在冷却处理位置P4。即,形成使上面冷却用板111的下面向基板G的整个上面接近,使下面冷却用板112的上面向基板G的整个下面接近的状态。在上面冷却用板111和基板G之间,以及下面冷却用板112和基板G之间,分别形成大致均匀宽度的间隙。从上面冷却用板111的下面边缘部分突出设置的非透气性保护材料122的下边缘部分,与图5所示的下面冷却用板112的上面边缘部分接近,形成为包围基板G的方式。
利用通过冷却水送水路130的冷却水的低温,使下面冷却用板112的上面均匀地冷却,利用下面冷却用板112的上面的低温,使基板G的下面均匀地冷却。另一方面,在上面冷却用板111中,从供给管117向间隙115c供给冷却用气体。导入到间隙115c中的冷却用气体流入下部板115b中的细孔。然后,一边在下部板115b内扩散一边向下面透过透气性保护膜121,从透气性保护膜121向下方喷出,吹送到基板G的上面。此后,通过使冷却用气体与基板G接触,使基板G高效地冷却。从板主体115向基板G的上面供给的冷却用气体,沿着基板G的上面向基板G的边缘部分流动,从非透气性保护材料122的下边缘部分和下面冷却用板112的上面边缘部分之间的缝隙向外侧流出,并利用排气路132进行排气。
而且,从供给管117导入间隙115c的冷却用气体,扩散到大于基板G的面积的整个间隙115c中,并从间隙115c均匀地渗透至下部板115b的整个上面。在从间隙115c向下部板115b渗透时,很容易分别从形成于下部板115b上的多个沟115d均匀流入。从而,使冷却用气体均匀地通过整个下部板115b,使冷却用气体以均匀的流量从透气性保护膜121下面的全部细孔喷出,因而,可以均匀地冷却基板G的整个上面。此外,上述间隙115c内的冷却用气体也渗透至上部板115a中并扩散,使整个板主体115冷却。利用冷却后的板主体115的低温来冷却基板G。如上所述,通过使冷却用气体均匀地通过整个下部板115b,利用冷却用气体使整个下部板115b均匀地冷却。因此,可以利用板主体115的低温均匀地对基板G进行冷却。此外,由于在基板G的周围设置有非透气性保护材料122的下边缘部分,因此,集中地向基板G的上面供给冷却用气体以及低温。从而,可对基板G更有效地进行冷却。此外,利用非透气性保护材料112的下边缘部分而可以防止基板G从上面冷却用板111和下面冷却用板112之间移位。
如上所述,通过利用上面冷却用板111和下面冷却用板112从两面对基板G进行冷却,可以均匀地冷却基板G,还可以在短时间内高效地进行冷却。此外,在使冷却用板仅向基板G的一个面接近,仅从一面进行冷却的情况下,在冷却一侧的面和与其相对一侧的面之间发生温度差,由于热应力的影响而产生基板G发生弯曲的问题,但是,如上所述,通过从两面均匀地冷却基板G,可以防止在基板G上产生温度差,从而可以防止基板G发生弯曲。
在基板G的冷却结束后,当负载锁定室102成为大致大气压的状态后,利用闸阀27使搬入口103保持关闭,使闸阀28形成为开放状态,打开搬出口104。在打开搬出口104的期间,仍利用闸阀27封闭搬入口103,以此可以维持搬运室33内的真空状态。使上面冷却用板111返回等待位置P3。随后,使搬运装置12的搬运臂15通过搬出口104进入负载锁定室102内,利用搬运臂15将基板G从支撑部件110取下,使保持有基板G的搬运臂15从负载锁定室102退出。然后,利用搬运臂15将基板G从负载锁定室102通过搬出口104搬出到搬入搬出部2,返回到装载台11上的载置盒C中。如上所述,完成在处理系统1中的一系列工序。
根据上述处理系统1,通过将上面加热用板71的板主体75形成为多孔质材料,而可以使加热用气体在板主体75中的细孔中通过。从板主体75的表面均匀地喷出加热用气体,可以向基板G的整个表面均匀地吹送加热用气体。因而,利用加热用气体可以高效且均匀地加热基板G,并可以适宜地调节基板G的温度。通过均匀地预热基板G,可以防止基板G的变形,还可以防止在基板处理装置30A~30E中的处理差异。通过在上面加热用板71上使用轻量的多孔质材料,可以实现装置的轻量化。
此外,通过使上面冷却用板111的板主体115形成为多孔质材料,而可以使冷却用气体在板主体115中的细孔中通过。从板主体115的表面均匀地喷出冷却用气体,可以向基板G的整个表面均匀地吹送冷却用气体。因而,利用冷却用气体可以高效且均匀地冷却基板G,并可以适宜地调节基板G的温度。通过均匀地冷却基板G,而可以防止基板G的变形。通过在上面冷却用板111中使用轻量的多孔质材料,而可以实现装置的轻量化。
以上,对本发明优选实施方式进行了说明,但是本发明并不局限于所述实例。很明显,作为本领域技术人员,可以在专利申请的权利要求所记载的技术思想范围内实现各种变更,因此,对于这些实施方式也应当属于本发明的技术范畴。
在上述的实施方式中,设置有一台加热用负载锁定装置21,但也可以设置两台以上的所述负载锁定装置21。另外,设置有一台冷却用负载锁定装置22,但也可以设置两台以上的所述负载锁定装置22。而且,加热用负载锁定装置21和冷却用负载锁定装置22并不局限于上下重叠,也可以采用例如并列设置的方式,还可以设置在分开的位置。
在以上的实施方式所示的负载锁定装置21中,采用在上面加热用板71中,从供给管77向设置于板主体75内部的间隙75c供给加热用气体的结构,但是,加热用气体供给路76的实施方式并不局限于所述实施方式。例如,如图6所示,还可以采用在板主体75的上面和非透气性保护材料82之间设置间隙140,从供给管77向间隙140供给加热用气体,在整个板主体75中,加热用气体向下方流动的方式。
在以上的实施方式中,采用向上面加热用板71供给预热的加热用气体,用加热用气体来加热板主体75和基板G的结构,但是,也可以如图7所示,采用在板主体75内设置例如铠装加热器等发热体,利用发热体加热板主体75以及通过板主体75的气体的结构。在图7所示实施例中,发热体150形成为细线形,沿着板主体75的多个沟75d的内部设置。在发热体150上连接有设置于腔室61外部的交流电源151,利用从交流电源151供给的电力产生电阻热。此外,从供给管77供给例如N2气体或He气体等惰性气体。即,构成由供给管77、间隙75c形成的气体供给路152。
在所述结构中,利用从发热体150传导的热量来加热板主体75。从气体供给路152供给的气体,在流入板主体75的下部板75b内时,利用发热体150而被加热,而且,在通过板主体75的期间,利用板主体75的热量进行加热。这样,使由发热体150以及板主体75加热后的气体,从板主体75的表面喷出,供给到基板G的表面或背面。因此,即使不预热气体,也可以利用发热体150以及板主体75进行充分加热,可以将充分加热后的气体吹送至基板G。此外,在使气体通过板主体75时,由于利用气体将发热体150的热量传递至板主体75中,因此,可利用气体的流动促进板主体75的加热。通过使气体在板主体75中均匀地流动,使板主体75温度分布的均匀性良好。从而,可以高效且均匀地对板主体75的下面进行加热,均匀地放射来自板主体75下面的辐射热。所以,能够高效且均匀地对基板G进行加热。
此外,在以上实施方式中,使下面加热用板72形成为升降,并形成利用下面加热用板72上的支撑部件93从保持部件70接受基板G的结构,但是,也可以采用不接受基板G,只是接近由保持部件70(在此情况下,作为在加热时支撑基板的支撑部件的功能)支撑的基板G的结构。此外,还可以采用使上面加热用板71形成为升降,并利用上面加热用板71本身的升降移动,使上面加热用板接近或离开基板G的结构。由于上面加热用板71使用多孔质材料,因此重量轻,可以用很小的驱动力使其轻易地升降。此外,在以上实施方式中,使上面加热用板71和下面加热用板72,在分别相对于基板G隔开间隙并接近的状态下进行加热,但是,也可以使上面加热用板71和下面加热用板72在与基板G接触的状态下进行加热。
在以上实施方式中,使上面加热用板71形成为具有由多孔质材料构成的板主体75和喷出加热用气体的构造,但是,也可以代替上面加热用板71,使下面加热用板72形成为具有由多孔质材料构成的板主体和喷出加热用气体的构造。这样,可以向基板G的背面均匀地吹送加热用气体,从而能够高效且均匀地加热基板G的背面。此外,也可以使上面加热用板71和下面加热用板72两者均形成为具有由多孔质材料构成的板主体和喷出加热用气体的构造。
此外,还可以在上面加热用板71或下面加热用板72的表面设置静电吸附用电极来静电吸附基板G。图8表示在具有加热用气体喷出构造的下面加热用板上设置有静电吸附用电极的实施方式。在图8中,下面加热用板160设置有由多孔质材料构成的、具有透气性的板主体161,和向板主体161供给加热用气体的加热用气体供给路162。板主体161与板主体75相同,在上部板161a和下部板161b之间形成大致均匀宽度的在大致水平方向上扩展的间隙161c。在上部板161a的下面设置有向上呈凹状下陷的多个沟161d。利用与间隙161c连接的供给管163的内部流路、间隙161c、沟161d构成加热用气体供给路162。在板主体161的上面形成有透气性保护膜171,利用非透气性保护材料172覆盖板主体161的下面以及外侧面。此外,在透气性保护膜171的内部,内置有由薄层状导体构成的静电吸附用电极173。静电吸附用电极173具有透气性,而且,其全体由透气性保护膜171所覆盖,以进行保护。在此情况下,作为透气性保护膜171的材质,使用具有绝缘性的材质,例如使用氧化铝等的陶瓷等。此外,静电吸附用电极173与设置在腔室61外部的直流电源175连接。而且,也可以利用热喷涂等形成静电吸附用电极173。例如,可以在板主体161的表面,按照透气性保护膜171、静电吸附用电极173、透气性保护膜171的顺序进行热喷涂,形成层状。此外,保持部件70分别设置在上下贯通下面加热用板160而形成的多个孔176内,使下面加热用板160形成为在各孔176沿着保持部件70移动的同时能够升降的结构。
在所涉及的结构中,利用在下面加热用板160的上面,在透气性保护膜171的表面产生的静电力,将基板G吸附在透气性保护膜171的表面。从而,使基板G在紧密接触的状态下稳定地保持在下面加热用板160上。其中,在接受基板G时,首先使下面加热用板160下降到等待位置,由保持部件70接受基板G,使下面加热用板160上升,利用下面加热用板160从保持部件70抬起并静电吸附基板G。另一方面,依次通过透气性保护膜171、静电吸附用电极173、透气性保护膜171,向静电吸附的基板G的整个下面供给加热用气体。以此,可以高效且均匀地对基板G进行加热。而且,在所涉及的下面加热用板160中,与图7所示的上面加热用板71相同,也可以形成为在板主体161内设置发热体,利用发热体使板主体161以及通过板主体161的气体加热的结构。
此外,在以上实施方式中所示的负载锁定装置22中,采用在上面冷却用板111中,从供给管117向设置于板主体115内部的间隙115c供给冷却用气体的结构,但是,冷却用气体供给路116的实施方式并不局限于所述实施例。例如,如图9所示,还可以采用在板主体115的上面和非透气性保护材料122之间设置间隙170,从供给管117向间隙170供给冷却用气体,在整个板主体115中,冷却用气体向下方流动的方式。
在以上实施方式中,采用向上面冷却用板111供给预冷却的冷却用气体,利用冷却用气体对板主体115和基板G进行冷却的结构,但是也可以如图10所示,采用在板主体115内设置例如供给冷却水的冷却水送水路,利用冷却水送水路使板主体115以及通过板主体115的气体冷却的结构。在图10所示实施例中,冷却水送水路180形成为细管状,沿着板主体115的多个沟115d的内部设置。此外,冷却水送水路180与设置于腔室101外部的图未示出的冷却水供给源连接。另一方面,从供给管117供给例如N2气体或者He气体等惰性气体。即,构成由供给管117、间隙115c形成的气体供给路181。
在所述结构中,利用通过冷却水送水路180内部的冷却水的低温来冷却板主体115。从气体供给路181供给的气体,在流入板主体115的下部板115b内时,由冷却水送水路180而冷却,而且,在通过板主体115的期间,利用板主体115的低温进行冷却。这样,使通过送水路180以及板主体115而冷却的气体,从板主体115的表面喷出,供给到基板G的表面或者背面。因此,即使对气体不进行预冷却,也可以利用冷却水送水路180以及板主体115进行充分冷却,可将充分冷却的气体吹送至基板G。此外,在使气体通过板主体115时,由于利用气体将冷却水送水路180的低温传递至板主体115中,因此,可利用气体的流动促进板主体115的冷却。通过使气体在板主体115中均匀流动,使板主体115温度分布的均匀性良好。从而,能够高效且均匀地对板主体115的下面进行冷却,均匀地供给来自板主体115下面的低温。因此,可高效且均匀地对基板G进行冷却。
此外,在以上实施方式中,使上面冷却用板111形成为可相对于腔室101升降,并可相对于基板G接近以及离开,且使下面冷却用板112形成为相对于腔室101固定的结构,但是,当然也可以使下面下冷却用板112形成为可相对基板G接近以及离开的结构。此外,也可以形成例如与负载锁定装置21中的下面加热用板72相同,在下面冷却用板112的上面设置用于支撑基板G的支撑部件,在基板G的冷却时,从支撑部件110接受基板G的结构。在此情况下,可使上面冷却用板111和下面冷却用板112形成相对于收纳在两者间的基板G,可分别相向地接近以及离开的结构。此外,在以上实施方式中,使上面冷却用板111和下面冷却用板112在分别相对于基板G隔开间隔并接近的状态下进行冷却,但是,也可以使上面冷却用板111和下面冷却用板112在与基板G相接触的状态下进行冷却。
在以上实施方式中,使上面冷却用板111具有由多孔质材料构成的板主体115和喷出冷却用气体的构造,但是,也可以代替上面冷却用板111,使下面冷却用板112具有由多孔质材料构成的板主体和喷出冷却用气体构造。这样,可以向基板G的背面均匀地吹送冷却用气体,可以高效且均匀地冷却基板G的背面。另外,也可以使上面冷却用板111和下面冷却用板112两者均具有由多孔质材料构成的板主体以及喷出冷却用气体构造。
此外,还可以在上面冷却用板111或下面冷却用板112的表面设置静电吸附用电极来静电吸附基板G。图11表示的是在具有冷却用气体喷出构造的下面冷却用板上设置有静电吸附用电极时的实施方式。在图11中,下面冷却用板190设置有由多孔质材料构成的、具有透气性的板主体191,和向板主体191供给冷却用气体的冷却用气体供给路192。板主体191与板主体115相同,在上部板191a和下部板191b之间形成大致均匀宽度的在大致水平方向上扩展的间隙191c。在上部板191a的下面设置有向上呈凹状下陷的多个沟191d。利用与间隙191c连接的供给管193的内部空间、间隙191c、沟191d而构成冷却用气体供给路192。在板主体191的上面形成有透气性保护膜201,利用非透气性保护材料202覆盖板主体191的下面以及外侧面。此外,在透气性保护膜201的内部,内置有由薄层状导体构成的静电吸附用电极203。静电吸附用电极203具有透气性,而且,其全体由透气性保护膜201所覆盖而被保护。在此情况下,作为透气性保护膜201的材质,使用具有绝缘性的材质,例如氧化铝等的陶瓷等。此外,静电吸附用电极203与设置在腔室101的外部的直流电源205连接。其中,也可以利用热喷涂等形成静电吸附用电极203。例如,可以在板主体191的表面,按照透气性保护膜201、静电吸附用电极203、透气性保护膜201的顺序进行热喷涂而形成层状。在上述结构中,利用在下面冷却用板190的上面上在透气性保护膜201表面产生的静电力,将基板G吸附到透气性保护膜201的表面。从而,使基板G在紧密接触的状态下稳定地保持在下面冷却用板190上。此外,使冷却用气体依次通过板主体191、透气性保护膜201、静电吸附用电极203、透气性保护膜201而供给到基板G的整个下面。以此,能够高效且均匀地对基板G进行冷却。其中,在所述下面冷却用板190中,与图10所示的上面冷却用板111相同,也可以形成在板主体191内设置例如供给冷却水的冷却水送水路,可利用冷却水送水路使板主体191以及通过盘191中的气体冷却的结构。
本发明的处理系统并不局限于具有多个基板处理装置的多腔室型处理系统。此外,在以上实施方式中,对在处理部3进行等离子CVD处理的处理系统1进行说明,但是,在处理部中进行的处理,也可以是其他的处理。本发明可适用于在其他低压气氛下进行的处理、例如在处理部中进行热CVD处理、蚀刻处理、灰化处理等处理系统。此外,在以上实施方式中,对处理LCD用基板G的情况进行说明,但是,也可以是其他的基板,例如,半导体晶片等。
本发明是设置在例如进行基板的CVD处理等处理系统中的负载锁定装置,并可适用于在该处理系统中的处理方法。

Claims (22)

1.一种负载锁定装置,其特征在于,包括:
设置在相对外部搬入搬出基板的搬入搬出部一侧的搬入口和设置在处理基板的处理部一侧的搬出口,其中,
具有对搬入到负载锁定装置内的基板进行加热的加热用板;
所述加热用板包括由多孔质材料构成的板主体和向所述板主体供给被加热的加热用气体的加热用气体供给路,
使所述加热用气体通过所述板主体中,并从所述板主体的表面喷出,向基板供给。
2.一种负载锁定装置,其特征在于,包括:
设置在相对外部搬入搬出基板的搬入搬出部一侧的搬入口和设置在处理基板的处理部一侧的搬出口,其中,
具有对搬入到负载锁定装置内的基板进行加热的加热用板;
所述加热用板包括由多孔质材料构成的板主体、设置在所述板主体内的发热体、和向所述板主体供给气体的气体供给路;
所述气体在通过由所述发热体加热的板主体中时而被加热,并从所述板主体的表面喷出,向基板供给。
3.如权利要求1或2所述负载锁定装置,其特征在于:
所述多孔质材料为多孔质碳。
4.如权利要求1、2或3所述负载锁定装置,其特征在于:
在所述板主体的表面设置有具有透气性的保护膜。
5.如权利要求1~4中任一项所述负载锁定装置,其特征在于:
所述加热用板能够相对于基板相对地接近以及离开。
6.如权利要求1~5中任一项所述负载锁定装置,其特征在于:
在所述加热用板上设置有静电吸附基板的静电吸附用电极。
7.如权利要求1~6中任一项所述负载锁定装置,其特征在于:
具有所述加热用板以及第二加热用板;
所述加热用板以及第二加热用板中的一方设置于基板的表面一侧,另一方设置于基板的背面一侧。
8.一种负载锁定装置,其特征在于,包括:
设置在相对外部搬入搬出基板的搬入搬出部一侧的搬入口和设置在处理基板的处理部一侧的搬出口,其中,
具有对搬入到负载锁定装置内的基板进行冷却的冷却用板;
所述冷却用板包括由多孔质材料构成的板主体和向所述板主体供给被冷却的冷却用气体的冷却用气体供给路;
使所述冷却用气体通过所述板主体中,并从所述板主体的表面喷出,向基板供给。
9.一种负载锁定装置,其特征在于,包括:
设置在相对外部搬入搬出基板的搬入搬出部一侧的搬入口和设置在处理基板的处理部一侧的搬出口,其中,
具有对搬入到负载锁定装置内的基板进行冷却的冷却用板;
所述冷却用板包括由多孔质材料构成的板主体、设置在所述板主体内的冷却水送水路、和向所述板主体供给气体的气体供给路;
所述气体在通过由所述冷却水送水路冷却的板主体中时而被冷却,并从所述板主体的表面喷出,向基板供给。
10.如权利要求8或9所述负载锁定装置,其特征在于:
所述多孔质材料为多孔质碳。
11.如权利要求8、9或10所述负载锁定装置,其特征在于:
在所述板主体的表面设置有具有透气性的保护膜。
12.如权利要求8~11中任一项所述负载锁定装置,其特征在于:
所述冷却用板能够相对于基板相对地接近以及离开。
13.如权利要求8~12中任一项所述负载锁定装置,其特征在于:
在所述冷却用板上设置有静电吸附基板的静电吸附用电极。
14.如权利要求8~13中任一项所述负载锁定装置,其特征在于:
具有所述冷却用板以及第二冷却用板;
所述冷却用板以及第二冷却用板中的一方设置于基板的表面一侧,另一方设置于基板的背面一侧。
15.一种负载锁定装置,其特征在于:
设置有如权利要求1~7中何一项所述负载锁定装置,以及如权利要求8~14中任一项所述负载锁定装置。
16.一种负载锁定装置,其特征在于:
上下重叠设置有如权利要求1~7中任一项所述负载锁定装置,以及如权利要求8~14中任一项所述负载锁定装置。
17.一种处理方法,其特征在于:
其是将基板从搬入搬出部通过负载锁定装置搬入到处理部,在所述处理部中对基板进行处理的方法,其中:
使设置在所述负载锁定装置的处理部一侧的搬出口保持关闭,打开设置在所述负载锁定装置的搬入搬出部一侧的搬入口,使基板通过所述搬入口而搬入到负载锁定装置内;
关闭所述搬入口,使具有由多孔质材料构成的板主体的加热用板接近基板的表面或背面,使已加热的加热用气体通过所述板主体,从所述板主体向基板供给来加热基板;
使所述搬入口保持关闭状态,打开所述搬出口,使所述基板通过所述搬出口而搬入到处理部。
18.一种处理方法,其特征在于:
其是将基板从搬入搬出部通过负载锁定装置搬入到处理部,在所述处理部中对基板进行处理的方法,其中,
使设置在所述负载锁定装置的处理部一侧的搬出口保持关闭,打开设置在所述负载锁定装置的搬入搬出部一侧的搬入口,使基板通过所述搬入口而搬入到负载锁定装置内;
关闭所述搬入口,使具有由多孔质材料构成的板主体的加热用板接近基板的表面或背面,并使设置于所述板主体内部的发热体发热,同时,使气体通过所述板主体,利用由所述发热体加热的板主体对所述气体进行加热,将所述已加热的气体从所述板主体供给至基板来加热基板;
使所述搬入口保持关闭状态,打开所述搬出口,使所述基板通过所述搬出口而搬入到处理部。
19.如权利要求17或18所述处理方法,其特征在于:
所述处理部与所述搬入搬出部相比进一步被减压;
在向所述负载锁定装置中搬入基板后,关闭所述搬入口,使所述负载锁定装置内成为密闭状态;
将所述负载锁定装置内减压至规定压力后,打开所述搬出口,使基板从所述负载锁定装置搬出至处理部。
20.一种处理方法,其特征在于:
其是将基板从搬入搬出部通过负载锁定装置搬入到处理部,在所述处理部中对基板进行处理的方法,其中,
使设置于所述负载锁定装置的处理部一侧的搬出口保持关闭状态,打开设置在所述负载锁定装置的搬入搬出部一侧的搬入口,使基板通过所述搬入口而搬入到负载锁定装置内;
关闭所述搬入口,使具有由多孔质材料构成的板主体的冷却用板接近基板的表面或背面,使已冷却的冷却用气体通过所述板主体,从所述板主体向基板供给来冷却基板;
使所述搬入口保持关闭状态,打开所述搬出口,使基板通过所述搬出口而搬入到处理部。
21.一种处理方法,其特征在于:
其是将基板从搬入搬出部通过负载锁定装置搬入到处理部,在所述处理部中对基板进行处理的方法,其中,
使设置于所述负载锁定装置的处理部一侧的搬出口保持关闭状态,打开设置在所述负载锁定装置的搬入搬出部一侧的搬入口,使基板通过所述搬入口而搬入到负载锁定装置内;
关闭所述搬入口,使具有由多孔质材料构成的板主体的冷却用板接近基板的表面或背面,并使冷却水通过设置于所述板主体内部的冷却水送水路,同时,使气体通过所述板主体,利用由所述冷却水送水路冷却的板主体冷却所述气体,使所述已冷却的气体从所述板主体向基板供给来冷却基板;
使所述搬入口保持关闭状态,打开所述搬出口,使所述基板通过所述搬出口而搬入到处理部。
22.如权利要求20或21所述处理方法,其特征在于:
所述处理部与所述搬入搬出部相比进一步被减压;
在向所述负载锁定装置中搬入基板后,关闭所述搬入口,使所述负载锁定装置内成为密闭状态;将所述负载锁定装置内加压至规定压力后,打开所述搬出口,使基板从所述负载锁定装置搬出至搬入搬出部。
CN2006100721180A 2005-04-18 2006-04-12 负载锁定装置及处理方法 Expired - Fee Related CN1854839B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005119733 2005-04-18
JP2005119733A JP4619854B2 (ja) 2005-04-18 2005-04-18 ロードロック装置及び処理方法
JP2005-119733 2005-04-18

Publications (2)

Publication Number Publication Date
CN1854839A true CN1854839A (zh) 2006-11-01
CN1854839B CN1854839B (zh) 2011-11-09

Family

ID=37107264

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006100721180A Expired - Fee Related CN1854839B (zh) 2005-04-18 2006-04-12 负载锁定装置及处理方法

Country Status (5)

Country Link
US (2) US7624772B2 (zh)
JP (1) JP4619854B2 (zh)
KR (2) KR100880049B1 (zh)
CN (1) CN1854839B (zh)
TW (1) TWI416643B (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101311786B (zh) * 2007-05-25 2010-09-29 群康科技(深圳)有限公司 液晶显示面板制造方法及干燥装置
CN101562124B (zh) * 2008-04-18 2011-01-26 爱德牌工程有限公司 用于处理衬底的设备和方法
CN101643149B (zh) * 2008-08-05 2012-05-23 株式会社幸和 具有加热功能的输送机
CN104465358A (zh) * 2013-09-20 2015-03-25 东和株式会社 切断装置及切断方法
US9230842B2 (en) 2010-09-22 2016-01-05 Tokyo Electron Limited Substrate processing apparatus
WO2016145959A1 (zh) * 2015-03-16 2016-09-22 京东方科技集团股份有限公司 基板加热装置和基板加热方法
CN107275250A (zh) * 2016-04-08 2017-10-20 上海新昇半导体科技有限公司 降低预抽腔体中芯片温度的方法及芯片降温装置
CN107534001A (zh) * 2015-04-22 2018-01-02 应用材料公司 负载锁定设备、冷却板组件及电子装置处理系统与方法
CN108645640A (zh) * 2018-06-21 2018-10-12 南方电网科学研究院有限责任公司 一种加热板装置及散热测试系统
CN110600453A (zh) * 2018-06-12 2019-12-20 欣兴电子股份有限公司 封装载板
CN111430268A (zh) * 2019-01-10 2020-07-17 东京毅力科创株式会社 处理装置
CN113016058A (zh) * 2018-10-18 2021-06-22 应用材料公司 装载锁定主体部分、装载锁定装置及其制造方法
CN114127332A (zh) * 2019-09-06 2022-03-01 佳能安内华股份有限公司 负载锁定装置

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3910791B2 (ja) * 2000-09-19 2007-04-25 東京エレクトロン株式会社 基板の熱処理方法及び基板の熱処理装置
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US7652227B2 (en) * 2006-05-18 2010-01-26 Applied Materials, Inc. Heating and cooling plate for a vacuum chamber
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
JP4801522B2 (ja) * 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ 半導体製造装置及びプラズマ処理方法
US10541157B2 (en) * 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
KR101522324B1 (ko) * 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
US8232352B2 (en) * 2007-06-11 2012-07-31 Bridgestone Corporation Rubber composition and tire using the same
KR100905488B1 (ko) * 2007-06-25 2009-07-01 (주)에티스 반도체 제조용 히팅 장치
KR20100000146A (ko) * 2008-06-24 2010-01-06 주성엔지니어링(주) 챔버리드를 포함하는 기판처리를 위한 진공챔버
KR20120023656A (ko) * 2009-05-15 2012-03-13 가부시키가이샤 시마쓰세사쿠쇼 표면파 플라즈마 cvd 장치 및 성막 방법
US9221055B2 (en) * 2010-11-08 2015-12-29 Hitachi High-Technologies Corporation Reaction plate assembly, reaction plate and nucleic acid analysis device
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US10090181B2 (en) 2011-03-01 2018-10-02 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
JP5854741B2 (ja) * 2011-10-04 2016-02-09 株式会社アルバック 基板処理装置
DE102012100927A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
US9799543B2 (en) * 2012-02-16 2017-10-24 Saint-Gobain Glass France Process box, arrangements and methods for processing coated substrates
WO2013130191A1 (en) * 2012-02-29 2013-09-06 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US20130340939A1 (en) * 2012-06-21 2013-12-26 Tel Solar Ag System for substrate handling and processing
JP6241777B2 (ja) * 2012-07-20 2017-12-06 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9111971B2 (en) * 2012-07-30 2015-08-18 Applied Materials Israel, Ltd. System and method for temperature control of a semiconductor wafer
WO2014143846A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
JP2014204018A (ja) * 2013-04-08 2014-10-27 シンフォニアテクノロジー株式会社 被処理体の冷却ユニット
JP2014204017A (ja) * 2013-04-08 2014-10-27 シンフォニアテクノロジー株式会社 被処理体の受容装置
WO2015048144A1 (en) 2013-09-26 2015-04-02 Applied Materials, Inc Mixed-platform apparatus, systems, and methods for substrate processing
WO2017066418A1 (en) * 2015-10-15 2017-04-20 Applied Materials, Inc. Substrate carrier system
JP6747220B2 (ja) * 2016-09-28 2020-08-26 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN112424922A (zh) * 2018-07-17 2021-02-26 Asml荷兰有限公司 粒子束检查装置
TW202107528A (zh) * 2019-04-30 2021-02-16 美商得昇科技股份有限公司 氫氣輔助的大氣自由基氧化
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
US11557496B2 (en) * 2020-03-23 2023-01-17 Applied Materials, Inc. Load lock with integrated features
WO2023169766A1 (en) * 2022-03-11 2023-09-14 Asml Netherlands B.V. Vacuum chamber system including temperature conditioning plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1615878A (en) * 1920-11-02 1927-02-01 Henry L Doherty Door-closing device
JPS53139872A (en) * 1977-05-10 1978-12-06 Toray Industries Porous body comprising metal coated carbon fiber
US4307753A (en) * 1980-07-29 1981-12-29 Greer Hydraulics, Incorporated Wide frequency pulsation dampener device
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4734998A (en) * 1986-01-06 1988-04-05 Jimmy Wells Needham Method and apparatus for dehydrating toxic chemical sludge
JPH0333058Y2 (zh) * 1987-06-26 1991-07-12
ES2163388T3 (es) * 1988-05-24 2002-02-01 Unaxis Balzers Ag Instalacion de vacio.
US5007590A (en) * 1990-01-23 1991-04-16 Itex Enterprises, Inc. Apparatus and method for mixing solid or semi-solid wastes with additives
US5617963A (en) * 1995-06-14 1997-04-08 Unique Concepts Inc. Apparatus for mounting an appliance at an opening
JPH09249471A (ja) * 1996-03-14 1997-09-22 Sumitomo Sitix Corp 半導体製造装置の耐熱用具及びその製造方法
KR100218724B1 (ko) * 1996-10-30 1999-09-01 노승민 티에프티 엘씨디용 글라스의 자동 에칭장치 및 에칭방법
JPH11307513A (ja) * 1998-04-20 1999-11-05 Sony Corp 絶縁体基板対応プラズマ処理装置
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
SE515785C2 (sv) 2000-02-23 2001-10-08 Obducat Ab Anordning för homogen värmning av ett objekt och användning av anordningen
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
KR20080109062A (ko) 2000-09-15 2008-12-16 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
JP2002158273A (ja) * 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
JP4620879B2 (ja) * 2001-01-23 2011-01-26 キヤノンアネルバ株式会社 基板温度制御機構及び真空処理装置
JP4493863B2 (ja) * 2001-01-25 2010-06-30 東京エレクトロン株式会社 プラズマ処理装置およびそのクリーニング方法および静電チャックの除電方法
US6840982B2 (en) * 2001-03-13 2005-01-11 American Moxie, Llc Storage device utilizing a differentially permeable membrane to control gaseous content
JP2003007682A (ja) * 2001-06-25 2003-01-10 Matsushita Electric Ind Co Ltd プラズマ処理装置用の電極部材
JP4695297B2 (ja) * 2001-06-26 2011-06-08 キヤノンアネルバ株式会社 薄膜形成装置及びロードロックチャンバー
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
JP2003231970A (ja) * 2002-02-08 2003-08-19 Hitachi Zosen Corp 基板処理装置および基板処理方法
JP3856125B2 (ja) * 2002-05-10 2006-12-13 東京エレクトロン株式会社 処理方法及び処理装置
JP4048242B2 (ja) 2002-05-29 2008-02-20 エスペック株式会社 熱処理装置
JP3970184B2 (ja) * 2003-01-10 2007-09-05 東京エレクトロン株式会社 処理装置
JP4540953B2 (ja) * 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4350695B2 (ja) * 2004-12-01 2009-10-21 株式会社フューチャービジョン 処理装置

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101311786B (zh) * 2007-05-25 2010-09-29 群康科技(深圳)有限公司 液晶显示面板制造方法及干燥装置
CN101562124B (zh) * 2008-04-18 2011-01-26 爱德牌工程有限公司 用于处理衬底的设备和方法
CN101643149B (zh) * 2008-08-05 2012-05-23 株式会社幸和 具有加热功能的输送机
US9230842B2 (en) 2010-09-22 2016-01-05 Tokyo Electron Limited Substrate processing apparatus
CN104465358A (zh) * 2013-09-20 2015-03-25 东和株式会社 切断装置及切断方法
CN104465358B (zh) * 2013-09-20 2017-09-08 东和株式会社 切断装置及切断方法
WO2016145959A1 (zh) * 2015-03-16 2016-09-22 京东方科技集团股份有限公司 基板加热装置和基板加热方法
CN107534001A (zh) * 2015-04-22 2018-01-02 应用材料公司 负载锁定设备、冷却板组件及电子装置处理系统与方法
CN107534001B (zh) * 2015-04-22 2021-08-03 应用材料公司 负载锁定设备、冷却板组件及电子装置处理系统与方法
CN107275250A (zh) * 2016-04-08 2017-10-20 上海新昇半导体科技有限公司 降低预抽腔体中芯片温度的方法及芯片降温装置
CN110600453A (zh) * 2018-06-12 2019-12-20 欣兴电子股份有限公司 封装载板
CN110600453B (zh) * 2018-06-12 2021-07-27 欣兴电子股份有限公司 封装载板
CN108645640A (zh) * 2018-06-21 2018-10-12 南方电网科学研究院有限责任公司 一种加热板装置及散热测试系统
CN108645640B (zh) * 2018-06-21 2020-08-04 南方电网科学研究院有限责任公司 一种加热板装置及散热测试系统
CN113016058A (zh) * 2018-10-18 2021-06-22 应用材料公司 装载锁定主体部分、装载锁定装置及其制造方法
CN111430268A (zh) * 2019-01-10 2020-07-17 东京毅力科创株式会社 处理装置
CN111430268B (zh) * 2019-01-10 2024-04-09 东京毅力科创株式会社 处理装置
CN114127332A (zh) * 2019-09-06 2022-03-01 佳能安内华股份有限公司 负载锁定装置
CN114127332B (zh) * 2019-09-06 2024-04-09 佳能安内华股份有限公司 负载锁定装置

Also Published As

Publication number Publication date
KR20060109852A (ko) 2006-10-23
CN1854839B (zh) 2011-11-09
US20100040437A1 (en) 2010-02-18
TW200711022A (en) 2007-03-16
KR101118362B1 (ko) 2012-03-13
US7624772B2 (en) 2009-12-01
JP2006303013A (ja) 2006-11-02
JP4619854B2 (ja) 2011-01-26
TWI416643B (zh) 2013-11-21
KR100880049B1 (ko) 2009-01-22
US20060231027A1 (en) 2006-10-19
KR20070118208A (ko) 2007-12-14
US8196619B2 (en) 2012-06-12

Similar Documents

Publication Publication Date Title
CN1854839A (zh) 负载锁定装置及处理方法
KR101084830B1 (ko) 탑재대 구조체
CN1841652A (zh) 负载锁定装置、处理系统及处理方法
CN1314834C (zh) 处理装置、处理方法及载置部件
KR102042612B1 (ko) 반도체 프로세싱에서 엣지 링의 열 관리
TW200904732A (en) Substrate mounting stage and substrate processing apparatus
KR100856153B1 (ko) 기판 탑재 기구 및 기판 처리 장치
US20060005771A1 (en) Apparatus and method of shaping profiles of large-area PECVD electrodes
JPH11204442A (ja) 枚葉式の熱処理装置
US20120258018A1 (en) Substrate processing apparatus, and transport device
CN100350569C (zh) 处理气体导入机构和等离子体处理装置
KR101943181B1 (ko) 기판 온도 조절 장치 및 기판 처리 장치
CN1833312A (zh) 放置台结构以及具有该放置台结构的热处理装置
TWI570259B (zh) Vacuum processing device
US20110233198A1 (en) Substrate processing apparatus and substrate processing method
JP5125031B2 (ja) 真空処理装置及び真空処理方法
KR20150127059A (ko) 소수화 처리 장치, 소수화 처리 방법 및 소수화 처리용 기록 매체
JP4951840B2 (ja) プラズマ成膜装置、熱処理装置及びプラズマ成膜方法並びに熱処理方法
JP4683332B2 (ja) 熱処理装置
KR101254253B1 (ko) 기판 처리 장치 및 이를 이용한 기판 처리 방법
JP6449074B2 (ja) 基板処理装置及び基板処理方法
WO2021039271A1 (ja) 半導体装置の製造方法および製造装置
CN113862626A (zh) 一种材料处理方法及设备
JPWO2004030067A1 (ja) オゾン処理装置
JP2007189077A (ja) 熱処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111109

Termination date: 20150412

EXPY Termination of patent right or utility model