KR100295983B1 - 박판형 기판의 운송방법 및 운송장치 - Google Patents

박판형 기판의 운송방법 및 운송장치 Download PDF

Info

Publication number
KR100295983B1
KR100295983B1 KR1019980705631A KR19980705631A KR100295983B1 KR 100295983 B1 KR100295983 B1 KR 100295983B1 KR 1019980705631 A KR1019980705631 A KR 1019980705631A KR 19980705631 A KR19980705631 A KR 19980705631A KR 100295983 B1 KR100295983 B1 KR 100295983B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
transport
inert gas
thin
Prior art date
Application number
KR1019980705631A
Other languages
English (en)
Other versions
KR19990081918A (ko
Inventor
마사유키 도다
다다히로 오미
요시오 이시하라
Original Assignee
쓰치야 히로오
닛폰산소 가부시키가이샤
다다히로 오미
마사유키 도다
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 쓰치야 히로오, 닛폰산소 가부시키가이샤, 다다히로 오미, 마사유키 도다 filed Critical 쓰치야 히로오
Publication of KR19990081918A publication Critical patent/KR19990081918A/ko
Application granted granted Critical
Publication of KR100295983B1 publication Critical patent/KR100295983B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor

Abstract

본 발명은 불활성 가스 분위기하에서 박판형 기판(S)을 수납할 수 있는 수납실(3)을 구비한 운송 로봇(30)을 이용하여, 처리장치(1)를 부설하고 동시에 불활성 가스 분위기로 유지되는 운송실(2) 상호간에서 박판형 기판(S)을 운송하는 경우에, 운송 로봇(30)의 수납실(3)과 처리장치(1)측의 운송실(2) 사이에서의 박판형 기판(S)의 반출입시에 수납실(3)과 운송실(2) 사이에 접속실(4)을 배치하고, 접속실(4)을 감압상태로 하여 내부에 불활성 가스를 도입한 후에, 수납실(3)과 운송실(2)의 게이트 밸브(GV1, GV2)를 열어 박판형 기판(S)의 반입과 반출을 행한다.

Description

박판형 기판의 운송방법 및 운송장치{Method and apparatus for conveying thin sheet-like substrate}
반도체 집접회로, 반도체 레이저 등의 반도체 소자, 액티브 매트릭스형 액정패널, 태양전지 패널 등은 표면이 고도로 청정화된 실리콘 기판, 갈륨비소 기판, 유리 기판 등 위에 여러 가지 소정의 박막 등을 차례로 적층처리하여 제조된다. 이들 각부품의 제조에는 매우 높은 정밀도가 요구되며, 처리되어질 기판, 즉 박판형 기판의 표면에 약간이라도 불순물이 부착, 흡착되어 있으면 고품질의 제품제조가 곤란해 진다. 또한, 박판형 기판은 운송중에, 혹은 각종 처리를 거침으로써 대전하는 경우가 있어, 그 결과 분위기중의 불순물을 끌어당겨 부착시키기 쉽다.
예를 들어, 불순물이 되는 수분이 제조 공정중인 반도체 기판의 표면에 흡착되어 있으면, 제조 공정에 장애가 생겨버린다. 더욱이 이 수분의 양이 많고, 그 분위기중에 산소가 존재하면 기판표면에 자연산화막이 형성되어, 그 후에 소정의 박막형성 등을 수행할 수 없게 된다. 또, 액정 패널에 사용되는 박막 트랜지스터(TFT)의 제조공정에서, SiNx 등으로 이루어진 절연막의 표면에 수분이 존재하면, 그 위에 비정질 실리콘(a-Si)막을 균일한 두께로 정밀도 높게 형성할 수 없게 된다. 또한, 집적회로(IC) 제조시 게이트 산화막 공정에서는, n영역 또는 p영역의 표면에 수분이 존재하면, SiO2와 Si의 경계면에 SiOx막이 형성되어 MOS 트랜지스터가 스위치로서 기능하지 않게 된다. 마찬가지로, 커패시터의 표면에 수분이 존재하면, 그 경계면에 SiOx막이 형성되어 버려 커패시터 전극의 충전이 불가능하고, 기억소자로서 기능하지 못하게 된다.
또한, 배선 공정에서는, 텅스텐(W)막을 형성하기 전에 텅스텐 실리사이드에 의한 스파이크 방지를 위해 TiN막을 형성하는데, 기판상에 수분이 존재하면 TiN막의 밀착성이 저하되는 등의 문제가 생긴다.
더욱이, 물 이외의 불순물 예컨데 메탄 등의 유기 불순물의 존재하에서 열처리 등을 행하면, 기판(실리콘) 표면에서 탄소와 실리콘이 반응하여 SiC막이 형성되어 소자의 동작특성에 문제가 생긴다.
통상적으로, 반도체 집적회로 등의 제조에 사용되어 지는 각종 처리장치는 미립자를 제거한 클린 룸 내부에 배치된다. 반도체 집적회로 등은 많은 처리공정을 거쳐 제조되기 때문에 각 공정 작업을 하기 위해 한 처리장치에서 다음 공정의 처리장치로 차례차례 작업물(박판형 기판)이 운송된다. 그러기 위해, 이 운송시에 박판형 기판은 클린 룸 안의 공기와 접하게 된다.
클린 룸 내부는 통상, 온도 20 내지 25℃, 상대습도 약 50%로 유지되고, 미립자는 제거되어 있지만 가스형태의 불순물은 다량 존재한다. 그 때문에 클린 룸 공기중에 존재하는 불순물이 박판형 기판의 표면에 흡착하게 된다. 예를 들어, 수분은 순식간에 박판형 기판의 표면에 흡착된다. 이 수분의 흡착을 방지하기 위해 클린 룸 내부 전체의 수분을 제거하는 것은 실질적으로 곤란하다.
그래서, 처리장치에서 다음 처리장치로 박판형 기판을 운송할 때, 특수한 운송 로봇을 이용하여 불활성 가스 분위기하에서 박판형 기판을 이송하는 운송 시스템이 제안되고 있다.
이 경우의 운송 로봇은, 박판형 기판을 불활성 가스 분위기하에서 수용할 수 있는 수납 상자(수납실)와, 이동을 위한 운송기구를 구비하고 있다. 또, 각 공정작업을 실시하는 처리장치에는, 불활성 가스 분위기하에서 박판형 기판을 전달할 수 있는 운송실이 부설되어 있다.
이 운송 시스템에서는, 운송 로봇을 구동함으로써, 수납실 내부에 불활성 가스 분위기하에서 수납한 박판형 기판을 한 공정의 운송실에서 다음 공정의 운송실까지 운송한다. 그리고, 운송실 앞에 운송 로봇이 도착한 단계에서 운송 로봇의 수납실과 운송실을 접속하고 각각의 게이트를 열어 수납실과 운송실 사이에서 박판형 기판의 전달작업을 행한다. 예를 들어, 수납실에서 운송실로 박판형 기판을 반입하면, 그 박판형 기판을 운송실로부터 처리장치로 이동하여 소정의 처리를 행한다. 처리가 종료되면 박판형 기판을 운송실로 되돌려 다시 수납실로 되돌아가게 한다.그리고, 게이트를 닫고 운송 로봇을 구동함으로써 박판형 기판을 다음 공정으로 운송한다.
그런데, 운송 로봇의 수납실과 처리장치측의 운송실 사이에서 박판형 기판을 전달할 경우, 수납실과 운송실의 각 게이트에 장착된 게이트 밸브를 통해서 행하게 되는데, 게이트 밸브는 그 앞면에 구조상 불가피하게 오목부를 구비하고 있다. 그 때문에 이 오목부에 클린 룸 내부의 공기가 모이고 그 결과 박판형 기판의 반입 및 반출시에, 그 오목부에 모였던 공기가 동시에 운송실이나 수납실안에 섞여 들게 되는 문제가 있다. 따라서, 설령 수납실 내부, 운송실 내부, 처리장치 내부를 미립자나 수분, 가스상태의 불순물 등이 거의 없는 높은 청정도의 분위기로 유지한다 해도 박판형 기판의 반출입시에 상기한 약간의 공기의 혼입이 불가피하여 박판형 기판에 행해지는 각종 처리에 악영향을 미칠 우려가 있었다.
또한, 수납실에 박판형 기판을 수납하여 박판형 기판을 다음 공정으로 운송할 때에, 수납실안을 높은 청정도의 분위기로 유지하기 위해, 운송 로봇에는 고순도 불활성 가스를 충진한 용기(충진용기)를 보유시키고 있다. 그리고, 수납실안의 불순물을 체크하고 있지 않기 때문에 이 충진용기로부터 항상 필요이상의 가스 유량으로 환기되고 있었다. 그 결과, 충진용기가 대형화되고, 운송 로봇 자체가 커진다는 문제가 있었다. 충진용기의 소형화를 위해 가스유량을 저하시키면 높은 청정 도의 분위기가 유지되기 어려워진다는 문제도 생겼다.
본 발명은, 예를 들어 반도체 집적회로, 액정 패널, 태양전지 패널에 이용되는 박판형 기판을, 운송 로봇을 이용하여 각 공정 사이에서 운송하는 운송방법 및 운송장치에 관한 것이다.
본 명세서는 일본특허출원(특허출원 평8-315193호)에 기초한 것으로 당해 일본출원의 기재 내용은 본 명세서의 일부로서 포함된다.
도 1은 본 발명의 실시형태의 개략 평면도이다.
도 2는 본 발명의 실시형태의 운송 로봇의 외관 사시도이다.
도 3은 본 발명의 실시형태의 게이트 밸브의 요부 단면도로, (a)는 폐쇄시의 상태, (b)는 개방시의 상태를 나타내는 도이다.
도 4는 도 1의 요부 확대도이다.
본 발명은 수납실을 구비한 운송 로봇에 의해 박판형 기판을 한 공정에서 다음 공정으로 운송할 경우에, 게이트 밸브의 앞면 오목부에 모인 공기의 혼입을 방지할 수 있는 운송 방법 및 운송장치를 제공하는 것을 목적으로 한다.
본 발명의 박판형 기판의 운송방법은, 불활성 가스 분위기하에서 박판형 기판을 수납할 수 있는 수납실을 구비한 운송 로봇을 이용하여, 처리장치를 부설하고 또 불활성 가스 분위기로 유지되는 운송실 상호간에 박판형 기판을 운송하는 방법에 있어서, 상기 운송 로봇의 수납실과 처리장치측의 운송실 사이에서 박판형 기판을 반입, 반출시에 수납실과 운송실 사이에 접속실을 배치하고, 그 접속실을 감압상태로 하여 내부에 불활성 가스를 도입한 후에, 수납실과 운송실의 게이트를 열어 박판형 기판의 반출입을 행하는 것을 특징으로 하고 있다.
이 박판형 기판의 운송방법에 있어서, 상기 불활성 가스를 반도체 레이저 검출기로 측정하고, 그 결과로부터 상기 각각의 실의 불순물 존재비를 산출하고, 그 데이터에 기초하여 아래식을 충족하도록 하여 각 실로의 불활성 가스 도입 유량을 제어함과 동시에 아래식을 충족하고 있을때는 각 실로의 박판형 기판의 반출입 동작을 제어하는 것이 바람직하다.
2.7×1019〔molecules / ㎤〕×(V1×γ1+V2×γ2+V3×γ3)
≤1013〔molecules / ㎤〕×S
여기서, V1〔㎤〕, V2〔㎤〕, V3〔㎤〕는 상기 수납실, 운송실, 접속실의 각 체적을 나타내고, γ12, γ3는 상기 각 실 내부의 불순물 존재비를 나타내고, S〔㎠〕는 상기 박판형 기판의 전 표면적을 나타낸다.
상기 본 발명의 박판형 기판의 운송 방법에 있어서, 상기 불활성 가스를 대신하여 불활성 가스와 산소 가스의 혼합가스를 사용하는 것도 가능하다.
또, 발명의 박판형 기판의 운송장치는, 박판형 기판의 처리장치에 밀폐된 운송실을 부설하고, 그 운송실에 외부와의 사이에서 박판형 기판을 반출입하는 반출입구를 설치하고, 이 반출입구에 반출입구를 밀폐되게 봉하는 제2 게이트 밸브를 설치하고, 한편 상기 운송실간을 이동할 수 있도록 운송 로봇에 박판형 기판을 수납하는 밀폐된 수납실을 배치하고, 그 수납실에 외부와의 사이에서 박판형 기판을 반출입하는 반출입구를 설치하고, 이 반출입구에 그 반출입구를 밀폐되도록 봉하는 제1 게이트 밸브를 설치하고, 수납실과 운송실을 접속한 상태에서 제1 및 제2 게이트 밸브를 엶으로써 박판형 기판을 반출입하는 박판형 기판의 운송장치에 있어서, 상기 수납실과 운송실의 사이에 개재되는 밀폐된 접속실을 설치하고, 상기 수납실, 운송실, 접속실 각각에 불활성 가스를 환기하기 위한 가스 도입구 및 배기구, 감압하에서 환기를 행하기 위한 진공배기수단을 설치한 것을 특징으로 하는 박판형 기판의 운송장치이다.
이 운송장치에 있어서, 상기 각 실의 불순물 농도를 측정하는 반도체 레이저 검출기 또는 가스 크로마토그래프와, 상기 불순물 농도로부터 각 실의 불순물 존재비를 산출하고, 그 산출결과에 기초하여 아래 식을 충족하도록 각 실로 유입하는 불활성 가스량을 제어하고 또한 아래식을 충족할때에 수납실과 운송실 사이에서의 박판형 기판의 반입·반출을 제어하는 제어수단을 구비한 구성으로 하고 있다.
2.7×1019〔molecules / ㎤〕×(V1×γ1+V2×γ2+V3×γ3)
≤1013〔molecules / ㎤〕×S
상기 식에서, V1〔㎤〕,V2〔㎤〕, V3〔㎤〕는 상기 수납실, 운송실, 접속실의 각체적을 나타내고, γ1, γ2, γ3는 상기 각 실 내부의 불순물 존재 비를 나타내고, S〔㎠〕는 상기 박판형 기판의 전표면적을 나타낸다.
또한, 이들 박판형 기판의 운송장치에 있어서, 상기 불활성 가스를 대신하여 불활성 가스와 산소 가스의 혼합 가스를 사용하는 것도 가능하다.
이하, 본 발명의 실시형태를 도면을 참조하여 설명한다.
우선, 본 발명 운송장치에 개요에 대해서 설명한다. 이 운송장치는, 제조 과정에서 여러번의 처리공정을 거치는 박판형 기판을 운송 로봇을 이용하여 운송하는 것이다. 도 1은, 운송 로봇(30)을 처리장치(1)에 부설한 운송실(2)에 접속한 상태를 도시한 개략평면도이고, 도 2는 운송 로봇의 외관도이다.
이 운송장치는, 박판형 기판(S)의 처리장치(1)에 밀폐된 운송실(2)을 부설하고 있다. 이 예에서는, 운송실(2)에는 두 개의 처리장치(1)가 각각 게이트 밸브(1a)를 통해 접속되어 있다. 처리장치(1)는 박판형 기판(S)에 소정의 처리를 하는 곳으로, 예컨대 박막 형성 공정에서 사용되는 CVD장치나 진공 증착 장치, 불순물 도핑 공정에서 사용되는 확산로, 에칭 공정에서 사용되는 플라스마 에칭 장치 등의 많은 기판 처리 장치가 그에 해당한다. 운송실(2)에는 이송 핸드(5)가 장착되어 있고, 이 이송 핸드(5)에 의해 수납실(3)과 운송실(2)간 및 운송실(2)과 처리장치(1)간에 박판형 기판(S)의 넣고 뺌이 가능하게 된다.
운송실(2)에는 외부와의 사이에서 박판형 기판(S)을 반출입하는 반출입구(2a)가 설치되고, 이 반출입구(2a)에는 그 반출입구(2a)를 밀폐되게 봉할 수 있는 게이트 밸브(GV2)가 설치되어 있다. 또한, 이 게이트 밸브(GV2)의 외측에는 내부 공간에 의해 접속실(4)을 구성하는 접속관(4a)이 접합되어 있다. 접속관(4a)은, 도 4에 도시한 바와 같이 플랜지(4b)의 한쪽 끝에 의해 운송실(2)측에 설치되어 있고, 플랜지(4b)의 다른 쪽 끝에 운송 로봇(30)측의 게이트 밸브(GV1:후술)의 앞면을 밀폐되게 접속할 수 있도록 되어 있다.
한편, 운송 로봇(30)은 복수 개의 운송실(2) 사이를 자유로이 이동할 수 있는 것으로, 가동형의 박판형 기판 수납 상자(3:수납실)를 구비하고 있다. 이 운송 로봇(30)은 도 2에 도시한 바와 같이 먼지가 일지 않는 시트(36)를 깐 바닥의 마그네틱 레일(31)을 따라 유도되고, 모터(33)를 구동함으로써 스스로 움직인다. 수납실(3)은 밀폐유지가 가능한 것이고, 그 앞면에는 수납실(3)의 내부와 외부 사이에서 박판형 기판(S)을 반출입하는 반출입구(3a)가 설치되고, 이 반출입구(3a)에는 반출입구(3a)를 밀폐되게 봉하는 게이트 밸브(GV1)가 설치되어 있다.
게이트 밸브(GV1, GV2)는, 도 3a, 도 3b에 폐쇄시 및 개방시를 나타내었듯이, 반출입구(2a, 3a)를 확보한 적당한 판두께의 케이싱(8a)에 자유롭게 미끄러지도록 게이트 판(8c)을 설치하고, 게이트 판(8c)을 구동기구(8b)에 의해 동작시킴으로써 상기 반출입구(2a, 3a)를 개폐할 수 있는 것이고, 게이트 판(8c)을 닫은 상태에서 도 3a에 도시하였듯이 게이트 판(8c)의 앞면에 오목부(8d)가 형성되어 있다.
또한, 도 1에 도시한 바와 같이 상기 운송 로봇(30)의 수납실(3)에는 불활성 가스에 의해 수납실(3)의 내부를 환기(퍼지)하기 위한 가스 도입구(11) 및 배기구(21)가 설치되어 있다. 가스 도입구(11)에는 밸브(V1)가 설치되어 있고, 가스 도입구(11)를 가스 공급원에 접속한 상태에서 이 밸브(V1)를 엶으로써 불활성 가스를 수납실(3) 안으로 도입할 수 있도록 되어 있다.
덧붙여, 운송실(2) 및 접속실(4)에도 각각 개별적으로 높은 청정도의 분위기를 형성하기 위해 불활성 가스를 환기시키는 가스 도입구(12, 13) 및 배출구(22, 23)가 설치되어 있다. 각 가스도입구(12, 13)에는 밸브(V2, V3)가 설치되어 있고, 가스 도입구(12, 13)를 가스 공급원에 접속한 상태에서 이들 밸브(V2, V3)를 엶으로써 불활성 가스를 운송실(2), 접속실(4)에 개별적으로 도입할 수 있도록 되어 있다. 또한, 각각의 가스 도입구의 밸브(V1, V2, V3)의 상류에는 각각 유량제어기구(C1, C2, C3)가 설치되어 있다.
각 가스 배출구(21, 22, 23)는 진공배기수단(일부를 진공펌프(25)로서 도시)에 접속되고, 각 실의 배기라인에는 불순물을 측정하는 불순물 측정수단, 예컨대 반도체 레이저 검출기(K1, K2, K3)가 설치되어 있다. 또한, 반도체 레이저 검출기(K1, K2, K3)의 측정 결과에 따라 각 게이트 밸브(GV1, GV2), 각 가스도입구의 유량 제어 기구(C1, C2, C3)나 이송 핸드(5)의 동작제어를 실행하기 위해, 제어수단(10)이 설치되어 있다. 배기 라인에, 반도체 레이저 검출기(불순물 측정수단)를 설치한 온라인 계측 외에, 각 실에 창을 설치하고 이 창을 사이에 두고 레이저 발진기, 발진기로부터의 레이저 광을 수광하는 수광기를 설치하면 인-시튜(in-situ) 계측을 할 수도 있다.
여기서 도입되는 불활성 가스는 박판형 기판(S)과 반응하지 않고, 또 미립자나 수분이 제거된 가스로, 이 불활성 가스로는 예를 들어, 질소 가스, 아르곤 가스, 헬륨 가스 등을 이용할 수 있다. 또, 만일 운송 로봇이나 처리장치 등이 파손되어 클린 룸안에 대량의 불활성 가스가 유출될 경우에 대비하여 안전 대책으로서 미리 퍼지 가스에 산소 가스를 첨가한 불활성 가스를 사용할 수도 있다. 그 때 첨가되는 산소 가스는 미립자, 수분, 가스상태의 불순물을 제거한 것을 사용한다. 또한, 상기 혼합 가스중 산소 농도는, 18% 미만의 경우 클린 룸내부에 산소 농도가 낮은 가스가 대량 흐르게 될 우려가 있고, 또 산소 농도가 22% 이상의 가스가 클린 룸안에 흘렀을 경우, 약간의 정전기력에 의해 발화될 염려가 있는 점에서 혼합 가스중의 산소 농도는 18 용량%에서 22 용량%로 하는 것이 바람직하다.
반도체 레이저 검출기(K1, K2, K3)는 적외선 영역에 발진파장을 가지는 레이저 광을 발진하는 발진기와, 그 발진된 레이저 광을 수광하고, 수광한 레이저 광의 흡수 스펙트럼을 측정하는 수광기를 적어도 구비하여 구성되어 있다.
발진기 또는 수광기는 후술하는 파장의 레이저 광을 발진하고, 또는 수광하는 것이라면 한정하지는 않지만, 발진기로는 파장가변 레이저이고, InGaAsP계인 DFB(Distributed Feedback) 반도체 레이저가 알맞다. DFB 반도체 레이저는 회절격자가 전류주입 영역내에 있고, 또한 단일 모드 발진이기 때문에 모노크로미터 등의 분광기를 필요로 하지 않아, 광량의 손실도 적고 장치의 소형화를 이룰 수 있다.
마찬가지로, 단일 모드 발진으로 회절격자가 전류주입 영역밖에 있는 DBR(Distributed Bragg Reflection)도 바람직하다.
수광기로는, 사용하는 발진기의 레이저 광의 파장에 감도를 가지는 광검출기로서, 예를 들어 Ge나 InGaAs 등으로 구성되는 고체 소자 광검출기가 바람직하다. 이러한 반도체 레이저 검출기에 의한 측정이라면 수납실, 접속실, 운송실의 각 실로부터의 배기 라인, 혹은 각 실에 반도체 레이저 광이 투과하는 창을 설치하고, 그 창에 발진기나 수광기를 설치함으로써 각 실 내부의 분위기중의 불순물의 측정이 가능하게 되고, 미량의 불순물 검출(0.1ppm레벨)이 가능해 진다. 또한, 발진파장의 조정도 용이하다. 또한, 즉시 불순물의 농도를 검출할 수가 있기 때문에 불순물 데이터를 피이드 백시켜 활용하는 데 매우 알맞다.
상기 불순물로서 H2O를 검출하는 것이라면, 발진파장을 1.35∼1.42㎛으로 하면 좋다. 마찬가지로,
CO2에 대해서는, 1.43∼1.46㎛,
CH4에 대해서는, 1.29∼1.50㎛,
SiH4에 대해서는, 1.19∼2.0㎛,
HF에 대해서는, 1.25∼1.34㎛,
HBr에 대해서는, 1.34∼1.37㎛,
O2에 대해서는, 0.75∼0.78㎛로 하면 바람직하다. 덧붙여, 발진기로부터의 발진 파장을 0.75∼2㎛의 범위로 전체에 걸치면, 이들 가스상태의 불순물인 H2, CO2, CH4, SiH4, HF, HBr, O2등을 동시에 측정할 수가 있다.
반도체 레이저를 이용한 불순물량의 측정은, 여러 공지의 수단을 이용하면 되고, 예컨대 일본공개특허공보 평5-99845호에 기재되어 있는 방법 등을 적용할 수 있다. 간단하게는, 측정한 흡수 스펙트럼으로부터 수납실(3), 접속실(4), 운송실(2)안의 불활성 가스의 흡수 스펙트럼을 차감하고, 불순물에 관한 흡수 피크를 측정, 판정하고, 가능한 한 근방에 방해 피크가 없는 흡수 피크를 선택하여, 그 흡수 강도로부터 불순물의 양을 정하면 된다. 또한, 파장의 전범위로의 변경은 발진기로의 주입 전류 혹은 발진기의 온도를 바꿈으로써 간단히 행할 수 있다.
이상과 같이, 각 실내부의 압력이 대기압 이하의 경우, 특히 유효한 반도체 레이저 검출기를 이용한 불순물 측정수단에 대해서 설명하였는데, 각 실 내부의 압력이 대기압을 넘는 경우, 가스 크로마토그래프를 각 실의 가스 배출구에 접속하여설치하면, 가스중의 수분 등의 불순물을 간단하고 또 저렴한 비용으로 계측할 수 있다.
다음에 본 발명의 운송방법을 상기 운송장치의 작용과 함께 설명한다.
수납실(3) 내부에 박판형 기판(S)을 수납한 운송 로봇(30)을 어떤 공정으로 운송하였을 때, 수납실(3)을 접속실(4)을 통해서 운송실(2)에 접속한다. 그렇게 하면, 제어 수단(10)의 명령에 의해, 접속실(4)이 감압상태로 된 상태에서, 불활성 가스가 환기되고, 그와 동시에 수납실(3), 접속실(4), 운송실(2) 안의 불순물 농도가 반도체 레이저 검출기(K1, K2, K3)에 의해 측정된다. 그리고, 제어수단(10)에 의해 상기 불순물 농도로부터 각 실의 불순물 존재비가 산출되고, 그 데이터를 기초로, 도입되는 퍼지 가스(불활성 가스)의 유량이 제어된다. 또, 그와 함께, 불순물 존재비가 소정치가 되었을 때에, 게이트 밸브(GV1, GV2)가 열리고, 접속실(4)을 통해서 수납실(3)과 운송실(2) 사이에서 박판형 기판(S)의 반입·반출이 행해진다.
그런데, 수납실(3) 내부의 불순물 존재비가 높아지면, 운송 로봇(30)에 의한 다음 공정으로의 이동중에, 수납실(3) 안에서 박판형 기판(S)의 표면의 불순물량이 증대되어 버린다. 또한, 운송실(2) 내부의 불순물 존재비가 높아지면 처리된 박판형 기판(S)의 표면에 다량의 불순물이 흡착되어 생산성의 저하나 다음 공정에서의 악영향을 초래할 우려가 있다. 그 때문에 늘 수납실(3) 및 운송실(2)의 각 실의 불순물의 존재비가 규정치 이하가 되도록, 퍼지 가스의 유량이 제어된다.
상기와 같이, 접속실(4)을 통해서 수납실(3)과 운송실(2)을 접속하고, 접속실(4) 내부를 가스 퍼지한 상태에서, 박판형 기판(S)의 반출입을 행함으로써, 수납실(3) 및 운송실(2)의 게이트 밸브(GV1, GV2)의 오목부(8d:도 3 참조)에 모여 있던 클린 룸안의 공기가 박판형 기판(S)의 반출입과 함께 운송실(2) 안으로 혼입되지 않게 된다. 따라서, 박판형 기판(S)의 반입과 동시에 혼입되는 불순물에 의한 악영향을 피할 수 있다.
특히, 반도체 레이저 검출기(K1, K2, K3)에서 얻은 불순물 데이터에 의해 각 실(2, 3, 4) 내부의 가스 유량을 제어하고, 규정치 이내의 불순물의 존재비가 된 단계에서 게이트 밸브(GV1, GV2)를 열고, 동시에 이송 핸드(5, 6)에 의한 박판형 기판(S)의 반출입을 행하기 때문에, 늘 높은 청정도의 분위기하에서 박판형 기판(S)을 이송할 수가 있다.
그리고, 불순물 데이터에 의해 각 실(2, 3, 4) 내부의 퍼지 가스의 유량을 제어하고 있기 때문에, 필요한 양의 가스만을 각 실 안으로 도입할 수 있고, 특히 운송 로봇에 보유되어 있는 퍼지 가스(불활성 가스 또는 불활성 가스와 산소 가스의 혼합가스)가 충진되어 있는 용기를 소형화할 수가 있게 된다.
구체적으로는, 불순물이 수분 또는 유기탄소인 경우, 다음 단계에서 반입, 반출을 행한다.
수납실(3)의 체적을 V1〔㎤〕, 운송실(2)의 체적을 V2〔㎤〕, 접속실(4)의 체적을 V3〔㎤〕, 수납실(3) 내부의 불순물 존재비를 γ1, 운송실(2) 내부의 불순물 존재비를 γ2, 접속실(4) 내부의 분순물 존재비를 γ3, 수납실(3) 혹은 운송실(2)에 수납되어 있는 박판형 기판(S)의 전표면적을 S〔㎠〕으로 한 경우에,
2.7×1019〔molecules / ㎤〕×(V1×γ1+V2×γ2+V3×γ3)
≤1013〔molecules / ㎤〕×S
를 만족하였을 때, 게이트 밸브(GV1)를 열고, 이송 핸드(5)로 수납실(3)에서 운송실(2)안으로 박판형 기판(S)을 반입한다.
이와 같이, 운송계내의 불순물 흡착량을 1013×S이하, 바람직하게는 1010×S로 하면, 박판형 기판의 표면의 불순물 흡착을 단분자층 흡착 이하로 할 수가 있다. 단분자층 흡착이면, 불순물이 수분인 경우, 물분자의 주위에는 가스 분자밖에 존재하지 않기 때문에 산소 존재하에서도 자연산화막은 형성되지 않는다. 또한, 유기오염물(유기탄소물)의 경우도 단분자층 흡착이면, 약간의 에너지로 제거할 수가 있다.
그리고, 상기 수학식 1을 만족하여 운송실(2) 내부로 반입된 박판형 기판(S)은, 소정의 처리장치(1)로 이송 핸드(5)에 의해 넣어지고, 처리장치(1)에 의해 소정의 처리를 받게 된다. 소정의 처리가 종료된 후, 박판형 기판(S)은 이송 핸드(5)에 의해 운송실(2)에서 수납실(3)로 다시 이송되고, 운송 로봇(30)을 구동함으로써 다음 공정으로 운송된다.
〈실시예〉
본 발명의 운송장치를 이용하여 박판형 기판(S)의 운송을 실행하고, 박판형기판(S) 표면의 자연산화막의 유무 및 유기오염물량을 측정하였다.
단, 조건은 아래의 내용으로 한다.
(1) 체적 : 수납실 2.53×104
운송실 6.12×104
접속실 0.70×104
(2) 박판형 기판 : 저항치 :
2∼4Ω·㎝의 n(100)형 실리콘 기판
표면적 : 1.41×103〔㎠/장〕×25〔장〕
=3.53×104〔㎠〕
반도체 레이저 검출기(K1, K2, K3)에 의한 측정결과로부터 다음의 불순물 존재비의 결과가 얻어졌다.
(3) 불순물 존재비
수분 유기오염물
수납실 1.4×10-71.2×10-7
운송실 1.3×10-71.2×10-7
접속실 1.3×10-71.2×10-7
이 조건에서 박판형 기판(S)을 수납실(3)에서 운송실(2)로 운송하는 운송실험을 하고, 수납실(3)에 반환된 박판형 기판(S) 표면의 자연산화막, 유기오염물의 부착을 X선 광전자 분광분석장치 및 FT-IR(푸리에 변환형 적외선 분광계)로 측정하였는데 양자 모두 확인되지 않았다.
이상 설명한 바와 같이, 본 발명에 따르면, 운송 로봇의 수납실과 처리장치측의 운송실을 접속실을 통해서 접속하고, 접속실 내부를 가스 퍼지한 상태에서, 박판형 기판의 반입, 반출을 행하도록 하였기 때문에, 수납실 및 운송실의 게이트 밸브의 오목부에 모여 있던 클린 룸안의 공기가 박판형 기판의 반입, 반출과 함께 운송실 내부로 혼입되지 않게 된다. 따라서, 박판형 기판의 반입과 동시에 혼입되는 불순물에 의한 악영향을 막을 수 있다.
또한, 불순물 데이터에 의해 수납실, 운송실, 접속실 내부의 퍼지 가스의 유량을 제어하고 있기 때문에, 필요한 양의 가스만을 각 실 내부로 도입할 수 있고, 특히, 운송 로봇에 보유되어 있는 퍼지 가스를 충진한 용기를 소형화할 수가 있다.

Claims (6)

  1. 불활성 가스 분위기하에서 박판형 기판을 수납할 수 있는 수납실을 구비한 운송 로봇을 이용하여, 처리장치를 부설함과 동시에 불활성 가스 분위기로 유지되는 운송실 상호간에서 박판형 기판을 운송하는 방법에 있어서,
    상기 운송 로봇의 수납실과 처리장치측의 운송실간에서의 박판형 기판의 반출입시에, 수납실과 운송실 사이에 접속실을 배치하고, 그 접속실을 감압상태로 하여 내부에 불활성 가스를 도입한 후에, 상기 각각의 실의 불활성 가스를 불순물 측정수단으로 측정하고, 그 결과로부터 상기 각각의 실의 불순물 존재비를 산출하고, 그 데이터에 기초하여 아래 식을 충족하도록 하여 각 실로의 불활성 가스 도입 유량을 제어함과 동시에 아래식을 충족하고 있을 때에 각 실로의 박판형 기판의 반출입 동작을 제어하는 것을 특징으로 하는 박판형 기판의 운송방법.
    2.7×1019〔molecules / ㎤〕×(V1×γ1+V2×γ2+V3×γ3)
    ≤1013〔molecules / ㎤〕×S
    상기 식에서, V1〔㎤〕,V2〔㎤〕, V3〔㎤〕는 상기 수납실, 운송실, 접속실의 각체적을 나타내고, γ1, γ2, γ3는 상기 각 실 내부의 불순물 존재 비를 나타내고, S〔㎠〕는 상기 박판형 기판의 전표면적을 나타낸다.
  2. 제 1항에 있어서,
    상기 불활성 가스를 대신해서, 불활성 가스와 산소 가스의 혼합가스를 사용하는 것을 특징으로 하는 박판형 기판의 운송방법.
  3. 박판형 기판의 처리장치에 밀폐된 운송실을 부설하고, 그 운송실에 외부와의 사이에서 박판형 기판을 반출입하는 반출입구를 설치하고, 이 반출입구에 그 반출입구를 밀폐되게 봉하는 제2 게이트 밸브를 설치하고, 한편, 상기 운송실 사이를 이동할 수 있는 운송 로봇에 박판형 기판을 수납하는 밀폐된 수납실을 설치하고, 그 수납실에 외부와의 사이에서 박판형 기판을 반출입하는 반출입구를 설치하고, 이 반출입구에 그 반출입구를 밀폐되게 봉하는 제1 게이트 밸브를 설치하고, 수납실과 운송실을 접속한 상태에서 제1 및 제2 게이트 밸브를 엶으로써 박판형 기판을 반출입하는 박판형 기판의 운송장치에 있어서,
    상기 수납실과 운송실의 사이에 개재되는 밀폐된 접속실을 설치하고,
    상기 수납실, 운송실, 접속실의 각각에 불활성 가스를 환기하기 위한 가스 도입구 및 배기구, 감압하에서 환기를 행하기 위한 진공배기수단을 설치하고,
    상기 각 실의 불순물 농도를 측정하는 불순물 측정수단과, 상기 불순물 농도로부터 각 실의 불순물 존재비를 산출하고 그 산출결과에 기초하여 아래 식을 충족하도록 각 실로 유입하는 불활성 가스량을 제어하고 또한 아래식을 충족할때에 수납실과 운송실 사이에서의 박판형 기판의 반입·반출을 제어하는 제어수단을 구비한 것을 특징으로 하는 박판형 기판의 운송장치.
    2.7×1019〔molecules / ㎤〕×(V1×γ1+V2×γ2+V3×γ3)
    ≤1013〔molecules / ㎤〕×S
    상기 식에서, V1〔㎤〕,V2〔㎤〕, V3〔㎤〕는 상기 수납실, 운송실, 접속실의 각체적을 나타내고, γ1, γ2, γ3는 상기 각 실 내부의 불순물 존재 비를 나타내고, S〔㎠〕는 상기 박판형 기판의 전표면적을 나타낸다.
  4. 제 3항에 있어서,
    상기 불순물 측정수단은 반도체 레이저 검출기 또는 가스 크로마토그래프인 것을 특징으로 하는 박판형 기판의 운송장치.
  5. 제 3항에 있어서,
    상기 불활성 가스를 대신해서, 불활성 가스와 산소가스의 혼합가스를 이용하는 것을 특징으로 하는 박판형 기판의 운송장치.
  6. 제 1 항에 있어서,
    상기 불순물 측정수단은 반도체 레이저 검출기 또는 가스 크로마토그래프인 것을 특징으로 하는 박판형 기판의 운송방법.
KR1019980705631A 1996-11-26 1997-11-21 박판형 기판의 운송방법 및 운송장치 KR100295983B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP31519396A JP3901265B2 (ja) 1996-11-26 1996-11-26 薄板状基体の搬送方法及び搬送装置
JP8-315193 1996-11-26
PCT/JP1997/004242 WO1998024123A1 (fr) 1996-11-26 1997-11-21 Procede et appareil de transport de substrats en feuilles minces

Publications (2)

Publication Number Publication Date
KR19990081918A KR19990081918A (ko) 1999-11-15
KR100295983B1 true KR100295983B1 (ko) 2001-08-07

Family

ID=18062539

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980705631A KR100295983B1 (ko) 1996-11-26 1997-11-21 박판형 기판의 운송방법 및 운송장치

Country Status (7)

Country Link
US (1) US6343239B1 (ko)
EP (1) EP0889515B1 (ko)
JP (1) JP3901265B2 (ko)
KR (1) KR100295983B1 (ko)
DE (1) DE69736224T2 (ko)
TW (1) TW355176B (ko)
WO (1) WO1998024123A1 (ko)

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6312328B1 (en) 1998-07-08 2001-11-06 Nippon Sanso Corporation System and method for producing and supplying highly clean dry air
NL1010317C2 (nl) * 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
TW499696B (en) 1999-04-27 2002-08-21 Tokyo Electron Ltd Processing apparatus and processing method
US6748334B1 (en) * 1999-12-06 2004-06-08 Jorge E. Perez Specialty gas analysis system
CN100435269C (zh) * 2001-07-15 2008-11-19 应用材料有限公司 处理系统
JP2004022940A (ja) * 2002-06-19 2004-01-22 Tokyo Seimitsu Co Ltd 研磨装置、研磨方法、ウェーハ待避プログラム
KR100558558B1 (ko) * 2004-01-26 2006-03-10 삼성전자주식회사 멀티챔버 프로세스장치
US9177843B2 (en) * 2007-06-06 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing contamination in integrated circuit manufacturing lines
JP5332142B2 (ja) * 2007-06-29 2013-11-06 株式会社Ihi 浮上搬送装置
CN101593716B (zh) * 2009-05-12 2011-06-29 上海微电子装备有限公司 器件传输方法及其装置
US8062384B2 (en) 2009-06-12 2011-11-22 Miasole Systems, methods and apparatuses for magnetic processing of solar modules
US9105778B2 (en) * 2009-06-12 2015-08-11 Apollo Precision (Kunming) Yuanhong Limited Systems methods and apparatuses for magnetic processing of solar modules
WO2010144328A2 (en) * 2009-06-12 2010-12-16 Miasole Systems, methods and apparatuses for magnetic processing of solar modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5557061B2 (ja) * 2012-01-04 2014-07-23 株式会社ダイフク 物品保管設備
EP2690755A1 (de) * 2012-07-27 2014-01-29 Siemens Aktiengesellschaft Maschine und Verfahren zum Überwachen derselben
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012110581B4 (de) * 2012-11-05 2018-02-22 Schiller Automatisierungstechnik Gmbh Verbessertes Reinraumshuttle
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP3134917B1 (de) * 2014-04-23 2021-07-21 Schiller Automatisierungstechnik GmbH Verbessertes reinraumshuttle
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10388553B2 (en) * 2015-12-28 2019-08-20 Asm Ip Holding B.V. Substrate processing system
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH053240A (ja) * 1991-06-24 1993-01-08 Tdk Corp クリーン搬送方法及び装置
JPH05275519A (ja) * 1992-03-27 1993-10-22 Toshiba Corp 多室型基板処理装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970004947B1 (ko) * 1987-09-10 1997-04-10 도오교오 에레구토론 가부시끼가이샤 핸들링장치
US5083865A (en) * 1990-05-11 1992-01-28 Applied Materials, Inc. Particle monitor system and method
JP2808826B2 (ja) * 1990-05-25 1998-10-08 松下電器産業株式会社 基板の移し換え装置
EP0747931B1 (en) * 1990-11-16 2000-07-12 Kabushiki Kaisha Watanabe Shoko Sheet-like base carrying method
JP3059262B2 (ja) * 1991-10-08 2000-07-04 日本酸素株式会社 ガス中の微量水分分析装置
JPH05166732A (ja) * 1991-12-12 1993-07-02 Nkk Corp 真空成膜装置
JP3275390B2 (ja) * 1992-10-06 2002-04-15 神鋼電機株式会社 可搬式密閉コンテナ流通式の自動搬送システム
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
DE69636880T2 (de) * 1995-12-28 2007-11-15 Taiyo Nippon Sanso Corporation Verfahren und Anordnung zum Transport von Substratscheiben
JP3742451B2 (ja) * 1996-01-17 2006-02-01 昌之 都田 洗浄方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH053240A (ja) * 1991-06-24 1993-01-08 Tdk Corp クリーン搬送方法及び装置
JPH05275519A (ja) * 1992-03-27 1993-10-22 Toshiba Corp 多室型基板処理装置

Also Published As

Publication number Publication date
JPH10163288A (ja) 1998-06-19
DE69736224T2 (de) 2007-05-10
KR19990081918A (ko) 1999-11-15
EP0889515B1 (en) 2006-06-28
EP0889515A1 (en) 1999-01-07
US6343239B1 (en) 2002-01-29
JP3901265B2 (ja) 2007-04-04
TW355176B (en) 1999-04-01
DE69736224D1 (de) 2006-08-10
EP0889515A4 (en) 2004-10-20
WO1998024123A1 (fr) 1998-06-04

Similar Documents

Publication Publication Date Title
KR100295983B1 (ko) 박판형 기판의 운송방법 및 운송장치
JP3137989B2 (ja) 薄板状基体の搬送方法および搬送装置
EP2128890B1 (en) Purging of a wafer conveyance container
US6368411B2 (en) Molecular contamination control system
KR100445945B1 (ko) Cvd 장치의 퍼지 방법
US20120083918A1 (en) Sealed container and semiconductor manufacturing apparatus
US20010041530A1 (en) Local clean method and local clean processing and treating apparatus
KR20040047303A (ko) 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
US11610794B2 (en) Side storage pods, equipment front end modules, and methods for operating the same
CN111415884B (zh) 基板处理装置
KR100479986B1 (ko) 막두께측정장치
JP2007528940A (ja) 薄膜及び薄膜デバイスを製造するための装置及び方法
US6461437B1 (en) Apparatus used for fabricating liquid crystal device and method of fabricating the same
US20020078980A1 (en) System for processing substrate with liquid
US8021513B2 (en) Substrate carrying apparatus and substrate carrying method
JPH05304197A (ja) マルチチャンバシステム
JP2003142552A (ja) 基板処理装置
US20230154778A1 (en) Systems and methods for humidity control of foup during semiconductor fabrication
JPH09106974A (ja) 基板吸着水分の除去方法及び装置
JPH1056051A (ja) 基板処理装置
JPH02200780A (ja) 膜形成装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090408

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee