JPWO2003030239A1 - シリコン基板のエッチング方法およびエッチング装置 - Google Patents

シリコン基板のエッチング方法およびエッチング装置 Download PDF

Info

Publication number
JPWO2003030239A1
JPWO2003030239A1 JP2003533332A JP2003533332A JPWO2003030239A1 JP WO2003030239 A1 JPWO2003030239 A1 JP WO2003030239A1 JP 2003533332 A JP2003533332 A JP 2003533332A JP 2003533332 A JP2003533332 A JP 2003533332A JP WO2003030239 A1 JPWO2003030239 A1 JP WO2003030239A1
Authority
JP
Japan
Prior art keywords
etching
gas
protective film
silicon substrate
base
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003533332A
Other languages
English (en)
Other versions
JP4209774B2 (ja
Inventor
一夫 笠井
一夫 笠井
野沢 善幸
善幸 野沢
河野 広明
広明 河野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Precision Products Co Ltd
Original Assignee
Sumitomo Precision Products Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Precision Products Co Ltd filed Critical Sumitomo Precision Products Co Ltd
Publication of JPWO2003030239A1 publication Critical patent/JPWO2003030239A1/ja
Application granted granted Critical
Publication of JP4209774B2 publication Critical patent/JP4209774B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本発明は、エッチングにより形成される構造面の凹凸を一定値以下に押え得るシリコン基板のエッチング方法及びエッチング装置に関する。シリコン基板Sは、表面にエッチングマスクが形成された後、エッチング装置1の基台3上に載置され、この状態でエッチングガス(SF6)及び保護膜形成ガス(C4F8)がチャンバ2内に供給される。チャンバ2内に供給されたSF6ガス及びC4F8ガスは、高周波電力の印加されたコイル16によってプラズマ化され、基台3に高周波電力を印加した状態で、例えばSF6ガスを多量に供給することで、主としてエッチンググランドにおいてドライエッチングが進行し、逆にC4F8ガスを多量に供給することで、主としてエッチング構造面への保護膜形成が進行し、これらを繰り返すことによって、平滑な構造面を備えた深溝などが形成される。

Description

技術分野
この発明は、ドライエッチングプロセスによって、シリコン基板に、例えば、溝などの構造面を形成するシリコン基板のエッチング方法及びエッチング装置に関する。
背景技術
ドライエッチングプロセスによってシリコン基板上に溝などの構造を形成する、例えば半導体集積回路の分野では、益々高集積化,高密度化が進められており、高精度にトレンチ(深溝若しくは深穴)を形成することのできるエッチング技術が求められている。そして、このようなトレンチエッチングを目的としたエッチング法として、従来、特表平7−503815号公報に開示されるようなエッチング法が知られている。
このエッチング法は、シリコン基板表面に所望形状のエッチングマスクを形成した後、プラズマ化したSFとArの混合ガスを用い基板表面をドライエッチングして溝若しくは穴を形成するエッチング工程と、同じくプラズマ化したCHFとArの混合ガスを用い、前記溝若しくは穴(以下、溝等という)の側壁に保護膜を形成する重合工程(保護膜形成工程)とを交互に繰り返すことによって、深溝若しくは深穴(以下、深溝等という)を形成するというものである。
このエッチング法によれば、ドライエッチングによって順次形成された溝等の壁面がその後保護膜によって被覆され、以降のドライエッチングの際にこの保護膜により前記壁面が保護されるため、極端なサイドエッチングやアンダーカットが防止され、見かけ上垂直な壁面を備えた溝等を形成することができる。
ところが、上述した従来のエッチング法には、以下に説明するような問題が存在した。
上記従来のエッチング法は、前記壁面に対する保護膜の形成を伴わないエッチング工程と、壁面に保護膜を形成する工程とを順次交番的に繰り返すというものであり、エッチング工程においてシリコン基板表面がエッチングされ、エッチング加工が進行して新たに形成される壁面は、保護膜が形成されていない状態となっている。このため、当該エッチング工程では、エッチンググランド(溝等の底面)とともにこの壁面がエッチングされ、この結果、例えば、第5図に示すように、溝100の壁面101が垂直方向に波打ったものとなり、加工精度の悪いものとなるのである。そして、壁面101に形成されたこのような凹凸が原因となり、半導体集積回路の分野における高集積化,高密度化が阻害されていた。
また、上述の深溝100を用いて第6図に示すようなトレンチ・キャパシタ102を形成する場合には、壁面101の凹凸によって絶縁層103の膜厚に厚い部分と薄い部分とを生じるため、膜厚の薄い部分で亀裂を生じ易く、このために絶縁性が低下するという問題があった。尚、図中、Sはシリコン基板であり、104はポリシリコンからなる電極である。
また、上述したドライエッチングプロセスはマイクロマシンの製造にも用いられるが、例えば、歯車を形成する場合、上記従来のエッチング法によると、その歯面に大きな凹凸が形成されるため、これが摩擦抵抗となって動力の伝達損失を生じるという問題もある。
本発明は以上の実情に鑑みなされたものであって、ドライエッチングプロセスにより形成される構造面の凹凸を一定値以下に押え得るシリコン基板のエッチング方法及びエッチング装置の提供を目的とする。
発明の開示
上記目的を達成するための本発明は、シリコン基板表面にエッチングマスクを形成するマスク形成工程と、高周波電力によりプラズマ化したエッチングガスを用いて前記エッチングマスクの開口部から前記シリコン基板表面をドライエッチングして所定の構造面を形成するエッチング工程とを順次実施することによりシリコン基板をエッチングする方法であって、
前記エッチング工程を、エッチングガスおよび保護膜形成ガスを用い、主としてエッチンググランドにおける前記ドライエッチングを進行させる工程と、保護膜形成ガスを用い、前記ドライエッチングにより形成された構造面に保護膜を形成する工程と、前記エッチンググランドに形成された前記保護膜を除去する工程とを順次繰り返すことにより行うことを特徴としたエッチング方法に係る。
このエッチング方法によれば、上記主としてエッチンググランドにおける前記ドライエッチングを進行させる工程では、エッチンググランドがエッチングガスによってエッチングされるとともに、エッチングによって順次形成される、エッチンググランドと垂直な構造面が保護膜形成ガスからもたらされる保護膜によって直ちに被覆され、引き続いて実行される保護膜を形成する工程において、前記垂直な構造面が保護膜によって更に強固に被覆される。
このように、このエッチング方法によれば、エッチングによって順次形成される前記垂直な構造面を保護膜によって直ちに被覆するとともに、引き続いて実行される工程において、これを更に強固に被覆するようにしているので、上述したサイドエッチングやアンダーカットを確実に防止することができ、これにより、前記垂直な構造面の凹凸を200nm以下にすることができる。
尚、エッチングガスとしてはSF等を挙げることができ、保護膜形成ガスとしてはC等のフロロカーボンガス(CxFy)を挙げることができる。
そして、上記のエッチング方法において、主としてドライエッチングを進行させる工程においては少量の保護膜形成ガスを供給し、保護膜を形成する工程においては多量の保護膜形成ガスを供給するようにすれば、ドライエッチングの進行する工程のエッチング速度を速めることができ、一方、保護膜を形成する工程においてはより強固な保護膜を形成することができる。
また、前記主としてドライエッチングを進行させる工程及び前記保護膜除去工程、または前記保護膜除去工程において、シリコン基板に電力を印加してバイアス電位を与えるようにすれば、エッチンググランドをイオン照射により物理的にエッチングすることができるので、前記ドライエッチングを進行させる工程においてはエッチング速度が速まる一方、前記保護膜を除去する工程においてはエッチンググランドに形成された保護膜を容易に除去することができ、その結果、全体のエッチング加工時間を短くすることができる。また、保護膜除去工程から主としてドライエッチングを進行させる工程に、これを区切ることなくスムーズに移行させることができるので、これらの工程をあたかも1つ工程のように実施することができる。
また、本発明は、シリコン基板表面にエッチングマスクを形成するマスク形成工程と、高周波電力によりプラズマ化したエッチングガスを用いて前記エッチングマスクの開口部から前記シリコン基板表面をドライエッチングして所定の構造面を形成するエッチング工程とを順次実施することによりシリコン基板をエッチングする方法であって、
前記エッチング工程を、エッチングガスおよび保護膜形成ガスを用い、主としてエッチンググランドにおける前記ドライエッチングを進行させる工程と、同じくエッチングガスおよび保護膜形成ガスを用い、主としてエッチンググランドに対して垂直な前記構造面に保護膜を形成させる工程とを順次繰り返すことにより行うことを特徴としたエッチング方法に係る。
このエッチング方法によっても同様に、上記主としてエッチンググランドにおける前記ドライエッチングを進行させる工程で、エッチンググランドがエッチングガスによってエッチングされるとともに、エッチングによって順次形成される、エッチンググランドと垂直な構造面が保護膜形成ガスからもたらされる保護膜によって直ちに被覆され、引き続いて実行される保護膜を形成する工程において、前記垂直な構造面が保護膜によって更に強固に被覆される。これにより、サイドエッチングやアンダーカットを確実に防止することができ、前記垂直な構造面の凹凸を200nm以下にすることができる。
そして、かかるエッチング方法において、ドライエッチングを進行させる工程においては多量のエッチングガスおよび少量の保護膜形成ガスを供給し、保護膜を形成する工程においては少量のエッチングガスおよび多量の保護膜形成ガスを供給するようにすれば、ドライエッチングの進行する工程におけるエッチング速度を速めることができる一方、保護膜を形成する工程においては、前記垂直な構造面に、より強固な保護膜を形成することができる。
また、前記エッチング工程において、常時シリコン基板に電力を印加してバイアス電位を与えるようにすれば、エッチンググランドをイオン照射により物理的にエッチングすることができるので、前記ドライエッチングを進行させる工程においてはエッチング速度が速まる一方、前記保護膜を形成する工程においてはエッチンググランドに保護膜が形成されるのをより積極的に防止することができ、その結果、全体のエッチング加工時間を短くすることができる。
また、本発明は、シリコン基板表面にエッチングマスクを形成するマスク形成工程と、高周波電力によりプラズマ化したエッチングガスを用いて前記エッチングマスクの開口部から前記シリコン基板表面をドライエッチングして所定の構造面を形成するエッチング工程とを順次実施することによりシリコン基板をエッチングする方法であって、
前記エッチング工程を、該エッチング工程中、常時シリコン基板に電力を印加してバイアス電位を与えるとともに、エッチングガスおよび保護膜形成ガスを用い、主としてエッチンググランドにおける前記ドライエッチングを進行させる工程と、保護膜形成ガスを用い、主としてエッチンググランドに対して垂直な前記構造面に保護膜を形成させる工程とを順次繰り返すことにより行うことを特徴としたエッチング方法に係る。
このエッチング方法によれば、主としてエッチンググランドにおける前記ドライエッチングを進行させる工程で、エッチンググランドがエッチングガス及びイオン照射によってエッチングされるとともに、エッチングによって順次形成される、エッチンググランドと垂直な構造面が保護膜形成ガスからもたらされる保護膜によって直ちに被覆され、引き続いて実行される保護膜を形成する工程において、前記垂直な構造面が保護膜によって更に強固に被覆される。これにより、サイドエッチングやアンダーカットを確実に防止することができ、前記垂直な構造面の凹凸を200nm以下にすることができる。
そして、かかるエッチング方法において、前記ドライエッチングを進行させる工程においては少量の保護膜形成ガスを供給し、前記保護膜を形成する工程においては多量の保護膜形成ガスを供給するようにすれば、ドライエッチングの進行する工程のエッチング速度を速めることができ、一方保護膜を形成する工程においては、より強固な保護膜を形成することができる。
また、前記シリコン基板に印加する電力を、前記ドライエッチングを進行させる工程においては大きくし、前記保護膜を形成させる工程においては小さくすれば、ドライエッチングを進行させる工程におけるイオンの照射速度を高めることができてエッチング速度を速めることができる一方、保護膜を形成させる工程において、前記垂直な構造面に形成された保護膜が斜め方向の照射イオンによって剥離されるのを極僅かなものとすることができ、これにより、強固な保護膜を形成することができる。
また、前記エッチングガスに反応性ガスを用いると、より良好なエッチング速度でシリコン基板をエッチングすることができる。尚、反応性のエッチングガスとしては、SF等を挙げることができる。
また、前記エッチング工程において、プラズマ化したエッチングガス及び保護膜形成ガスを用いるとともに、前記プラズマを発生させる際に用いる高周波電力を、前記ドライエッチングを進行させる工程においては高くし、前記保護膜を形成させる工程においては低くすれば、ドライエッチングを進行させる工程におけるエッチング速度を高めることができる。
尚、上記エッチング工程は、主としてドライエッチングが進行する工程から開始して各工程を繰り返すようにしても良く、或いは保護膜形成工程から開始して各工程を繰り返すようにしても良いが、保護膜形成工程から開始する方が凹凸をより小さなものとすることができる点で好ましい。
そして、以上の述べたエッチング方法は、以下のエッチング装置によってこれを好適に実施することができる。
即ち、このエッチング装置は、被エッチング物たるシリコン基板を収納するエッチングチャンバと、前記エッチングチャンバ内の下部位置に配設され、前記シリコン基板が載置される基台と、前記エッチングチャンバ内にエッチングガスを供給するエッチングガス供給手段と、前記エッチングチャンバ内に保護膜形成ガスを供給する保護膜形成ガス供給手段と、前記エッチングチャンバ内を減圧する減圧手段と、前記エッチングチャンバの外周にこれと対向するように配設されたコイルを備え、該コイルに高周波電力を印加して、前記エッチングチャンバ内に供給されたエッチングガスおよび保護膜形成ガスをプラズマ化するプラズマ生成手段と、前記基台に高周波電力を印加する基台電力印加手段と、前記エッチングガス供給手段および前記保護膜形成ガス供給手段により前記エッチングチャンバ内に供給されるエッチングガスおよび保護膜形成ガスの流量を制御するガス流量制御手段と、前記プラズマ生成手段のコイルに印加される電力を制御するコイル電力制御手段と、前記基台電力印加手段により基台に印加される電力を制御する基台電力制御手段とを備えて構成され、前記ガス流量制御手段が、前記保護膜形成ガスを連続的に前記エッチングチャンバ内に供給し、且つ前記エッチングガスを断続的に前記エッチングチャンバ内に供給するように構成されてなることを特徴とする。
或いは、前記ガス流量制御手段は、前記エッチングガスの非供給時には多量の前記保護膜形成ガスを前記エッチングチャンバ内に供給し、且つ前記エッチングガスの供給時には少量の前記保護膜形成ガスを前記エッチングチャンバ内に供給するように構成されていても良い。
また、エッチング装置は、被エッチング物たるシリコン基板を収納するエッチングチャンバと、前記エッチングチャンバ内の下部位置に配設され、前記シリコン基板が載置される基台と、前記エッチングチャンバ内にエッチングガスを供給するエッチングガス供給手段と、前記エッチングチャンバ内に保護膜形成ガスを供給する保護膜形成ガス供給手段と、前記エッチングチャンバ内を減圧する減圧手段と、前記エッチングチャンバの外周にこれと対向するように配設されたコイルを備え、該コイルに高周波電力を印加して、前記エッチングチャンバ内に供給されたエッチングガスおよび保護膜形成ガスをプラズマ化するプラズマ生成手段と、前記基台に高周波電力を印加する基台電力印加手段と、前記エッチングガス供給手段および前記保護膜形成ガス供給手段により前記エッチングチャンバ内に供給されるエッチングガスおよび保護膜形成ガスの流量を制御するガス流量制御手段と、前記プラズマ生成手段のコイルに印加される電力を制御するコイル電力制御手段と、前記基台電力印加手段により基台に印加される電力を制御する基台電力制御手段とを備えて構成され、前記ガス流量制御手段が、前記エッチングガスおよび保護膜形成ガスを連続的且つその供給量を周期的に変化させて前記エッチングチャンバ内に供給するとともに、両者の位相が逆となるように前記供給量を制御するように構成されていても良い。
更に、エッチング装置は、被エッチング物たるシリコン基板を収納するエッチングチャンバと、前記エッチングチャンバ内の下部位置に配設され、前記シリコン基板が載置される基台と、前記エッチングチャンバ内にエッチングガスを供給するエッチングガス供給手段と、前記エッチングチャンバ内に保護膜形成ガスを供給する保護膜形成ガス供給手段と、前記エッチングチャンバ内を減圧する減圧手段と、前記エッチングチャンバの外周にこれと対向するように配設されたコイルを備え、該コイルに高周波電力を印加して、前記エッチングチャンバ内に供給されたエッチングガスおよび保護膜形成ガスをプラズマ化するプラズマ生成手段と、前記基台に高周波電力を印加する基台電力印加手段と、前記エッチングガス供給手段および前記保護膜形成ガス供給手段により前記エッチングチャンバ内に供給されるエッチングガスおよび保護膜形成ガスの流量を制御するガス流量制御手段と、前記プラズマ生成手段のコイルに印加される電力を制御するコイル電力制御手段と、前記基台電力印加手段により基台に印加される電力を制御する基台電力制御手段とを備えて構成され、前記基台電力制御手段が、前記基台に印加される電力を周期的に変化させるように構成されていても良い。
また、前記基台電力制御手段は、これが、前記基台に印加される電力を周期的に変化させるとともに、前記エッチングガスの非供給時には低い電力を前記基台に印加し、且つ前記エッチングガスの供給時には高い電力を前記基台に印加するように構成されていても良く、更に、前記コイル電力制御手段は、これが、前記コイルに印加される電力を周期的に変化させるように構成されていても良い。
また、エッチング装置は、被エッチング物たるシリコン基板を収納するエッチングチャンバと、前記エッチングチャンバ内の下部位置に配設され、前記シリコン基板が載置される基台と、前記エッチングチャンバ内にエッチングガスを供給するエッチングガス供給手段と、前記エッチングチャンバ内に保護膜形成ガスを供給する保護膜形成ガス供給手段と、前記エッチングチャンバ内を減圧する減圧手段と、前記エッチングチャンバの外周にこれと対向するように配設されたコイルを備え、該コイルに高周波電力を印加して、前記エッチングチャンバ内に供給されたエッチングガスおよび保護膜形成ガスをプラズマ化するプラズマ生成手段と、前記基台に高周波電力を印加する基台電力印加手段と、前記エッチングガス供給手段および前記保護膜形成ガス供給手段により前記エッチングチャンバ内に供給されるエッチングガスおよび保護膜形成ガスの流量を制御するガス流量制御手段と、前記プラズマ生成手段のコイルに印加される電力を制御するコイル電力制御手段と、前記基台電力印加手段により基台に印加される電力を制御する基台電力制御手段とを備えて構成され、前記コイル電力制御手段が、前記コイルに印加される電力を周期的に変化させるように構成されていても良い。
そして、前記コイル電力制御手段は、これを、コイルに印加される電力を周期的に変化させるとともに、エッチングガスの非供給時には低い電力をコイルに印加し、且つエッチングガスの供給時には高い電力をコイルに印加するように構成されたものとすることができる。
また、前記基台電力制御手段は、これが、基台に印加される電力を周期的に変化させるとともに、前記エッチングガスの少量供給時には低い電力を前記基台に印加し、且つ前記エッチングガスの多量供給時には高い電力を前記基台に印加するように構成されていても良く、前記コイル電力制御手段は、これが、コイルに印加される電力を周期的に変化させるとともに、エッチングガスの少量供給時には低い電力をコイルに印加し、且つエッチングガスの多量供給時には高い電力を前記コイルに印加するように構成されていても良い。
発明を実施するための最良の形態
以下、本発明をより詳細に説明するために、添付図面に基づいてこれを説明する。
まず、本実施形態に係るエッチング装置の構成について第1図に基づき説明する。尚、第1図は本実施形態に係るエッチング装置の概略構成を一部ブロック図で示す断面図である。
第1図に示すように、このエッチング装置1は、セラミックからなり、内部にエッチング室2aが形成された筐体状のエッチングチャンバ2と、前記エッチング室2a内の下部領域に配設され、被エッチング物たるシリコン基板Sを載置する基台3と、エッチング室2a内にエッチングガス及び保護膜形成ガスを供給するガス供給部7と、エッチング室2a内を減圧する減圧部13と、エッチング室2a内に供給されたエッチングガス及び保護膜形成ガスをプラズマ化するプラズマ生成部15と、前記基台3に高周波電力を印加する高周波電源18と、これら各部の作動を制御する制御装置20とを備えている。
前記基台3上には、シリコン基板SがOリング4などのシール部材を介して載置される。基台3はその基部3aがエッチング室2a外に導出されるように設けられており、その中心部には、基台3とシリコン基板Sとの間に形成された空間5aに通じる連通路5が設けられ、この連通路5を通して前記空間5a内にヘリウムガスが充填,封入されている。また、基台3には冷却水循環路6が形成されており、この冷却水循環路6内を循環する冷却水(20℃)により、前記基台3及びヘリウムガスを介して、前記シリコン基板Sが冷却されるようになっている。また、この基台3には前記高周波電源18によって13.56MHzの高周波電力が印加されており、基台3及び基台3上に載置されたシリコン基板Sにバイアス電位が生じるようになっている。
前記ガス供給部7は、前記エッチングチャンバ2の上端部に接続されたガス供給管8と、このガス供給管8にそれぞれマスフローコントローラ11,12を介して接続されたガスボンベ9,10とからなり、マスフローコントローラ11,12により流量調整されたガスがガスボンベ9,10からエッチング室2a内に供給されるようになっている。尚、ガスボンベ9内にはエッチング用のSFガスが充填され、ガスボンベ10内には保護膜形成用のCガスが充填されている。
前記減圧部13は、前記エッチングチャンバ2の下端部に接続された排気管14と、この排気管14に接続された図示しない真空ポンプとからなり、この真空ポンプ(図示せず)によって前記エッチング室2a内が所定の低圧(例えば1.33Pa)に減圧されるようになっている。
前記プラズマ生成部15は、前記エッチングチャンバ2の前記基台3より高い位置の外周に沿って配設されたコイル16と、このコイル16に13.56MHzの高周波電力を印加する高周波電源17とからなり、コイル16に高周波電力を印加することによりエッチング室2a内の空間に変動磁場が形成され、エッチング室2a内に供給されたガスがこの変動磁場によって誘起される電界によってプラズマ化されるようになっている。
また、前記制御装置20は、前記マスフローコントローラ11;12を制御し、ガスボンベ9,10からエッチング室2a内に供給されるガス流量を調整するガス流量制御手段21と、前記コイル16に印加される高周波電力を制御するコイル電力制御手段22と、前記基台3に印加される高周波電力を制御する基台電力制御手段23とからなる。
次に、以上の構成を備えたエッチング装置1によりシリコン基板Sをエッチングするその態様について説明する。
まず、フォトリソグラフィなどを用いて所望形状のエッチングマスク(例えばレジスト膜やSiO膜など)をシリコン基板S上に形成した後、このシリコン基板Sをエッチングチャンバ2内に搬入し、Oリング4を介して基台3上に載置する。この後、連通路5から空間5a内にヘリウムガスを充填,封入する。なお、冷却水循環路6内の冷却水は絶えず循環されている。
ついで、ガスボンベ9及び10からSFガス及びCガスをそれぞれエッチング室2a内に供給するとともに、コイル16に高周波電力を印加し、基台3に高周波電力を印加する。
エッチング室2a内に供給されるSFガスの流量は、第2図(a)に示すように、Ve2からVe1の範囲で矩形波状に変化し、また、Cガスの流量は、第2図(b)に示すように、Vd2からVd1の範囲で矩形波状に変化し、且つSFガスの位相とCガスの位相とが相互に逆になるようにそれぞれガス流量制御手段21によって制御される。
また、コイル16に印加される高周波電力は、第2図(c)に示すように、Wc2からWc1の範囲で矩形波状に変化し、基台3に印加される高周波電力は、第2図(d)に示すように、Wp2からWp1の範囲で矩形波状に変化し、且つコイル16に印加される高周波電力の位相と基台3に印加される高周波電力の位相とが同位相となるようにそれぞれコイル電力制御手段22,基台電力制御手段23によって制御される。
エッチング室2a内に供給されたSFガス及びCガスは、コイル16によって生じた変動磁界内で、イオン,電子,Fラジカルなどを含むプラズマとなり、プラズマはこの変動磁界の作用によって高密度に維持される。プラズマ中に存在するFラジカルはSiと化学的に反応して、シリコン基板SからSiを持ち去る、即ちシリコン基板Sをエッチングする働きをし、イオンは基台3及びシリコン基板Sに生じた自己バイアス電位により基台3及びシリコン基板Sに向けて加速され、シリコン基板Sに衝突してこれをエッチングする。斯くして、これらFラジカル及びイオンによってマスク開口部のシリコン基板S表面(エッチンググランド)がエッチングされ、所定幅及び深さの溝等が形成される。
一方、Cガスはプラズマ化されることにより重合物となって溝等の壁面及び底面(エッチンググランド)に堆積してフロロカーボン膜を形成する働きをする。このフロロカーボン膜はFラジカルと反応せず、Fラジカルに対する保護膜として作用し、この保護膜によってサイドエッチングやアンダーカットが防止される。
このように、SFガス及びCガスを同時にエッチング室2a内に供給して得られるプラズマの存在下では、Fラジカル及びイオン照射によるエッチングと、重合による保護膜の形成という相反する作用が同時に溝等の壁面及び底面上で進行する。詳細には、イオン照射の多い底面では、重合物の堆積よりもイオン照射による重合物の剥離の方がより強く作用して、Fラジカル及びイオンによるエッチングが進行し易く、一方イオン照射の少ない壁面では、イオン照射による重合物の剥離よりも重合物の堆積の方がより強く作用して、保護膜の形成が進行し易い。
以上のことを考慮して本実施形態においては、SFガス及びCガスの流量、並びにコイル16に印加される高周波電力及び基台3に印加される高周波電力を、上述した如く第2図に示すようにそれぞれ制御している。
具体的には、第2図においてeで示す時間帯については、SFガスの供給量をVe1と多くし、Cガスの供給量をVd2と少なくするとともに、コイル16に印加される高周波電力をWc1と高くし、基台3に印加される高周波電力をWp1と高くしている。SFガスの供給量を多くし、Cガスの供給量を少なくし、コイル16に印加される高周波電力を高くすることにより、エッチングに必要なFラジカルやイオンを適量生成することができる一方、重合物の生成をサイドエッチングやアンダーカットを防止することができる最低限の量に押さえることができる。また、基台3に印加される高周波電力を高くすることにより、イオン照射速度を高め、エッチング速度を高めることができる。
以上により、イオン照射の多いエッチンググランド(底面)については、重合物の堆積よりもイオン照射による重合物の剥離の方ががなり強く作用して、Fラジカルやイオンによるエッチングが進行する一方、イオン照射の少ない壁面では、イオン照射による重合物の剥離よりも重合物の堆積の方がより強く作用して、保護膜の形成が進行し、エッチングによって順次形成される壁面がこの保護膜によって直ちに被覆される。
一方、第2図においてdで示す時間帯については、SFガスの供給量をVe2と少なくし、Cガスの供給量をVd1と多くするとともに、コイル16に印加される高周波電力をWc2と低くし、基台3に印加される高周波電力をWp2と低くしている。SFガスの供給量を少なくし、Cガスの供給量を多くすることにより、保護膜形成に必要な重合物をより多く生成することができる一方、Fラジカルやイオンの生成を、エッチンググランドに堆積される重合物を剥離するのに必要な最低限の量に押さえることができる。また、基台3に印加される高周波電力を低くすることにより、エッチンググランドに堆積される重合物を剥離するのに必要な程度にイオン照射速度を遅くすることができ、壁面に堆積される保護膜がイオン照射によって剥離されるのを防止することができる。
以上により、エッチンググランド(底面)については、堆積される重合物をイオン照射によって剥離する程度にエッチングが抑制される一方、イオン照射の少ない壁面では、より多くの重合物が堆積して、強固な保護膜が形成される。
斯くして、以上のe工程及びd工程を順次繰り返して実施することにより、主としてエッチングの進行する工程と、主として保護膜形成の進行する工程とが交番的に繰り返され、エッチングによって順次形成される壁面が保護膜によって直ちに被覆されるとともに、引き続いて実行される工程において、保護膜が更に強固に形成されるので、上述したサイドエッチングやアンダーカットを確実に防止することができ、これにより、内壁面が垂直であり且つその凹凸が200nm以下であるトレンチを、効率よくシリコン基板S上に形成することができる。
このような作用を奏するための前記SFガスの流量Ve1は60〜300ml/minの範囲であるのが好ましく、流量Ve2は0〜80ml/minの範囲であるのが好ましい。尚、流量Ve2の範囲に0ml/minを含めているのは、Cガスをプラズマ化した際にもイオンが生成されるため、エッチンググランドに堆積される重合物の除去に必要なイオン量を、このCガスからもたらされるイオンで十分まかなうことができると考えられるからである。また、前記Cガスの流量Vd1は50〜260ml/minの範囲であるのが好ましく、流量Vd2は50〜150ml/minの範囲であるのが好ましい。
また、コイル16に印加される高周波電力Wc1は800〜3000Wの範囲であるのが好ましく、Wc2は600〜2500Wの範囲であるのが好ましい。更に、基台3に印加される高周波電力Wp1は3〜50Wの範囲であるのが好ましく、Wp2は0〜15Wの範囲であるのが好ましい。尚、Wp2の範囲に0Wを含めているのは、Wp2を0Wにすると前記d工程においてエッチンググランドに堆積する重合物を除去することはできないが、続くe工程におけるイオン照射によりこれを除去することができるからである。但し、エッチンググランドに堆積する重合物をe工程において除去する必要があることから、全体としての加工時間が長くなるという欠点がある。
また、前記e工程の実施時間は3〜45秒の範囲が好ましく、前記d工程の実施時間は3〜30秒の範囲が好ましい。
このように、本例によれば、シリコン基板Sをエッチングして得られた溝等の壁面の凹凸を200nm以下にすることができるので、半導体集積回路の高集積化,高密度化を図ることができ、トレンチ・キャパシタとした場合に、その絶縁性が低下するのを防止することができ、また、歯車を形成した場合には、その伝達損失を極力小さいものとすることができる。
また、本例では主としてエッチングの進行する工程においても保護膜形成用のCガスをエッチング室2a内に供給しているので、次のような効果が確認された。即ち、エッチングの進行する工程において保護膜形成用ガスを供給しない従来のエッチング法で深溝等を形成すると、マスクの開口幅が狭いほどエッチング速度が低下する、いわゆるマイクロローディング効果を生じることが知られており、マスクの開口幅によってそのエッチング速度が異なる。ところが、本例のようにエッチングの進行する工程中に保護膜形成用ガスを供給すると、マスクの開口幅によらずエッチング速度がほぼ一定になることが判明したのである。本例によると、エッチンググランドにおいて重合物の堆積と除去とが同時に進行するため、エッチング速度が低下するが、これはマスク開口部が広いほど顕著に現れる。このため、結果としてマスク開口幅によらずエッチング度がほぼ一定になるものと思われる。
このことは、特にSOI(Silicon on insulator)基板をエッチングする際に有利に働く。即ち、様々な開口幅を有するエッチングマスクを用いて従来のエッチング法によりSOI基板をエッチングした場合、広い開口幅の部分はエッチング速度が速いため、そのエッチンググランドが他の開口部に比べて速くSiO層に達する。他の開口部のエッチングが完了していないためこれを継続すると、FラジカルがSiO層と反応し難いため、言い換えればSiO層のエッチング速度がSiのエッチング速度に比べて格段に低いため、Fラジカルは保護膜を突き破り、SiとSiO層との界面付近のSiを侵食するいわゆるノッチング現象を生じて加工精度が悪化するのである。本例によれば、マスクの開口幅によらずエッチング速度をほぼ一定にすることができるので、このようなノッチング現象が生じて加工精度が悪化するのを防止することができる。
また、SFガスとCガスとを別々にエッチング室2a内に供給すると、コイル16への供給電力が一定であっても、発生するプラズマのインピーダンスが各ガス及びその流量によって異なるため、インピーダンスの調整に長時間を要し、その結果、安定的に電力を供給することが困難になる。本例によれば、SFガスとCガスとを同時にエッチング室2a内に供給しているので、印加の際に供給電力の低下を招くインピーダンスの調整を容易に行うことができ、安定して電力を供給することができる。
(実施例)
シリコン基板に開口が5μm×300μmのマスク(SiO)を形成した後、以下の条件で当該シリコン基板をエッチングした。
1.比較例
▲1▼エッチング室2a内の圧力を3.99Paとし、▲2▼エッチングガスとしてSFガス、保護膜形成ガスとしてCガスをそれぞれ用い、▲3▼SFガスの流量Ve1を260ml/min、Ve2を0ml/minとし、▲4▼Cガスの流量Vd1を150ml/min、Vd2を0ml/minとし、▲5▼上記コイル16に印加される高周波電力Wc1を2500W、Wc2を1000Wとし、▲6▼上記基台3に印加される高周波電力Wp1を20W、Wp2を0Wとし、これらを、第2図に示すように制御して、シリコン基板に深さ50μmの穴を形成した。
2.実施例
▲1▼エッチング室2a内の圧力を3.99Paとし、▲2▼エッチングガスとしてSFガス、保護膜形成ガスとしてCガスをそれぞれ用い、▲3▼SFガスの流量Ve1を260ml/min、Ve2を7ml/minとし、▲4▼Cガスの流量Vd1を150ml/min、Vd2を13ml/minとし、▲5▼上記コイル16に印加される高周波電力Wc1を2500W、Wc2を1000Wとし、▲6▼上記基台3に印加される高周波電力Wp1を20W、Wp2を5Wとして、これらを、第2図に示すように制御して、シリコン基板に深さ50μmの穴を形成した。
上記実施例及び比較例におけるエッチングレート及びマスク選択比、並びにエッチングによりシリコン基板に形成された穴の寸法特性を第4図に示す。尚、エッチングレートは1分間当たりのエッチング深さで表され、これが大きいほど好ましい。また、マスク選択比は、シリコン(Si)がエッチングされる深さとマスク(SiO)がエッチングされる深さとの比、即ち、マスク選択比=Siエッチング深さ/SiOエッチング深さ、で表わされ、これが大きいほど好ましい。更に、凹凸ρ(nm)は、第3図に示すように、穴側壁に形成された凹凸の深さで表され、これが小さい方が好ましく、穴側壁角度θ(°)は、水平面(シリコン基板の底面に相当)に対する角度で表され、これが90°に近い方が好ましい。尚、図中、符号Sはシリコン基板、符号21はマスク、符号22は穴壁面である。
上記第4図に示すように、エッチングを行う全工程においてSFガス(エッチングガス)とCガス(保護膜形成ガス)の双方をエッチングチャンバ2内に供給し、且つその供給量を相互に逆位相となるように周期的に変化させた実施例は、SFガス(エッチングガス)とCガス(保護膜形成ガス)とを交互にエッチングチャンバ2内に供給するようにした比較例に比べて、エッチングレート,マスク選択比,凹凸ρ及び穴側壁角度θのいずれの項目についても優っている。
以上、本発明の最適と思われる一実施形態について説明したが、本発明の具体的な態様がこれに限られるものでないことは言うまでもないことである。
上述したように、SFガス及びCガスの流量、コイル16に印加される高周波電力、基台3に印加される高周波電力といった各エッチング条件をそれぞれ上記範囲で変化させることにより、主としてエッチングの進行する工程と、主として保護膜形成の進行する工程とを交番的に繰り返して実行することができ、凹凸が200nm以下となった垂直な壁面の溝等をシリコン基板S上に形成することができる。したがって、変化させる上記各エッチング条件を適宜組み合わせて実施することによっても本発明の目的を達成することができる。
即ち、コイル16の印加電力及び基台3の印加電力を一定にして、SFガス及びCガスの流量を上記範囲で変化させるようにしても良く、或いはコイル16の印加電力のみを一定にして、基台3の印加電力並びにSFガス及びCガスの流量を上記範囲で変化させるようにしても良く、或いは逆に基台3の印加電力を一定にして、コイル16の印加電力並びにSFガス及びCガスの流量を上記範囲で変化させるようにしても良い。
また、SFガス及びCガスの流量並びにコイル16の印加電力を一定にして、基台3の印加電力を上記範囲で変化させるようにしても良く、或いはSFガス及びCガスの流量のみを一定にして、コイル16の印加電力及び基台3の印加電力を上記範囲で変化させるようにしても良い。
また、本例では、エッチング工程をe工程から開始してe工程とd工程とを順次繰り返し実施するようにしたが、これに限るものではなく、d工程から開始してd工程とe工程とを順次繰り返し実施するようにしても良い。このようにすれば、得られる溝側面(特にマスク直下の部分)の凹凸を更に小さくすることができる。
産業上の利用可能性
以上のように、本発明にかかるエッチング方法及びエッチング装置は、ドライエッチングプロセスによって、シリコン基板に、例えば、溝などの構造面を形成する際に、これを好適に用いることができる。
【図面の簡単な説明】
第1図は、この発明にかかる好ましいエッチング装置の概略構成を一部ブロック図で示した断面図である。
第2図(a)は、SFガス流量の制御状態を示したタイミングチャートであり、第2図(b)は、Cガス流量の制御状態を示したタイミングチャートであり、第2図(c)は、コイルに印加される高周波電力の制御状態を示したタイミングチャートであり、第2図(d)は、基台に印加される高周波電力の制御状態を示したタイミングチャートである。
第3図は、実施例の評価方法を説明するための説明図である。
第4図は、実施例の評価結果を示した説明図である。
第5図は、従来のエッチング法によりシリコン基板に形成した深溝を示す断面図である。
第6図は、従来のエッチング法により形成した深溝を用いて形成したトレンチ・キャパシタを示す断面図である。

Claims (21)

  1. シリコン基板表面にエッチングマスクを形成するマスク形成工程と、高周波電力によりプラズマ化したエッチングガスを用いて前記エッチングマスクの開口部から前記シリコン基板表面をドライエッチングして所定の構造面を形成するエッチング工程とを順次実施することによりシリコン基板をエッチングする方法であって、
    前記エッチング工程を、
    エッチングガスおよび保護膜形成ガスを用い、主としてエッチンググランドにおける前記ドライエッチングを進行させる工程と、
    保護膜形成ガスを用い、前記ドライエッチングにより形成された構造面に保護膜を形成する工程と、
    前記エッチンググランドに形成された前記保護膜を除去する工程とを順次繰り返すことにより行うことを特徴とするシリコン基板のエッチング方法。
  2. 前記主としてドライエッチングを進行させる工程においては少量の保護膜形成ガスを供給し、前記保護膜を形成する工程においては多量の保護膜形成ガスを供給することを特徴とする請求の範囲第1項記載のシリコン基板のエッチング方法。
  3. 前記主としてドライエッチングを進行させる工程及び前記保護膜除去工程、または前記保護膜除去工程において、シリコン基板に電力を印加してバイアス電位を与えることを特徴とする請求の範囲第1項又は第2項記載のシリコン基板のエッチング方法。
  4. シリコン基板表面にエッチングマスクを形成するマスク形成工程と、高周波電力によりプラズマ化したエッチングガスを用いて前記エッチングマスクの開口部から前記シリコン基板表面をドライエッチングして所定の構造面を形成するエッチング工程とを順次実施することによりシリコン基板をエッチングする方法であって、
    前記エッチング工程を、
    エッチングガスおよび保護膜形成ガスを用い、主としてエッチンググランドにおける前記ドライエッチングを進行させる工程と、
    同じくエッチングガスおよび保護膜形成ガスを用い、主としてエッチンググランドに対して垂直な前記構造面に保護膜を形成させる工程とを順次繰り返すことにより行うことを特徴とするシリコン基板のエッチング方法。
  5. 前記主としてドライエッチングを進行させる工程においては多量のエッチングガスおよび少量の保護膜形成ガスを供給し、前記主として保護膜を形成する工程においては少量のエッチングガスおよび多量の保護膜形成ガスを供給することを特徴とする請求の範囲第4項記載のシリコン基板のエッチング方法。
  6. 前記エッチング工程において、常時シリコン基板に電力を印加してバイアス電位を与えることを特徴とする請求の範囲第4項又は第5項記載のシリコン基板のエッチング方法。
  7. シリコン基板表面にエッチングマスクを形成するマスク形成工程と、高周波電力によりプラズマ化したエッチングガスを用いて前記エッチングマスクの開口部から前記シリコン基板表面をドライエッチングして所定の構造面を形成するエッチング工程とを順次実施することによりシリコン基板をエッチングする方法であって、
    前記エッチング工程を、
    該エッチング工程中、常時シリコン基板に電力を印加してバイアス電位を与えるとともに、
    エッチングガスおよび保護膜形成ガスを用い、主としてエッチンググランドにおける前記ドライエッチングを進行させる工程と、
    保護膜形成ガスを用い、主としてエッチンググランドに対して垂直な前記構造面に保護膜を形成させる工程とを順次繰り返すことにより行うことを特徴とするシリコン基板のエッチング方法。
  8. 前記主としてドライエッチングを進行させる工程においては少量の保護膜形成ガスを供給し、前記主として保護膜を形成する工程においては多量の保護膜形成ガスを供給することを特徴とする請求の範囲第7項記載のシリコン基板のエッチング方法。
  9. 前記シリコン基板に印加する電力を、前記主としてドライエッチングを進行させる工程においては大きくし、前記主として保護膜を形成させる工程においては小さくすることを特徴とする請求の範囲第6項乃至第8項記載のいずれかのシリコン基板のエッチング方法。
  10. 前記エッチングガスに反応性ガスを用いることを特徴とする請求の範囲第1項乃至第9項記載のいずれかのシリコン基板のエッチング方法。
  11. 前記エッチング工程において、プラズマ化したエッチングガス及び保護膜形成ガスを用いるとともに、プラズマを発生させる際に用いる高周波電力を、前記主としてドライエッチングを進行させる工程においては高くし、前記主として保護膜を形成させる工程においては低くすることを特徴とする請求の範囲第10項記載のシリコン基板のエッチング方法。
  12. 被エッチング物たるシリコン基板を収納するエッチングチャンバと、
    前記エッチングチャンバ内の下部位置に配設され、前記シリコン基板が載置される基台と、
    前記エッチングチャンバ内にエッチングガスを供給するエッチングガス供給手段と、
    前記エッチングチャンバ内に保護膜形成ガスを供給する保護膜形成ガス供給手段と、
    前記エッチングチャンバ内を減圧する減圧手段と、
    前記エッチングチャンバの外周にこれと対向するように配設されたコイルを備え、該コイルに高周波電力を印加して、前記エッチングチャンバ内に供給されたエッチングガスおよび保護膜形成ガスをプラズマ化するプラズマ生成手段と、
    前記基台に高周波電力を印加する基台電力印加手段と、
    前記エッチングガス供給手段および前記保護膜形成ガス供給手段により前記エッチングチャンバ内に供給されるエッチングガスおよび保護膜形成ガスの流量を制御するガス流量制御手段と、
    前記プラズマ生成手段のコイルに印加される電力を制御するコイル電力制御手段と、
    前記基台電力印加手段により基台に印加される電力を制御する基台電力制御手段とを備えて構成され、
    前記ガス流量制御手段が、前記保護膜形成ガスを連続的に前記エッチングチャンバ内に供給し、且つ前記エッチングガスを断続的に前記エッチングチャンバ内に供給するように構成されてなることを特徴とするシリコン基板のエッチング装置。
  13. 前記ガス流量制御手段が、前記エッチングガスの非供給時には多量の前記保護膜形成ガスを前記エッチングチャンバ内に供給し、且つ前記エッチングガスの供給時には少量の前記保護膜形成ガスを前記エッチングチャンバ内に供給するものである請求の範囲第12項記載のシリコン基板のエッチング装置。
  14. 被エッチング物たるシリコン基板を収納するエッチングチャンバと、
    前記エッチングチャンバ内の下部位置に配設され、前記シリコン基板が載置される基台と、
    前記エッチングチャンバ内にエッチングガスを供給するエッチングガス供給手段と、
    前記エッチングチャンバ内に保護膜形成ガスを供給する保護膜形成ガス供給手段と、
    前記エッチングチャンバ内を減圧する減圧手段と、
    前記エッチングチャンバの外周にこれと対向するように配設されたコイルを備え、該コイルに高周波電力を印加して、前記エッチングチャンバ内に供給されたエッチングガスおよび保護膜形成ガスをプラズマ化するプラズマ生成手段と、
    前記基台に高周波電力を印加する基台電力印加手段と、
    前記エッチングガス供給手段および前記保護膜形成ガス供給手段により前記エッチングチャンバ内に供給されるエッチングガスおよび保護膜形成ガスの流量を制御するガス流量制御手段と、
    前記プラズマ生成手段のコイルに印加される電力を制御するコイル電力制御手段と、
    前記基台電力印加手段により基台に印加される電力を制御する基台電力制御手段とを備えて構成され、
    前記ガス流量制御手段が、前記エッチングガスおよび保護膜形成ガスを連続的且つその供給量を周期的に変化させて前記エッチングチャンバ内に供給するとともに、両者の位相が逆となるように前記供給量を制御するように構成されてなることを特徴とするシリコン基板のエッチング装置。
  15. 被エッチング物たるシリコン基板を収納するエッチングチャンバと、
    前記エッチングチャンバ内の下部位置に配設され、前記シリコン基板が載置される基台と、
    前記エッチングチャンバ内にエッチングガスを供給するエッチングガス供給手段と、
    前記エッチングチャンバ内に保護膜形成ガスを供給する保護膜形成ガス供給手段と、
    前記エッチングチャンバ内を減圧する減圧手段と、
    前記エッチングチャンバの外周にこれと対向するように配設されたコイルを備え、該コイルに高周波電力を印加して、前記エッチングチャンバ内に供給されたエッチングガスおよび保護膜形成ガスをプラズマ化するプラズマ生成手段と、
    前記基台に高周波電力を印加する基台電力印加手段と、
    前記エッチングガス供給手段および前記保護膜形成ガス供給手段により前記エッチングチャンバ内に供給されるエッチングガスおよび保護膜形成ガスの流量を制御するガス流量制御手段と、
    前記プラズマ生成手段のコイルに印加される電力を制御するコイル電力制御手段と、
    前記基台電力印加手段により基台に印加される電力を制御する基台電力制御手段とを備えて構成され、
    前記基台電力制御手段が、前記基台に印加される電力を周期的に変化させるように構成されてなることを特徴とするシリコン基板のエッチング装置。
  16. 前記基台電力制御手段が、前記基台に印加される電力を周期的に変化させるとともに、前記エッチングガスの非供給時には低い電力を前記基台に印加し、且つ前記エッチングガスの供給時には高い電力を前記基台に印加するように制御するものである請求の範囲第12項又は第13項記載のシリコン基板のエッチング装置。
  17. 前記コイル電力制御手段が、前記コイルに印加される電力を周期的に変化させるものである請求の範囲第15項記載のシリコン基板のエッチング装置。
  18. 被エッチング物たるシリコン基板を収納するエッチングチャンバと、
    前記エッチングチャンバ内の下部位置に配設され、前記シリコン基板が載置される基台と、
    前記エッチングチャンバ内にエッチングガスを供給するエッチングガス供給手段と、
    前記エッチングチャンバ内に保護膜形成ガスを供給する保護膜形成ガス供給手段と、
    前記エッチングチャンバ内を減圧する減圧手段と、
    前記エッチングチャンバの外周にこれと対向するように配設されたコイルを備え、該コイルに高周波電力を印加して、前記エッチングチャンバ内に供給されたエッチングガスおよび保護膜形成ガスをプラズマ化するプラズマ生成手段と、
    前記基台に高周波電力を印加する基台電力印加手段と、
    前記エッチングガス供給手段および前記保護膜形成ガス供給手段により前記エッチングチャンバ内に供給されるエッチングガスおよび保護膜形成ガスの流量を制御するガス流量制御手段と、
    前記プラズマ生成手段のコイルに印加される電力を制御するコイル電力制御手段と、
    前記基台電力印加手段により基台に印加される電力を制御する基台電力制御手段とを備えて構成され、
    前記コイル電力制御手段が、前記コイルに印加される電力を周期的に変化させるように構成されてなることを特徴とするシリコン基板のエッチング装置。
  19. 前記コイル電力制御手段が、前記コイルに印加される電力を周期的に変化させるとともに、前記エッチングガスの非供給時には低い電力を前記コイルに印加し、且つ前記エッチングガスの供給時には高い電力を前記コイルに印加するように制御するものである請求の範囲第12項又は第13項又は第16項記載のシリコン基板のエッチング装置。
  20. 前記基台電力制御手段が、前記基台に印加される電力を周期的に変化させるとともに、前記エッチングガスの少量供給時には低い電力を前記基台に印加し、且つ前記エッチングガスの多量供給時には高い電力を前記基台に印加するように制御するものである請求の範囲第14項記載のシリコン基板のエッチング装置。
  21. 前記コイル電力制御手段が、前記コイルに印加される電力を周期的に変化させるとともに、前記エッチングガスの少量供給時には低い電力を前記コイルに印加し、且つ前記エッチングガスの多量供給時には高い電力を前記コイルに印加するように制御するものである請求の範囲第14項又は第20項記載のシリコン基板のエッチング装置。
JP2003533332A 2001-09-28 2002-09-20 シリコン基板のエッチング方法およびエッチング装置 Expired - Lifetime JP4209774B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001299435 2001-09-28
JP2001299435 2001-09-28
PCT/JP2002/009734 WO2003030239A1 (fr) 2001-09-28 2002-09-20 Procede de gravure de substrat de silicium et appareil de gravure

Publications (2)

Publication Number Publication Date
JPWO2003030239A1 true JPWO2003030239A1 (ja) 2005-01-20
JP4209774B2 JP4209774B2 (ja) 2009-01-14

Family

ID=19120193

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003533332A Expired - Lifetime JP4209774B2 (ja) 2001-09-28 2002-09-20 シリコン基板のエッチング方法およびエッチング装置

Country Status (3)

Country Link
US (1) US20040180544A1 (ja)
JP (1) JP4209774B2 (ja)
WO (1) WO2003030239A1 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4098225B2 (ja) * 2003-12-01 2008-06-11 松下電器産業株式会社 プラズマエッチング方法
JP4065213B2 (ja) 2003-03-25 2008-03-19 住友精密工業株式会社 シリコン基板のエッチング方法及びエッチング装置
JP4161857B2 (ja) * 2003-09-10 2008-10-08 株式会社デンソー 半導体装置の製造方法
EP1691402A4 (en) * 2003-12-01 2008-07-23 Matsushita Electric Ind Co Ltd PLASMA ETCHING PROCESS
JP4520752B2 (ja) * 2004-02-12 2010-08-11 セイコーインスツル株式会社 容量型力学量センサの製造方法
JP4812512B2 (ja) * 2006-05-19 2011-11-09 オンセミコンダクター・トレーディング・リミテッド 半導体装置の製造方法
EP1892757B1 (en) * 2006-08-25 2017-06-07 Imec High aspect ratio via etch
KR100843236B1 (ko) * 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
JP5172417B2 (ja) * 2008-03-27 2013-03-27 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
JP5093854B2 (ja) * 2009-03-25 2012-12-12 Sppテクノロジーズ株式会社 エッチング方法
WO2011001779A1 (ja) * 2009-07-01 2011-01-06 住友精密工業株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
JP6043046B2 (ja) * 2010-08-12 2016-12-14 東京エレクトロン株式会社 エッチングガスの供給方法及びエッチング装置
CN103824767B (zh) * 2012-11-16 2017-05-17 中微半导体设备(上海)有限公司 一种深硅通孔的刻蚀方法
KR101533781B1 (ko) * 2013-09-16 2015-07-03 주식회사티티엘 패터닝된 사파이어기판을 에칭하기 위한 가스초핑 프로세스
US9054050B2 (en) * 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
CN105336607A (zh) * 2014-05-26 2016-02-17 北大方正集团有限公司 一种功率器件的沟槽的制作方法
JP2017196800A (ja) 2016-04-27 2017-11-02 東芝テック株式会社 インクジェットヘッドおよびインクジェット記録装置
EP3246164B1 (en) 2016-05-17 2019-10-16 Toshiba TEC Kabushiki Kaisha Inkjet head and inkjet recording apparatus
JP6878174B2 (ja) * 2017-06-29 2021-05-26 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP7296277B2 (ja) 2019-08-22 2023-06-22 東京エレクトロン株式会社 エッチングする方法、デバイス製造方法、及びプラズマ処理装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500408A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Apparatus for and method of controlling sputter coating
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
JPS61256725A (ja) * 1985-05-10 1986-11-14 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US5068002A (en) * 1989-08-03 1991-11-26 Quintron, Inc. Ultrasonic glow discharge surface cleaning
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6514376B1 (en) * 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
KR100291108B1 (ko) * 1993-03-17 2001-06-01 히가시 데쓰로 플라즈마 처리 시스템
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
TW299559B (ja) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
TW323387B (ja) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
ATE251341T1 (de) * 1996-08-01 2003-10-15 Surface Technology Systems Plc Verfahren zur ätzung von substraten
JP3220394B2 (ja) * 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
JPH10240356A (ja) * 1997-02-21 1998-09-11 Anelva Corp 基板処理装置の基板温度制御法と基板温度制御性判定法
JP4065213B2 (ja) * 2003-03-25 2008-03-19 住友精密工業株式会社 シリコン基板のエッチング方法及びエッチング装置

Also Published As

Publication number Publication date
WO2003030239A1 (fr) 2003-04-10
US20040180544A1 (en) 2004-09-16
JP4209774B2 (ja) 2009-01-14

Similar Documents

Publication Publication Date Title
JP4209774B2 (ja) シリコン基板のエッチング方法およびエッチング装置
JP4153606B2 (ja) プラズマエッチング方法およびプラズマエッチング装置
JP4065213B2 (ja) シリコン基板のエッチング方法及びエッチング装置
US6905626B2 (en) Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US4473435A (en) Plasma etchant mixture
US20070175856A1 (en) Notch-Free Etching of High Aspect SOI Structures Using A Time Division Multiplex Process and RF Bias Modulation
JP5214596B2 (ja) プラズマ処理システムのマスクアンダーカットおよびノッチを最小化する方法
JP2007035860A (ja) 半導体装置の製造方法
US20050103749A1 (en) Method and device for anisotropic etching of high aspect ratio
TWI789449B (zh) 基板之電漿蝕刻方法
KR20060028660A (ko) 드라이 에칭 방법
US6593244B1 (en) Process for etching conductors at high etch rates
WO2003056617A1 (fr) Procede de gravure et dispositif de gravure au plasma
US20070212888A1 (en) Silicon Substrate Etching Method
JP6579786B2 (ja) プラズマエッチング方法
JP5774356B2 (ja) プラズマ処理方法
JPS60126835A (ja) エッチング方法
WO2023199371A1 (ja) プラズマ処理方法
TW201442110A (zh) 一種在矽基底刻蝕通孔的方法
KR20130009535A (ko) 드라이 에칭 방법 및 게이트 라스트 방식의 메탈 게이트 제조 방법
JP5792613B2 (ja) プラズマエッチング方法
JP5918886B2 (ja) プラズマ処理方法
TW202101584A (zh) 電漿處理方法
JP2013149945A (ja) 半導体装置の製造方法
WO2004112120A1 (ja) シリコン基板のエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050530

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080620

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081014

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081023

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4209774

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111031

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111031

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111031

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111031

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121031

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121031

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121031

Year of fee payment: 4

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121031

Year of fee payment: 4

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121031

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121031

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131031

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131031

Year of fee payment: 5

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131031

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term