JPS6315425A - 2酸化シリコンの厚い層を作る方法 - Google Patents

2酸化シリコンの厚い層を作る方法

Info

Publication number
JPS6315425A
JPS6315425A JP61157168A JP15716886A JPS6315425A JP S6315425 A JPS6315425 A JP S6315425A JP 61157168 A JP61157168 A JP 61157168A JP 15716886 A JP15716886 A JP 15716886A JP S6315425 A JPS6315425 A JP S6315425A
Authority
JP
Japan
Prior art keywords
silicon
layer
silicon dioxide
oxidation
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP61157168A
Other languages
English (en)
Inventor
ロレンゾ フアラオーネ
デービツド レスタ パターソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
RCA Corp
Original Assignee
RCA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by RCA Corp filed Critical RCA Corp
Publication of JPS6315425A publication Critical patent/JPS6315425A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/081Insulators
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/118Oxide films

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔産業上の利用分野) この発明は、適当な基板上に2酸化シリコンの厚い層を
形成する方法に関するものである。
〔発明の背景〕
絶縁用誘電体で隔てられている複数のシリコン層から或
る多層(マルチレペ)V )構造は、電荷結合装置、E
EPROM装置およびCM○S装置などの様な集積回路
構造に広く使用されている。代表的な絶縁用誘電体は、
土台となるシリコン表面の熱酸化によって或いはシリコ
ン表面上への化学蒸着法(CVD)によって形成された
2酸化シリコンである。熱成長2酸化シリコンは、生成
が簡単であることおよび得られる酸化物の純度が良いと
いう点で一般に好ましいものである。
或種の装置の構造では、少なくとも12oonm (ナ
ノメートル)の、また或る場合には厚さが2μm(ミク
ロン)を超す厚さの、厚い絶縁酸化物層が必要である。
たとえば、多層構造中で機能物同志を互に隔てるのに使
用される2酸化シリコン層の厚さは1μm を超えるこ
とが屡々ある。被着シリコンの再結晶化というような或
る工程では、出発基板として表面に2酸化シリコンの厚
い被覆を有するシリコン・ウェハを利用している。表面
に2酸化シリコンの厚い層を有するサファイア再板およ
びそれと同様な基板から、光学的格子が製造される。
スパッタリング法またはT子ビーム蒸着法という様な通
常の被着法全使って2酸化シリコンの厚い層を形成する
ことには、幾つかの固有の不利な点がある。被着(デポ
ジション)法で形成した2酸化シリコンの厚い層は一般
に可成りのストレス係数(ストレス・ファクタ)を持っ
ている。この様な層はまた屡々空孔(ボイド)を含んで
いる。
このストレスと空孔の問題は、その購造を高温でアニー
ルすることによってこれまで軽減していたが、このアニ
ール処理はその溝造の耐高温特性によっては不都合なこ
とがある。また、その層を特にたとえばスパッタ法で被
着した場合には成長中のフィルムの中に外部からの不純
物が捕えられる可能性もある。
熱酸化法によって2酸化シリコンの厚い層を成長させる
ヤリ方にも或種の欠点がある。その欠くの中で最も顕著
なものは、酸化層が厚くなるにつれて、酸素が基板シリ
コンに到達しに〈−なシ、従って酸化層が厚くなるにつ
れて成長速度が大幅に低下することである。諸条件が理
想的なときでも、 1200nmの厚さの2酸化シリコ
ン層を950℃の水蒸気中で1回の酸化工程で成長させ
るには約7時間を必要とする。この時間と所要エネルギ
の問題に加えて、この様に高温の長時間酸化処理を行な
うと、基板中で不純物の拡散が起9、その分布形状とそ
の機能効率の双方に悪影響を及ぼすことがある。長時間
の酸化処理は、シリコン基板の熱酸化に起因する酸化誘
起堆積欠陥(オキシデーション・インシュウスト・スタ
ッキング・フォールト)の濃度全高める可能性がある。
過去の経験によれば、その様な堆積欠陥は、酸化が基板
中へ深く進行すればする程より顕著になることが判った
最後に、シリコンを消費するシリコン基板の長時間酸化
処理は、もし適正な制御が行なわれないと、異なる材料
の層り埋込み装置などの上を覆うシリコン層が所望の厚
さよシも厚くなったシ薄くなったりする不都合を生ずる
ことがある。
この発明は、シリコン基板を熱酸化することによって2
酸化シリコンの厚いMを形成する方法であって、従来の
熱酸化工程よりも所要時間が大幅に短かくしかも在来法
に伴なう欠点を解消または減少させた新規な方法を提供
するものである。
〔発明の概要〕
この発明は、シリコン基板または表面にシリコン層を有
する基板の上に1μmよりも充分厚い2酸化シリコンの
層を成長させる方法で、その基板の表面シリコンを先ず
酸化させて2酸化シリコンの薄層を形成し、その上にシ
リコンの薄層を被着し、この被着シリコン層を酸化させ
ることによって2酸化シリコン層の厚さを増大させ、以
下所望厚さの2酸化シリコンが形成されるに必要なだけ
上記の被着と酸化工程とを繰返すものである。
〔詳細な説明〕
この発明の方法は、シリコン或いはサファイアのような
シリコン以外の適当な材料より或る基板上に2酸化シリ
コンの厚い層を形成する方法の改良である。なお、こ!
で「厚い」という語は、厚さが1μmを超す、好ましく
は1200nmを超すような2酸化シリコンの層を表わ
すものとする。この発明の方法を厚さが1μm未満の2
酸化シリコン層を形成する場合に利用できることも、容
易に理解されよう。しかし、その様な場合には実用上の
利益があまり認められない。この発明の方法による利点
は形成しようとする2酸化シリコンの所望厚さに関係し
て増大する。たとえば、950’Cの水蒸気中で、この
発明の方法を使って厚さが約1”OOnmの2酸化シリ
コン層を形成するに必要な時間は、同じ条件で同一厚さ
の層を従来の1回の熱酸化工程で形成するに要する時間
のはソ半分である。この時間の短縮は、後述するように
皿々の利益をもたらすものである。
この発明によって2酸化シリコンの厚い層が形成される
基板は、電子工業界で一般に使用されている任意適当な
材料のもので良く、たとえばシリコン・ウェハ或いは表
面にシリコン層を有するサファイアのような他の材料か
ら或るものである。
この表面のシリコン層は、この発明の方法に従っ2酸化
シリコンの最初の薄層が形成されるとき全部費されるも
のでも、或いは設計によっては最初の酸化工程後まで酸
化されずに一部分が残るように充分な厚さをもつもので
も、良い。シリコン層を基板上に残すかどうかは、製造
しようとする装置の要求によって決まる。このシリコン
層、またはシリコン基板の表面部は、全体的に或いは一
部分に1種またはそれ以上の導τ率変更剤が在来の方法
でドープされていることもある。
この発明の方法によって厚い層を形成するために成長さ
せられる2酸化シリコンの順次層は、その厚さがそれぞ
れ約250乃至700 nm、好ましくは約400乃至
600皿である。酸化物層の全厚さについてと同様に、
上記順次被着も容易に上記値よシ大きく(厚く)も小さ
く(薄く)もできる。しかし実際問題として、上記の厚
さはこの発明にとって適切な値である。こ!で意図して
いる順次被着の回数は、主に酸化物層の所要最終厚さと
共に、使用する酸化工程の諸変数、基板自体の時間一温
度サイクルについての要求、シリコンの消費量その他に
よって決まる。この発明の方法では、少なくとも2回の
酸化工程を、更に屡々3回またはそれ以上の同工程の実
施を意図している。
この発明の方法の代表的な実施例では、低温化辱蒸着法
(LPCVD )によシ約580’Cまたはそれ以下好
ましくは約560°Cの温度で適当な基板上に、厚さが
約120〜400nm好ましくは約200〜325nm
のシリコン層を成長させる。こうして出来たアモルファ
ス・シリコンの層を次に、たとえば約800〜1100
°Cの温度で水蒸気または乾燥酸素中で酸化処理する。
このシリコンの被着工程と酸化工程は2回繰返して、更
に約1500nm厚さの2酸化シリコン層を成長させる
。この発明の方法によって約1500nm厚さの2酸化
シリコン層を形成するには、最初の被着工程から始める
と、約450分の酸化処理が必要である。これとは対照
的に、充分な厚さを持ったシリコン層から出発して、1
回の酸化工程で上記と同一厚さの2酸化シリコンを従来
通りに作るには800分以上も必要とする。
この発明の方法は、従来の酸化方法に比べて上記の様に
明らかな時間とエネルギの節約の点以外に幾多の利点が
ある。たとえば、酸化させるべきシリコン基体またはシ
リコン層が、燐またはほう素のような導電率変更不純物
の濃度分布を予め含んでいた場合、従来の酸化方法では
シリコンの消費のために不純物分布が変化した。また従
来の酸化方法では上記の様な不純物が構造内で移動する
傾向が増大した。たとえば、はう素は成長する酸化物層
中へ移動すなわち偏析する傾向があり、一方燐は基板ま
たはシリコン層の残シのシリコン中へ移動する傾向を示
す。この様な現象は、基板が不純物の個別の注入部を持
っている場合にも、酸化されるべきシリコン層に不純物
が一様にドープされている場合も、共に具合が悪い。不
純物が上記の様に移動する傾向は、炉内に収容されてい
る時間と、厚い2酸化シリコン層を形成するために消費
されるシリコンの量とによって増大する。
この移動効果の一例は、電流が流れないようにする障壁
として働く厚い酸化物層の下へのほう素の表面注入であ
る。従来の酸化法で必要とするような長い炉内滞在時間
にわたって、はう素は酸化物中へのみならず周囲のシリ
コン中へも移動する傾向をもっている。この発明の方法
では、2酸化シリコンの最初の層が形成された後、次の
シリコンの被着とその酸化は元の表面から更に離れた位
置でしかも短時間内に行なわれる。従って、酸素が上記
表面へ侵透して更に基板またはシリコン、苦を消費する
機会は大幅に減シ、またほう素の移動は実質的に少なく
なる。
この発明の方法は、最初の酸化工程が済んだ後は、下側
のシリコン基板またはシリコン層を消費しないから酸化
誘起堆積欠陥の発生は少ない。この様な欠陥は、酸化の
過程とシリコン結晶との相互作用によって生ずるもので
あるが、従来の1回の酸化工程では酸化が基板中へ深く
進行すればする程一層強調され数も増える。この様な欠
陥は、シリコンの処理工程中の重要な問題と考えられて
おり、接合部に過大な漏洩を引起し、また酸化物とシリ
コンの界面を粗くしてこの酸化されたシリコン層を具え
た装置の完全性に悪影@を及ぼす。
この発明の上記とは別の利くは、燐りひ素のよウナ不純
物を多量にドープしたシリコン層の非均−な酸化に起因
す6表面の粗さが実質的に除かれることである。上記の
様な層中では不純物イオンは粒界に集まる傾向がある。
これらのイオン、特に燐イオンは酸化の触媒作用を示す
傾向があるので、酸化が粒界で多少急速に起ってそこに
でこぼこの表面を作ることになる。半導体装置の形状寸
法は益々細小化しまたこの装置中の材料層の表面平滑度
はより厳しくなるので、この発明の上述したような利(
は一層重要なものとなる。
次に、この発明の実施例を示すが、この発明はこれら実
施例に示された詳細に限定されるものではない。この実
施例において、部あるいはパーセントはすべて重量に関
するもので、また温度は特に断りのない限りすべて摂氏
で示す。
対照例 X 径’1.62傷のn型< 100 )シリコン・ウ
ェハに950°Cの水蒸気中で800分間酸化処理を行
なった。
こうして厚さが14LCH”Jnの2酸化シリコン層が
得られた。
実施例 1 直径?、62CIRノn型< 100 )シリコン・ウ
ェハを、対照例におけると同様に950″Cの水蒸気中
で、但し150分間酸化処理処理外って、厚さが493
nlTlの2酸化シリコンの層を形成した。
このウェハ上に、560℃でシランかうLTCVDにJ
: リ厚すカ278nm t:nアモルファス・シリコ
ン被覆を施した。この被覆を完全に酸化した結果、この
被覆2酸化シリコン層の厚さば1154nfnに増加し
た。
次いで、このウェハを厚さが265nmのアモルファス
・シリコンの第2層で被覆した。この第2の層に上述と
同様の方法で酸化することによって形成された酸化物層
の全厚さは1776nmに増大した。
従来の1工程の酸化処理法では14410mの厚さの2
酸化シリコンを成長させる為に800分を要したのに比
べると、全厚さが1776nmの2酸化シリコン層を得
るのに僅か585分しか要しなかったことに注目すべき
である。この結果を基に計算すると、従来の1工程の酸
化処理法でL7761mの厚さの2酸化シリコン層を作
るには、約1100分の時間を要することになる。
この様な結果から明らかな様に、この発明の方法によれ
ば従来の1工程の酸化処理法による場合に比べて、必要
とする時間とエネルギが大幅に節減されるという、優れ
た利益が得られる。

Claims (1)

    【特許請求の範囲】
  1. (1)表面に或る厚さのシリコンを有する基板を用意し
    、上記シリコンをその厚さの全部または一部を酸化して
    表面に2酸化シリコンの薄層を形成し、次いでこの2酸
    化シリコン薄層を覆つてシリコン薄層を被着し、このシ
    リコン薄層を酸化して2酸化シリコン層の厚さを増大さ
    せ、続いてこの様にして得られる2酸化シリコン層の厚
    さを所望値にするに必要であればその値になるまでシリ
    コン薄層の被着工程と酸化工程とを繰返すことを特徴と
    する、基板上に2酸化シリコンの厚い層を作る方法。
JP61157168A 1985-07-03 1986-07-02 2酸化シリコンの厚い層を作る方法 Pending JPS6315425A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/751,680 US4604304A (en) 1985-07-03 1985-07-03 Process of producing thick layers of silicon dioxide
US751680 1985-07-03

Publications (1)

Publication Number Publication Date
JPS6315425A true JPS6315425A (ja) 1988-01-22

Family

ID=25023030

Family Applications (1)

Application Number Title Priority Date Filing Date
JP61157168A Pending JPS6315425A (ja) 1985-07-03 1986-07-02 2酸化シリコンの厚い層を作る方法

Country Status (2)

Country Link
US (1) US4604304A (ja)
JP (1) JPS6315425A (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4751554A (en) * 1985-09-27 1988-06-14 Rca Corporation Silicon-on-sapphire integrated circuit and method of making the same
US4758529A (en) * 1985-10-31 1988-07-19 Rca Corporation Method of forming an improved gate dielectric for a MOSFET on an insulating substrate
US4814291A (en) * 1986-02-25 1989-03-21 American Telephone And Telegraph Company, At&T Bell Laboratories Method of making devices having thin dielectric layers
US4658495A (en) * 1986-04-28 1987-04-21 Rca Corporation Method of forming a semiconductor structure
US4722912A (en) * 1986-04-28 1988-02-02 Rca Corporation Method of forming a semiconductor structure
US4735917A (en) * 1986-04-28 1988-04-05 General Electric Company Silicon-on-sapphire integrated circuits
US4755481A (en) * 1986-05-15 1988-07-05 General Electric Company Method of making a silicon-on-insulator transistor
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US7030038B1 (en) * 1997-07-31 2006-04-18 Texas Instruments Incorporated Low temperature method for forming a thin, uniform oxide
WO1999023705A1 (de) * 1997-10-30 1999-05-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Passives hf-element und verfahren zum betreiben, zum herstellen und zum bestimmen von charakteristischen eigenschaften desselben
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
DE60039875D1 (de) * 1999-06-25 2008-09-25 Massachusetts Inst Technology Zyklisches thermisches ausheilverfahren zur reduktion von kristallversetzungen
JP4398126B2 (ja) * 2001-12-06 2010-01-13 ケイ・エス・ティ・ワ−ルド株式会社 二酸化シリコン膜の生成方法
US7282449B2 (en) * 2004-03-05 2007-10-16 S.O.I.Tec Silicon On Insulator Technologies Thermal treatment of a semiconductor layer
FR2867307B1 (fr) * 2004-03-05 2006-05-26 Soitec Silicon On Insulator Traitement thermique apres detachement smart-cut
FR2867310B1 (fr) * 2004-03-05 2006-05-26 Soitec Silicon On Insulator Technique d'amelioration de la qualite d'une couche mince prelevee
US20060014363A1 (en) * 2004-03-05 2006-01-19 Nicolas Daval Thermal treatment of a semiconductor layer
EP1778481A1 (en) * 2004-07-22 2007-05-02 Cryovac, Inc. Additive delivery laminate, process for making and using same, and article employing such
CA2574503A1 (en) * 2004-07-22 2006-02-02 Cryovac, Inc. Additive delivery laminate and packaging article comprising same
FR2886457B1 (fr) * 2005-05-27 2007-12-07 Soitec Silicon On Insulator Procede de fabrication d'une structure a couche d'oxyde d'epaisseur desiree,notammentt sur substrat de ge ou sige
US7968986B2 (en) * 2007-05-07 2011-06-28 Innovative Micro Technology Lid structure for microdevice and method of manufacture
US20090110787A1 (en) * 2007-10-24 2009-04-30 Kyle David R Additive delivery laminate containing styrene-ethylene/butylene-styrene copolymer
EP2139019A1 (en) * 2008-06-27 2009-12-30 Paul Scherrer Institut Method to produce a field-emitter array with controlled apex sharpness
CN112992672B (zh) * 2019-12-16 2022-10-14 山东有研半导体材料有限公司 一种硅基二氧化硅背封薄膜的制备方法
CN114724928A (zh) * 2022-06-08 2022-07-08 济南晶正电子科技有限公司 一种具有高厚度隔离层的复合衬底及其制备方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2501563A (en) * 1946-02-20 1950-03-21 Libbey Owens Ford Glass Co Method of forming strongly adherent metallic compound films by glow discharge
US3158505A (en) * 1962-07-23 1964-11-24 Fairchild Camera Instr Co Method of placing thick oxide coatings on silicon and article
US3892891A (en) * 1970-06-30 1975-07-01 Rca Corp Provision of reproducible thin layers of silicon dioxide
US4268538A (en) * 1977-03-09 1981-05-19 Atomel Corporation High-pressure, high-temperature gaseous chemical method for silicon oxidation
US4179528A (en) * 1977-05-18 1979-12-18 Eastman Kodak Company Method of making silicon device with uniformly thick polysilicon
US4180596A (en) * 1977-06-30 1979-12-25 International Business Machines Corporation Method for providing a metal silicide layer on a substrate
US4275094A (en) * 1977-10-31 1981-06-23 Fujitsu Limited Process for high pressure oxidation of silicon
US4341818A (en) * 1980-06-16 1982-07-27 Bell Telephone Laboratories, Incorporated Method for producing silicon dioxide/polycrystalline silicon interfaces
DE3206376A1 (de) * 1982-02-22 1983-09-01 Siemens AG, 1000 Berlin und 8000 München Verfahren zur herstellung von siliziumoxidschichten

Also Published As

Publication number Publication date
US4604304A (en) 1986-08-05

Similar Documents

Publication Publication Date Title
JPS6315425A (ja) 2酸化シリコンの厚い層を作る方法
JP2615390B2 (ja) 炭化シリコン電界効果トランジスタの製造方法
EP0258394B1 (en) Fabrication of solid-state devices having thin dielectric layers
JPH0472763A (ja) 半導体装置およびその製造方法
JPS647488B2 (ja)
JPS5861634A (ja) 半導体装置用誘電体層の製造方法
JPH1174265A (ja) 絶縁構造体の形成方法及び半導体構造
JP2861343B2 (ja) 半導体装置およびその製造方法
JPS6125209B2 (ja)
JPH02187022A (ja) 半導体装置の製法
JPS5812732B2 (ja) 半導体装置の製法
JPS6012737A (ja) 窒化シリコン膜の製造方法
JPH0834193B2 (ja) 半導体デバイスの製造方法
JPS58219759A (ja) 多結晶シリコン抵抗の製造方法
JPS63302524A (ja) 半導体装置の製造方法
JPH021124A (ja) 誘電体膜の製造方法
JPH0193132A (ja) 半導体装置の製造方法
JP2776109B2 (ja) 半導体装置の製造方法
JPH03214661A (ja) 半導体装置
JP2533141B2 (ja) 半導体装置の製造方法
JPH02139923A (ja) 半導体装置の製造方法
JPS59188957A (ja) 半導体装置用キヤパシタの製造方法
JPS59227137A (ja) 半導体基板の製造方法
JPS5928369A (ja) 半導体装置用キヤパシタの製造方法
JPH0334533A (ja) 半導体結晶層の製造方法