JPS62270776A - 光化学蒸着の装置および方法 - Google Patents

光化学蒸着の装置および方法

Info

Publication number
JPS62270776A
JPS62270776A JP62046927A JP4692787A JPS62270776A JP S62270776 A JPS62270776 A JP S62270776A JP 62046927 A JP62046927 A JP 62046927A JP 4692787 A JP4692787 A JP 4692787A JP S62270776 A JPS62270776 A JP S62270776A
Authority
JP
Japan
Prior art keywords
curtain
plate
vapor deposition
window
reaction zone
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP62046927A
Other languages
English (en)
Inventor
シー.ジャクソン スコット
リチャード イー.ロシュレー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Delaware
Original Assignee
University of Delaware
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Delaware filed Critical University of Delaware
Publication of JPS62270776A publication Critical patent/JPS62270776A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/488Protection of windows for introduction of radiation into the coating chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 3、発明の詳細な説明 (産業上の利用分野) 本発明は、一般に基材への材料の光化学蒸着の装置及び
方法に関し、特に、半導体薄膜の光化学蒸着に関する。
(従来の技術) 光化学蒸着は、導体、絶縁体及び半導体の薄膜を蒸着す
る際に有効でおる。1983年2月1日付発行の米国特
許4.371.587号には、半導体デバイスへのシリ
コン酸化物のパッシベーション層の光化学蒸着について
の記載がされている。1981年5月5日付発行の米国
特許4.265.932月には、半導体デバイスのシリ
コン窒化物のパッシベーション層の光化学蒸着について
の記載がされている。イノウニ(r、Inoue >そ
のイ也著「フォトケミカル ベイパー デポジション 
オフ アンド−ブト アンド n−タイプ アモルファ
ス シリコン フィルムス プロデユースト フロム 
ジシラン(Photochemical Vapor 
Deposition or Undopedand 
 n−丁Vpe  Amorphous  5ilic
on  Films  ProducedFrom D
isilane ) jアプライド・フィジックス・レ
ターズ(八pplied Physics Lette
rs )第43巻3号1983年10月15日発刊77
4ないし776ページには、太陽光電池とRIM半導体
デバイスのための水素と化合されたアモルファスシリコ
ンの薄膜の光化学蒸着について記述されている。
光化学蒸着の工程は、蒸着室の最−F部に設けられるウ
ィンドウより入射する、放射の透過によって始められる
。蒸着の間は、上記ウィンドウは付着した材料に覆われ
る。この付着層は、前記ウィンドウの透明度を減少させ
、光化学反応を始めさせるように蒸谷層へ浸入する放射
の量を減少させる。このようにして、蒸着速度と蒸着の
最終の厚さをさまたげる。
このウィンドウへ付着する事についての問題に対して、
多くの解決法か提案されている。イノウニその他層の前
述の刊行物中では、ウィンドウを、アモルファスシリコ
ン膜の付着による曇りから守るように、低蒸発圧オイル
でウィンドウを覆う方法が記述されている。カザハヤ(
T、 Kazahaya )その他署「バレンシー コ
ントロール イン フォトケミカリ−デポジション a
−3i:)−1フロム Si2トHB  (Valen
cy Control in Phot。
chemically−Deposited a−3i
:Hfrom  S i 2 He )」テクノロジー
 ダイジェスト オフ ザ イン’;l−ナシE t)
L、t (Technical oigest of 
the rnternational ) PVS E
 C−1、コウベ、ジャパン(1984年発行)の44
9ないし452ページには、ウィンドウの近くに窒素ガ
スの流れを吹きつける方法が記載されている。類似した
方法が、1984年3月6日発行の米国特許第4; 4
35.445号に記載されている。
前述の米国特許第4,265,932号には、反応空の
ウィンドウの内側に引いてあり、そのウィンドウの内側
を薗うように動かせるポリ弗化ビニリデンのフィルムよ
り成る可’Jet S明つィンドウ装置についての発表
がなされ−Cいる。この可動性フィルムは、反応室の内
側ウィンドウに着く好ましくない付着を防ぐために、蒸
着中に反応室ウィンドウの内側面に設けられる。反応室
ウィンドウの内側面を覆うフィルムの動きは、放射の入
射通路からフィルムに付着した材料を除去するように及
び、このウィンドウを清潔で透明な状態に保持するよう
に与えられる。ガイド軸は、このウィンドウとフィルム
間が最小の摩擦状態であるような可動フィルムとウィン
ドウ間の最適空間(接触も含む)を得るように設けられ
ており、このために、反応ガスがウィンドウの内側面に
接触することを防止している。
(発明が解決しようとする問題点) 以上の解決法は、いづれもあまり満足できる結果は示さ
れていない。イノウニ(Inoue )その他箸の刊行
物に述べられているようにオイルで反応室のウィンドウ
を覆う(汚染を取り入れる)方法は、再現性がないし、
長期間の効果を維持できない。カザハヤ(Kazaha
Va )その他層の刊行物および米国特許第4.435
.445号に記述しであるように、反応室のウィンドウ
面を横切って反応しないガスの流れを吹きつける方法は
、反応室のウィンドウ部分への反応性ガスのさけられな
い伝達により効果が限定されている。米国特許第4,2
65,932号の可動性の透明なウィンドウの方法も、
フィルムと反応室のウィンドウ間に機械的に課せられた
ガスの洩れないシールを必要とする。フィルムとウィン
ドウ間への反応性ガスの洩れは、長期の運転下において
は避けがたい。
本発明の目的は、選択した材料を基材に付着させる光化
学蒸着装置を提供することにある。
さらに本発明の目的は、選別した材料を広い範囲の基材
に、高い付着速度で付着させる光化学蒸着装置を提供す
ることにある。
さらにまた、本発明の目的は、反応室のウィンドウの好
ましくない付着を除去する光化学蒸着装置を提供するこ
とにある。
それに本発明の目的は、選択した材料の層が、基材に連
続的に付着する光化学蒸着装置を提供することにある。
それにまた別の本発明の目的は、選別した材料を、装置
の内外へ移動できるような基材に付着させる光化学蒸着
装置を提供することに必る。
そして、本発明の目的は、選別した材料が、移動可能な
基材に連続的に付着するような少なくとも1つの付着域
が存在する光化学蒸着装置を提供することにある。
本発明の目的は、半導体湧膜を含むアモルファスシリコ
ンの、光化学蒸着のための方法とその装置を提供するこ
とにある。
(問題点を解決するための手段) 本発明の光化学R管装置は、反応室の最上壁に透明なウ
ィンドウを有する反応室をもつ。反応室は2つの区域に
分割されており、透明で可動なカーテンまたはフィルム
によって遮断密閉されて分離されている。まず、フラッ
シュ域即ち前記ウィンドウとフィルム間の空間へ始めの
ガス混合物を導く手段が与えられる。そして反応酸部ち
フィルムと基材間の空間へと、次のガス混合物を導く手
段が与えられる。本装置はまた、反応域中に位置してい
る基材の温度副部装置をも有する。この装置はフラッシ
ュ域中と反応域中のガス混合物の圧力と流れを制御する
ように動く。外面的な手段は、放射を引き起こし、そし
てウィンドウを通して放射を導く。
(作用および実施例) 第1図にはこの発明の概要が示されている。そこに示す
ように光化学前着装δ10は、その最上部にある反応室
14をもつハウジング12を有する。透明なウィンドウ
16は、ハウジング12の □上壁18にはめ込まれて
いる。
第1図に示すように、透明なカーテン20は反応室14
を、反応域22とフラッシュ域24とに分離する。反応
域22は、ウィンドウ16を通しての光の通路に取付け
られる少なくとも1つの基材26を有する。よって、紫
外光源2Bは、ウィンドウ16を通してフラッシュ域へ
入射する放射と、カーテン20を通して反応域24へ入
q;Iする放射とでウィンドウ16を照らすように、ウ
ィンドウコロの外側に位置され得る。
反応ガスは、反応域22へ適当なバルブ32とマーキュ
リ−バブラー34を通じて、一つ或いはそれ以上のりザ
ーバ−30から供給されるので、反応域22内の紫外線
照射の結果として、光化学蒸着が行なわれて基材26に
蒸着面をつくる。
この発明によると、不活性希釈ガス並びに別の希釈ガス
と、反応抑制ガスの両者またはその一方が、フラッシュ
域24へと、適当なリザーバー36から、バルブ38(
所望によりマーキュリ−バブラー40>を通して供給さ
れる。
このようにしてリザーバー36から流れ込む不活性ガス
及び反応抑制ガスは混合され、すなわち反応性ガスを希
釈し、すなわちフラッシュ域24内に在るかもしれない
反応性ガスによる蒸着の進行を抑制する。これらのガス
は、その後いろいろな原因で汚染したウィンドウを復元
し、或いは除去する。特に、反応性ガスを希釈すること
は結果としてフラッシュ域24内の反応速度を遅める。
さらに気相反応は、フィルムの成長に必要な気相先駆物
質の1溝造を抑えるようないくつかの方法で、フラッシ
ュ域内で緩和させられる。さらにまた、ウィンドウ16
での表面上の反応は、気相から、フィルムの組成を妨げ
るように緩和させられる。
不活性ガスと反応抑制ガスの両者またはその一方は、フ
ラッシュ域24から、排気口42や圧力調整バルブ44
を通って、適当なポンプ及びベントスタックへと流出す
る。
リザーバー30から流れ込む、反応性ガス及び、不活性
希釈ガスは、適正に配置された配管ガスマニホールド4
6を通って、反応域22へと公平に分配される。これら
の反応性ガスは、このようにして、光化学蒸着プロセス
により、基材26へと硬い蒸着面を形成する。紫外光源
28からの光は、先に記したように、このプロセスを起
動させる。
基材或いは基材26は、基材の下に取付けられた炉によ
って適宜熱せられる。反応性ガスは反応域22より流出
し、そして集合マニホールド50を通ってアウトレット
ライン52へ流れ、圧力調整バルブ54を通って、そし
てポンプへ及び過当なベントへと流れる。
第2図から第10図までは、この発明の好ましい実施例
の詳細図である。これらの詳細は、一般的にハウジング
12の最上端に必る反応室14内の構成部材を含む。
第2図と第6図によく示されているように、装置]Oは
、フローティングクランププレート64に合い、また環
状の凹みをそのプレートに持つカーテンプレート62か
取付けられている、上記プレートの下方にある、W+A
ベースプレー1−60を含む。ウィンドウ取付プレート
66は、この上記アセンブリの最上部に取付けられてお
り、このウィンドウ取付プレート66にはさらに、ハウ
ジングの石英ウィンドウ16を固定するようにウィンド
ウ取付リング68か取付けられている。
基材プレート60の詳細は、第2図、第6図及び第7図
によく示されている。図示されているように、プレート
60は、基jrA26が配置される部分か凹状でおる、
中央突起部QrJら、プラットホームセクション70を
含む。ヒータ部材72の大多数は、第1図に示すように
、炉48を形成している。適宜数量の熱電対76は、突
起部すなわちプラットフォーム70の中心部及び外縁部
の温度を感知するように、突起部70内に設けられてい
る。
第2図および第6図に示すように、一対のハンドル76
が、組み立て、及び分解時の早い操作ができるように、
ベースプレート60へと固着されている。
第2図、第6図及び第8図は、カーテンプレート62の
詳細図である。これらに示すように、一対の延長ポケッ
ト78は、プレート62の開放された上面内に形成され
る。一連のガス通路もプレート62内に形成される。こ
れらのガス通路は、通常はプラットホーム70の付近に
あるカーテンプレート62の最上面においてl1li’
を番に終わる、垂直方向に走る一連のガス通路82へと
導く(第6図)、水平方向に走る反応性ガス通路80を
含む。
同様に、一連の垂直方向に走る通路84は、プレート6
2の最上面から、水平方向に走るガス出口側通路86へ
と導かれている。これらのガス通路の出入口は、第1図
の反応性ガス流通ライン46と50に該当する。
カーテンプレート62は、また、フラツレユガス入口側
通路88とフラッシュガス出口側通路90とを含み、上
記各々の通路は、それぞれのポケット78で連絡してい
る。
第6図に示ずように、lプレート60の最上面には、同
心円上に、3本の溝92.94.96がある。一対のO
−リング98.’100が、上記溝92と96に取付け
られている。このO−リングを取付ける目的は、プレー
ト60及び62を、適当な方法、例えば、ねじ山の着い
た止め金具などで取付けた場合に、このプレート60と
62間の密閉性を得るためである。中央の溝94は、ガ
ス洩れ発生時のために真空引き発生源へと接続され、水
平方向に走るガス通路104へと順番に接続され、垂直
方向に走る通路102に接続されているので、ガスは反
応域22に侵入する前に退けられるだろう。同様に、同
心円上に3本の@106.108,110の組が、カー
テンプレート62の最上面に成されている。O−リング
は、カーテンプレート62とウィンドウ取付プレート6
6間に密閉性を与えるように溝106,110内に設け
られる。中央の溝108は、通路112へ接続されてお
り、通路112は、通路104へと及びプレート62へ
と導びかれている。第8図に示すように、第二の真空引
きライン114は、カーテンプレート62内を導通し、
プレート62の最上面にあるV型溝116に接続される
。後述するように、カーテン20は、プレート62の最
上面上を横切る。第8図に示すように、溝116は、カ
ーテン20の幅より狭く、長門形状に囲むように形成さ
れている。よって、この溝116へ真空引きを行なうこ
とにより、カーテン20は、プレート62の最上面に対
しである位置に保てるであろうことは明確である。
種々の図に示すように、−組のローラー118゜120
は、それぞれのポケット78に取付けられ、それぞれの
ローラー118,120には、カーテン20の端部が固
着されている。またカーテン20の中間部はピン21で
支持されている。このようにして、後述するように、基
材26上に直接横たわるカーテンの部分を変えることが
可能である。
第2.第3.第10図に示すように、前記カーテン20
の移動は、シャフト即ちロッド122.124にカーテ
ンプレート62の外部へ延長するローラーシャツ1−を
固着することにより手動でなされ得る。このシャフトは
、シャフト122.124に固着したハンドル126の
手動操作によって、或いは、ハンドルの少なくとも1つ
の部分にモーターを固着することによって回転可能であ
る。このハンドルは、シャフト122,124へと、カ
プラー128を介して磁力によりつながれ、そして順番
にシャフトは、第10図に示されるように、溝連結部1
30の凸部を介し、ローラーシャフトへと固着される得
る。再び第10図に示すように、ポケット78内のセッ
トスクリュー132は、シャフトを所定の位置に保持す
るように機能する。
第2.第6及び第9図は、カーテンプレート62に同心
円上に設けられた凹部を介して配置された、フローティ
ングクランププレート64の詳細を示している。第9図
に示すように、クランププレート64には、ポケット7
8に通じるように1対の環状の開口部134がある。開
口部134には、ねじ山を切った上方の装置と螺設する
ように、やはりねじ山が切っである。中央の開口部13
8は基材プラットホーム70の上方に位置している。
第2.6.7図に示すように、マスク140は基材を所
定の位置に保持するようにプラットホーム70の最上部
に取付けられている。図中では、四つのウェハー即ち基
材が置かれてあり、マスク140は、これらの基材と一
致するように適宜に開口部を設けである。この発明はも
ちろん、別の基材の配置で、また別のマスクを用いて実
施され得る。好都合に、マスク140は、種々のマスク
をすぐにはがし、またすぐに再び取付けられるように、
取付具142(第6図)によって、プラットホーム70
へ着脱可能に固定されている。
第6図に示すように、フローティングクランプ64が所
定の位置に取付けられている時は、カーテン20が行き
来するのに都合の良いように、わずかなすきまが内側同
心円上の突起部144の最上面と、クランププレート6
4の最下面との間に空けられている。
第6図に示すように、このように反応域22は、プラッ
トホーム70の上面と、カーテンプレート62の凹面部
146よりなる基底部をもとに形成されると考えられ得
る。プレート62の一部分144の凹面146の周囲に
あるショルダ一部は、フラッシュ域24から反応Vj、
22を遮断密閉するために、周囲の壁をか(プ渡すカー
テン20によって覆われる前記基底部から外見上設けた
壁と考えられ得る。
第2図及び第6図は、ウィンドウ取付プレート66の詳
細図を承り。図に示されるように、−+yに、プレー1
−66は、上部に石英ウィンドウ16か取付けられる環
状の開口部を有し、円板形状をしている。環状の溝14
8は、ウィンドウ16の接触密閉性を確保するO−リン
グが付きやすいように、プレート66の上面に設けられ
ている。1対のハンドル150は、プレート66の操作
を容易にするように設けられている(第3図参照)。
第6図には、また、ウィンドウ16に合うような内側の
凹面152をもつウィンドウ取付リング68の詳細か図
示されている。環状の溝154は、ウィンドウ16の上
面を密閉してつなげる0−リングのために設けられてい
る。ウィンドウリング68は、例えば第6図に示ずねじ
山のついた止め金具156によるような適当な方法で、
ウィンドウプレート66へと取付けられる。
装置10に組入れられている種々の要素は、装置1Qの
効果的操作を確実にすることと、相互関係がある。たと
えば、機械的密閉と圧力密閉の両方が、反応域22とフ
ラッシュ域24間でなされている。この点で、周囲の溝
116になされている真空引きは、カーテン20を下方
に吸いつけて、圧力密閉するように動く。つけ加えると
、フローティングプレート64は、前記2つの域の機械
的密閉をするプレートとして動く。
この発明の装置の、またの優れた点は、この可動のカー
テンが、反応域22の上方に配回される前記カーテンの
清潔な部分の維持を容易にするという点にある。加える
と、密閉性は、反応域22内の圧力よりフラッシュ域2
4内の圧力をより高めることによって、高められる。
第6図には、ウィンドウフラッシュ域24と反応域22
との2つに分離された域をもつ反応器10の実施態様か
示されている。前記山域の構成部の材質は、304ステ
ンレススチールが良い。このしなやかな透明ウィンドウ
は、好ましくは「テフロン」 (商標名)で、例えばポ
リ弗化ビニリデンのように、不活性でかつ透明でなおか
つしなやかなポリマーならば適当で必る。ウィンドウ1
6は、ニスコブログクツ社(Esco Product
s ) 製の高品質石英製か良い。カーテンのローラー
118゜120はまた、30’4ステンレススチールが
良い。
回転する供給ガス通過部は特に高真空に耐えるように設
計される。反応器の構成要素は、空気に対して、はめこ
まれた「ビトン(viton ) JのO−リングによ
って密閉される。
この発明の実施例において、次の状態は、ドープ未処理
のa−3i:Hに付着させるように、反応域22内及び
フラッシュ域24内で確証される。
これらの状態は、付着ざぜるフィルムと独立しているの
で、フラッシュ域24内での同じ状態は、別の材料にさ
せる付着へも使用された・紫外光源28は低圧水銀蒸着
ランプで、ビーエイチケイ社(BHK Inc、 > 
Mモデルナンバー88−9102−02で12〜15m
W/cfflである。ウィンドウ16は、ニスコブロタ
クツ社(Esco Products ) 製の直径約
10.2cm(4インチ)で、厚さ約0゜9cm(3/
8インチ)の紫外光透過窓である。り畢アーバー36の
バルブ38と、バルブ44の調整によって、アルゴン中
の4%H2の約0.8〜1゜7 (5/6〜5/3 )
 cm3/sの流速は、5〜201”ルの全体の圧力で
確証される。バルブ38は、タイラン マス フロラ 
コントローラー(丁ylan Hass Flow C
ontroller) F C−260である。マーキ
ュリ−バブラーは使用されない。カーテン20は、「テ
フロン」 (商標名)カーテンで、デュポン カンパニ
ー (DuPont Company) 製のP「△(
デエポン社によって使用される「テフロン」の特別等級
のための呼称。以下、同様。)グレードの名称1001
P、厚さは、PFAグレートの約0.0025cm(1
ミル)である。新しいカーテンの領域は、毎0.5〜8
分でざらされる。
特に述べろように、フラッシュ域24内での上記の状態
は、付着させるフィルムにかかわらず使われる。ドープ
未処理のa−3iニド1においては、リザーバ30は、
高純度のHeまたはH2)及び高純度のジシランまたは
シランを供給する。バルブ32は、タイラン マス フ
ロラ コントローラー社製のFC−260で、He或い
はH2の流れにおいては約0.08〜0.3 (1/1
2〜2/3)cm3/sの流速を与え、ジシランあるい
はシランにおいては約0.02〜0.07 (1/60
〜1/20)cm3Lsの流速を提供する。マーキュリ
−バブラー或いはポットは、その自らの温度を20’C
〜60°Cに制御させる。圧力調整バルブ54はバルブ
44と同様の機能を持ち、5〜20トルの圧力をつくっ
ている。ヒーター48は基材26を、200°C〜28
0°Cに加熱するa基材26は、カラス、ステンレスス
チール、シリコン、酸化すすて覆ったガラス或いは金属
が良い。 域22内及び24内で上記の状態が確証され
た時に、紫外光源28は点灯される。1,800〜7,
200秒の蒸着時間で、成長速度1X10°9〜2X1
0−80m/SeCの付着が1.5x1o−6〜’+、
4X10−4cmのフィルムの厚さで成される。この結
果フィルムの特性は、1.7〜1.98Vのオプチカル
ギャップ、10’〜10’ (Ω−cm>−’の光導電
率、10 〜1O−9(Ω−cm>”の暗導電率である
この発明は、この発明の精神から逸脱することなく仙種
類の態様で実施され得る。例えば、第4図では、ウィン
ドウプレート66の最上部に取付けられたレンズマウン
ト160か示されている。
図示されているように、レンズ162は、ウィンドウ1
6の外側の光を増幅するように、ブラケット164上に
置かれている。成長速度は、カーテン20を基材26へ
よりも、レンズ162へより近づけるように配置するこ
とにより、改善され得る。レンズは、例えば第11図に
示すレンズ166のように、種々の形式をとっても良い
。つけ加えると、レンズは、例えば第12図に示すレン
ズ168のように、ウィンドウ16の下方に取付けられ
ても良い。
カーテン20の一部分がくり返し付着を受けるので、こ
れらの部分は、独立したエツチング室へと導かれ(図示
せず)、その後再び蒸着賊へと引き戻されるようにして
も良い。このエツチング技術としては、プラズマエツチ
ング、基本的解決としての湿式エツチング或いは、フォ
トデコンポジションエッチング等の技術か考えられる。
合金l\の蒸着は、種々の材質があり、例えば、5i−
C,5i−Ge、5i−3n、SiO2)SnO2)S
 12N3 、S i3N4 、ITO,鉄、InP、
GaAS、Cd1(CITe、CdTe、ZnS、シリ
コンオキシ窒化物、錫およびドープ処理された半導体等
がある。
別のフィルムに付着させるようなガスの変量は、a−3
iQeニド1を生じさせるために約0.02〜0. 0
7  (1/60〜1/20) cm3/sのGeH4
、P型a−3i:l−1を生じざぜるために約1.7×
10°4〜1 、 OX 10’ (L/6,000〜
1/1.000)cm3/sの82 Ha 、IN型a
 −S i : Hヲ生L;21にセるために約1 、
、7x 10’〜1.0X10−3(1/6.000〜
1/1,000)cm” /sのPH3、及びa−3i
:Hを生じさせるために約8.3X1074〜5. O
X 10’  (1/1,200〜i/200 cm3
/sのC2ト12(7)ようになっている。
複数の室はロードロツクス(真空状態を維持してそれぞ
れの室へ選択導通を可能にする装置)を介して接続され
得るので、反応を停止させることにJ:す、(ライトを
消灯する)そして次の層を形成するために必要なものへ
のガスの供給を変化させることにより、順次の蒸着が独
立した室内で成され、或いは、順次の蒸着が単独の大き
な反応室内て成され得る。
紫外光源28は、カーテン2oの上方の反応器10の内
側に取付けられるが、或いは、水銀蒸発プラズマがフラ
ッシュ賊24のウィンドウ内て光生させられても良い。
どちらの場合でも、光発生源が反応器の外部になければ
、ウィンドウは、反応器の内部の様子を観察するより伯
には必要ない。
この場合は、ウィンドウを通しての光の損失が無くなる
ので、より大きな光の照度が提供される。
【図面の簡単な説明】
第1図は、この発明の光化学蒸着装置の略部分断面図で
ある。 第2図は、この装置の主要構成部の分解図である。 第3図は、この発明に従った第1〜2図のような装置の
平面図である。 第4図は、第3図に示した装置にレンズマウントを加え
た正面部分断面図である。 第5図は、第4図に示した装置の平面図である。 第6図は、第3図の線6−6に沿う断面図である。 第7図は、第2図から第6図の装置に示されている基材
ベースプレートの平面図である。 第8図は、第2図から第6図の装置に示されているカー
テンプレートの平面図である。第9図は、第2図から第
6図の装置に示されるフローティングクランププレート
の平面図である。 第10図は、第3図の線10−10に沿う断面図である
。 第11図及び第12図は、この発明に従った交替用レン
ズマウントの断面図である。 12・・・ハウジング、 14・・・反応室、16・・
・ウィンドウ、 20・・・カーテン、22・・・反応
域、   24・・・フラッシュ域、26・・・基材、
    28・・・紫外光源、60・・・基材ベースプ
レート、 62・・・カーテンプレート、 64・・・フローティングクランププレート、66・・
・ウィンドウ取付プレート、 70・・・プラットホーム、 78・・・ポケット、1
16−V型溝、 118,120・0−ラー。

Claims (1)

  1. 【特許請求の範囲】 1)反応器のハウジング(12)と、 該ハウジング(12)の一方の壁にあるウィンドウ(1
    6)と、 該ウィンドウ(16)を透過して反応室(14)へと紫
    外線照射が入射するように、紫外光源(28)が該ハウ
    ジング(12)の外側に配置され得るような、当該ウィ
    ンドウ(16)を有する該ハウジング(12)の一部分
    であり、該ハウジング(12)内にある前記反応室(1
    4)と、 該反応室(14)を、反応域(22)及びフラッシュ域
    (24)へと分離する透明カーテン(20)と、 該カーテン(20)を通して、該ウィンドウ(16)か
    らの光の通路内の、該反応域(22)内ある基材取付部
    材(70)と、 該基材取付部材(70)の周囲にあるベース(60)か
    ら外見上突出している周囲の壁及び前記ベース(60)
    によつて形成される該反応域(22)と、 前記フラッシュ域(24)から該反応域(22)を遮断
    密閉するように、前記ベースと平行に前記周囲の壁を覆
    うように引く当該カーテン(20)と、 該反応域(22)へと反応性ガスを供給する手段と、 該反応域(22)から反応性ガスを引込む手段と、 前記フラッシュ域(24)内へと、及び当該ウィンドウ
    (16)と当該カーテンの間の空間内へと不活性希釈ガ
    スを流す手段とより成る、少なくとも1つの基材(26
    )上に、選別された材料を蒸着させる光化学蒸着装置。 2)特許請求の範囲第1項記載の装置において、該カー
    テン(20)を、圧力密閉と機械的密閉の両者に応用す
    る手段を有する光化学蒸着装置。 3)特許請求の範囲第1項記載の装置において、該カー
    テン(20)は折曲自在な薄膜で一対のローラ(118
    、120)に巻かれており、前記ローラー(118、1
    20)の一方は該反応域(22)の一端に取付けられ、
    前記ローラー(118、120)の他方は前記反応域(
    22)の他端に取付けられ、該反応域(22)を覆うよ
    うに配置された該カーテン(20)の部位を変えるよう
    に、前記ローラー(118、120)を回転するように
    した光化学蒸着装置。 4)特許請求の範囲第3項記載の装置において、該ハウ
    ジング(12)に取付けられた基材ベースプレート(6
    0)と、 該ベースプレート(60)の上にある基材取付部材(7
    0)と、 該基材取付部材(70)上に取付けられた前記基材(2
    6)と、 該ベースプレート(60)に固着されたカーテンプレー
    ト(62)と、 一般に中央にある、開口部を限定する周囲の壁部で当該
    カーテンプレート(62)を貫通している前記一般に中
    央にある開口部と、 該反応域(22)の該ベース(60)の少なくとも一部
    から成り、当該開口部を通して延長する基材取付部材(
    70)と、 該反応域(22)の前記周囲の壁より成る、前記周囲の
    壁とを有することを特徴とする光化学蒸着装置。 5)特許請求の範囲第4項記載の装置において、該反応
    域(22)へ反応ガスを供給する該手段と、該反応域(
    22)へ前記反応ガスを引込む該手段とから成る該カー
    テンプレート(62)内の反応ガスの通路と、 該フラッシュ域(24)内へと前記希釈ガスを流す該手
    段より成る該カーテンプレート(62)内の希釈ガスの
    通路とを有することを特徴とする光化学蒸着装置。 6)特許請求の範囲第5項記載の装置において、該ベー
    スプレート(60)と該カーテンプレート(62)との
    間を密閉する手段と、 当該ベースプレート(60)と当該カーテンプレート(
    62)間に吸引力を用いる真空応用手段とを有すること
    を特徴とする光化学蒸着装置。 7)特許請求の範囲第6項記載の装置において、該一般
    に中央にある開口部の各々のサイド上の該カーテンプレ
    ート(62)内に形成されたポケット(78)と、該ポ
    ケット(78)の各々に各1つずつ取付ける前記ローラ
    ー(118、120)とを有することを特徴とする光化
    学蒸着装置。 8)特許請求の範囲第7項記載の装置において、該カー
    テンプレート(62)の凹部の部分としてのカーテンプ
    レート(62)の上面及び該周囲の壁とで構成する一般
    に中央部にある凹部と、 該凹部に取付けられるクランププレート(64)と、 当該周囲の壁の前記最上面から、わずかにすきまを空け
    て設ける該クランププレート(64)の底壁と、 該周囲の壁の前記最上面を覆うように延ばす該カーテン
    (20)とを、該クランププレート(64)の最上壁に
    有することを特徴とする光化学蒸着装置。 9)特許請求の範囲第8項記載の装置において、該カー
    テンプレート(62)の一般に中央にある開口部の周囲
    を完全に包囲して、該周囲の壁の前記上面にある周囲の
    溝(116)と、 該周囲の溝(116)を完全に覆う当該カーテン(20
    )と、 該周囲の溝(116)へ真空引きを行なう手段とを有す
    ることを特徴とする光化学蒸着装置。 10)特許請求の範囲第9項記載の装置において、該カ
    ーテンプレート(62)に取付けられるウィンドウ取付
    プレート(66)と、 該ハウジング(12)の、少なくとも前記一方の壁の部
    分を構成する該ウィンドウ取付プレート(66)と、 該基材取付部材(70)と一直線上に、該ウィンドウ取
    付プレート(66)を貫通する開口部と、該ウィンドウ
    取付プレート(66)に取付られる該ウィンドウ(16
    )と、 該クランププレート(64)の上面から、その間にスロ
    ットを作るように空間を空けて設ける該ウィンドウ取付
    プレート(66)の下面と、前記スロットと導通してい
    る該ポケット(78)を部分的に閉じる該クランププレ
    ート(64)と、希釈ガスが該ポケット(78)の一方
    へと流入し、そして前記スロットへと流れ、かつ該カー
    テン(20)を横切り、それから前記スロットより出て
    、さらに該ポケット(78)の他方へと流出するような
    、該ポケット(78)と導通している該希釈ガスの通路
    とを有することを特徴とする光化学蒸着装置。 11)特許請求の範囲第10項記載の装置において、該
    カーテンプレート(62)及び該ウィンドウ取付プレー
    ト(66)間を密閉する手段と、該カーテンプレート(
    62)及び該ウィンドウ取付プレート(66)間にも吸
    引力を用いる該真空応用装置とを有することを特徴とす
    る光化学蒸着装置。 12)特許請求の範囲第11項記載の装置において、該
    ベースプレート(60)と、該クランププレート(64
    )と、該ウィンドウ取付プレート(66)との各々を引
    き上げる手段を有することを特徴とする光化学蒸着装置
    。 13)特許請求の範囲第12項記載の装置において、該
    ベースプレート(60)と、当該ウィンドウ取付プレー
    ト(66)と、該クランププレート(64)のねじ山を
    切った開口部とに設けたハンドルよりなる、該引上げ手
    段を有することを特徴とする光化学蒸着装置。 14)特許請求の範囲第7項記載の装置において、第1
    シャフトと、前記第1シャフトのおのおのへ同軸となる
    ように接続されると共に、該カーテンプレート(62)
    を通して延長する第2シャフトと、該ローラー(118
    、120)の操作を可能にするように、該ハウジング(
    12)の外側に延長する前記第2シャフトのおのおのへ
    固着するハンドル(126)とに取付られると共に、 該ローラー(118、120)を回転する手段より成る
    、該ローラー(118、120)のおのおのを有するこ
    とを特徴とする光化学蒸着装置。 15)特許請求の範囲第14項記載の装置において、該
    ローラー(118、120)の回転を制御するように、
    前記ハンドル(126)の少なくとも一方に駆動手段が
    接続されることを特徴とする光化学蒸着装置。 15)特許請求の範囲第5項記載の装置において、該カ
    ーテンプレート(62)の外部から、該周囲の壁へと導
    く最初の通常は水平方向に走る通路と、前述の最初の通
    常は水平方向に走る通路から前記反応域(22)へと導
    く第1の一連の一般に垂直方向に走る通路と、 該カーテンプレート(62)の外部から、当該周囲の壁
    へと導く第2の通常は水平方向に走る通路と、 前記の第2の一般に水平方向に走る通路から、該反応域
    (22)へと導く第2の一連の一般に垂直方向に走る通
    路とより成る該反応ガス通路を有することを特徴とする
    光化学蒸着装置。 17)特許請求の範囲第16項記載の装置において、前
    記の第1の一般に水平方向に走る通路へと接続される反
    応性ガス供給手段を有することを特徴とする光化学蒸着
    装置。 18)特許請求の範囲第4項記載の装置において、該基
    材取付部材(70)内にあるヒータ部材(72)と熱電
    対(74)と、 該基材(26)を受ける凹んだ上壁を有する該基材取付
    部材(70)と、 前記凹んだ上壁を覆うように取付けられるマスク(14
    0)とを有することを特徴とする光化学蒸着装置。 19)特許請求の範囲第1項記載の装置において、該基
    材(26)へ光が当たるように取付けられる紫外光源(
    28)と、当該紫外光源(28)と当該基材(26)間
    に取付けられたレンズ(162、166、168)とを
    有することを特徴とする光化学蒸着装置。 20)ハウジング(12)の反応室(14)内に基材(
    26)を取付け、 反応室(14)を、反応域(22)及びフラッシュ域、
    (24)へと、フレキシブルで透明なカーテン(20)
    によつてお互いに遮断密閉させるように分割して、 反応域(22)へ反応性ガスが、流入及び流出している
    間は、前記基材(26)を加熱して、前記ハウジング(
    12)内のウィンドウ(16)及び前記カーテン(20
    )を通して、光化学蒸着を引き起こすように紫外光源(
    28)によって、前記基材(26)へと紫外光放射を導
    いて、そして、光化学蒸着中に、前記フラッシュ域(2
    4)内へと不活性希釈ガスを流すことより成る、 光化学蒸着によって前記基材(26)上に選別された材
    料を蒸着する光化学蒸着の方法。21)特許請求の範囲
    第20項記載の方法において、前記カーテン(20)が
    、機械的密閉と圧力密閉との両者を提供することを特徴
    とする光化学蒸着の方法。 22)特許請求の範囲第20項記載の方法において、前
    記反応域(22)の各々の側のローラー(118、12
    0)に、前記カーテン(20)を取付けて、前記反応域
    (22)を密閉して覆う前記カーテン(20)の部位を
    制御するように、前記ローラー(118、120)を操
    作することを特徴とする光化学蒸着の方法。
JP62046927A 1986-03-03 1987-03-03 光化学蒸着の装置および方法 Pending JPS62270776A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/835,331 US4654226A (en) 1986-03-03 1986-03-03 Apparatus and method for photochemical vapor deposition
US835331 1986-03-03

Publications (1)

Publication Number Publication Date
JPS62270776A true JPS62270776A (ja) 1987-11-25

Family

ID=25269235

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62046927A Pending JPS62270776A (ja) 1986-03-03 1987-03-03 光化学蒸着の装置および方法

Country Status (4)

Country Link
US (1) US4654226A (ja)
EP (1) EP0235522A3 (ja)
JP (1) JPS62270776A (ja)
CA (1) CA1297831C (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06168897A (ja) * 1992-11-30 1994-06-14 Agency Of Ind Science & Technol 光励起化学蒸着式薄膜形成装置

Families Citing this family (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
JPH0752718B2 (ja) * 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US6113701A (en) 1985-02-14 2000-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US5294285A (en) * 1986-02-07 1994-03-15 Canon Kabushiki Kaisha Process for the production of functional crystalline film
US4918028A (en) * 1986-04-14 1990-04-17 Canon Kabushiki Kaisha Process for photo-assisted epitaxial growth using remote plasma with in-situ etching
US4772565A (en) * 1986-05-21 1988-09-20 Kabushiki Kaisha Toshiba Method of manufacturing solid-state image sensor
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
US5427824A (en) * 1986-09-09 1995-06-27 Semiconductor Energy Laboratory Co., Ltd. CVD apparatus
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US5229081A (en) * 1988-02-12 1993-07-20 Regal Joint Co., Ltd. Apparatus for semiconductor process including photo-excitation process
JPH0722696B2 (ja) * 1989-07-29 1995-03-15 新日本製鐵株式會社 微粉末の製造方法と装置ならびにその利用方法
FR2651782B1 (fr) * 1989-09-14 1993-03-19 Air Liquide Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique.
JPH03277774A (ja) * 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
US5135304A (en) * 1990-05-11 1992-08-04 Boc Health Care, Inc. Gas analysis system having buffer gas inputs to protect associated optical elements
US5245405A (en) * 1990-05-11 1993-09-14 Boc Health Care, Inc. Constant pressure gas cell
US5153671A (en) * 1990-05-11 1992-10-06 Boc Health Care, Inc. Gas analysis system having buffer gas inputs to protect associated optical elements
US5171610A (en) * 1990-08-28 1992-12-15 The Regents Of The University Of Calif. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films
US5101764A (en) * 1991-02-20 1992-04-07 Texas Instruments Incorporated Method and apparatus for integrating optical sensor into processor
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
JP2895683B2 (ja) * 1992-07-30 1999-05-24 住友電気工業株式会社 酸化物超電導膜製造装置
JP3474602B2 (ja) 1993-05-07 2003-12-08 住友電気工業株式会社 超電導導体
US5728224A (en) * 1995-09-13 1998-03-17 Tetra Laval Holdings & Finance S.A. Apparatus and method for manufacturing a packaging material using gaseous phase atmospheric photo chemical vapor deposition to apply a barrier layer to a moving web substrate
US5644135A (en) * 1996-02-20 1997-07-01 Matheson; Derek S. Ultraviolet curing chamber with improved sealing device and tool
KR100432513B1 (ko) * 2001-09-11 2004-05-22 한국과학기술원 광여기 공정 장치 및 방법
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8398816B1 (en) * 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US7922863B2 (en) * 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4265932A (en) * 1979-08-02 1981-05-05 Hughes Aircraft Company Mobile transparent window apparatus and method for photochemical vapor deposition
US4371587A (en) * 1979-12-17 1983-02-01 Hughes Aircraft Company Low temperature process for depositing oxide layers by photochemical vapor deposition
US4348428A (en) * 1980-12-15 1982-09-07 Board Of Regents For Oklahoma Agriculture And Mechanical Colleges Acting For And On Behalf Of Oklahoma State University Of Agriculture And Applied Sciences Method of depositing doped amorphous semiconductor on a substrate
US4435445A (en) * 1982-05-13 1984-03-06 Energy Conversion Devices, Inc. Photo-assisted CVD
US4447469A (en) * 1982-06-10 1984-05-08 Hughes Aircraft Company Process for forming sulfide layers by photochemical vapor deposition
US4454835A (en) * 1982-09-13 1984-06-19 The United States Of America As Represented By The Secretary Of The Navy Internal photolysis reactor
JPS59129772A (ja) * 1983-01-18 1984-07-26 Ushio Inc 光化学蒸着装置
US4525381A (en) * 1983-02-09 1985-06-25 Ushio Denki Kabushiki Kaisha Photochemical vapor deposition apparatus
US4543271A (en) * 1984-07-02 1985-09-24 Hughes Aircraft Company Silicon oxynitride material and photochemical process for forming same
US4597986A (en) * 1984-07-31 1986-07-01 Hughes Aircraft Company Method for photochemical vapor deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06168897A (ja) * 1992-11-30 1994-06-14 Agency Of Ind Science & Technol 光励起化学蒸着式薄膜形成装置

Also Published As

Publication number Publication date
EP0235522A2 (en) 1987-09-09
EP0235522A3 (en) 1990-03-28
US4654226A (en) 1987-03-31
CA1297831C (en) 1992-03-24

Similar Documents

Publication Publication Date Title
JPS62270776A (ja) 光化学蒸着の装置および方法
US4800105A (en) Method of forming a thin film by chemical vapor deposition
US4662981A (en) Method and apparatus for forming crystalline films of compounds
TW202104651A (zh) 反應器系統、對基材之表面提供氣相反應物的方法、及使用此方法在基材之表面上磊晶生長材料層之方法
EP0637058B1 (en) Method of supplying reactant gas to a substrate processing apparatus
CN107690487A (zh) 用于半导体外延生长的注射器
JPS6260467B2 (ja)
EP0200766B1 (en) Method of growing crystalline layers by vapour phase epitaxy
JPH05267177A (ja) 光学式化学蒸着システム
KR20000069146A (ko) 화학 기상 증착 장치
JPH05175135A (ja) 光cvd装置
JP3038524B2 (ja) 半導体製造装置
CA2051214C (en) Vacuum film forming apparatus
CA1245109A (en) Method of forming amorphous polymeric halosilane films and products produced therefrom
JPS5956308A (ja) 導電性物質
JP3174787B2 (ja) 光cvd装置
JPS61196526A (ja) 光化学的気相成長方法及びその装置
JP3216181B2 (ja) 光cvd装置
JPH0547974B2 (ja)
JPH03104867A (ja) Cvd装置
JPS59112613A (ja) 気相成長装置
CA1278272C (en) Method of growing crystalline layers by vapour phase epitaxy
Snelling Technique for sputtering transparent CdS and CdZnS photoconductive films
JPH01241818A (ja) 光励起膜形成装置
JPS62266822A (ja) 光化学気相堆積装置