CN107690487A - 用于半导体外延生长的注射器 - Google Patents

用于半导体外延生长的注射器 Download PDF

Info

Publication number
CN107690487A
CN107690487A CN201680032685.5A CN201680032685A CN107690487A CN 107690487 A CN107690487 A CN 107690487A CN 201680032685 A CN201680032685 A CN 201680032685A CN 107690487 A CN107690487 A CN 107690487A
Authority
CN
China
Prior art keywords
gas
substrate
individual gas
angle
gas vent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680032685.5A
Other languages
English (en)
Other versions
CN107690487B (zh
Inventor
鲍新宇
刘树坤
埃罗尔·安东尼奥·C·桑切斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107690487A publication Critical patent/CN107690487A/zh
Application granted granted Critical
Publication of CN107690487B publication Critical patent/CN107690487B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/08Germanium
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/46Sulfur-, selenium- or tellurium-containing compounds
    • C30B29/48AIIBVI compounds wherein A is Zn, Cd or Hg, and B is S, Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种处理腔室具有顶部、底部、侧壁、基板支撑件、能量源及气体注射器衬垫,所述顶部、底部及侧壁耦接在一起以界定壳体,基板支撑件具有基板支撑表面,能量源耦接至顶部或底部,气体注射器衬垫设置在侧壁。气体注射器衬垫包括设置在第一高度的第一多个气体出口(其中第一多个气体出口中的一个或多个向上或向下定向)、设置在比第一高度低的第二高度的第二多个气体出口(其中第二多个气体出口中的一个或多个向上或向下定向)及设置在比第二高度低的第三高度的第三多个气体出口(其中第三多个气体出口中的一个或多个相对于基板支撑表面向上或向下定向)。

Description

用于半导体外延生长的注射器
技术领域
本发明的实施方式一般涉及用于半导体处理的设备。更具体而言,本发明所述的实施方式涉及用于执行原子层外延的设备。
背景技术
半导体基板经处理以用于各式各样的应用,包括集成器件和微型器件的制造。处理基板的一种方法包括:在基板的上表面上沉积材料(如介电材料或导电金属)。例如,外延(epitaxy)是一种使薄且超纯的层(通常是硅或锗的层)在基板表面上生长的沉积处理。可通过以下方法将材料沉积于横流(cross-flow)腔室中:使一种或多种前驱物平行于定位在支撑件上的基板的表面而流动,及将前驱物热分解(thermally decompose)以将来自气体的材料沉积于基板表面上。
前驱物通常以气相经由侧气体注射器被注入到横流腔室并且横过基板流动。因为在给定的温度范围下,前驱物具有不同的热解(pyrolysis)或分解温度且处理空间中的温度梯度在基板与横流腔室的顶部圆顶之间变化,所以如果在沉积过程中使用两种或更多种前驱物,前驱物在整个基板上可能不具有相同的裂解(cracking)比率或速率。因为前驱物的裂解速率决定在基板表面上沉积的化合物的组成,当前驱物往基板注入时,具有较低热解温度的前驱物可能比具有较高热解温度的前驱物裂解更快,使得在基板边缘处有较高浓度的热解温度较低的前驱物,而在基板中心处有较高浓度的热解温度较高的前驱物。因此,在整个基板上薄膜的组成均匀性受到损害。
因此,存在对提供具有中心到边缘调节能力的气体注射器以改善外延处理中薄膜品质与沉积均匀性的横流腔室的需求。
发明内容
本发明的实施方式提供处理腔室,所述处理腔室具有顶部、底部、侧壁、基板支撑件、气体注射器及能量源,顶部、底部及侧壁耦接在一起以在顶部、底部及侧壁中界定空间,基板支撑件设置在所述空间中且具有基板支撑表面,气体注射器设置在侧壁,能量源耦接至顶部或底部。气体注射器包括设置在第一高度的第一多个气体出口(其中第一多个气体出口中的一个或多个以相对于基板支撑表面的第一角度定向)、设置在比第一高度低的第二高度的第二多个气体出口(其中第二多个气体出口中的一个或多个以相对于基板支撑表面的第二角度定向)及设置在比第二高度低的第三高度的第三多个气体出口(其中第三多个气体出口中的一个或多个以相对于基板支撑表面的第三角度定向)。
在另一个实施方式中,处理腔室包括顶部、底部、侧壁、基板支撑件、气体注射器及能量源,顶部、底部及侧壁耦接在一起以在顶部、底部及侧壁中界定空间,基板支撑件设置在所述空间中,基板支撑件具有基板处理表面,气体注射器设置在侧壁,能量源耦接至顶部或底部。气体注射器包括设置在第一高度的第一多个气体出口(其中第一多个气体出口中的一个或多个以相对于基板处理表面的第一角度向下定向)、设置在比第一高度低的第二高度的第二多个气体出口(其中第二多个气体出口中的一个或多个以相对于基板处理表面的第二角度向下定向)及设置在比第二高度低的第三高度的第三多个气体出口(其中第三多个气体出口中的一个或多个以相对于基板支撑表面的第三角度定向)。
在又一个实施方式中,处理腔室包括顶部、底部、侧壁、基板支撑件、气体注射器及能量源,顶部、底部及侧壁耦接在一起以在顶部、底部及侧壁中界定空间,基板支撑件设置在所述空间中,基板支撑件具有基板处理表面,气体注射器设置在侧壁,能量源耦接至顶部或底部。气体注射器包括设置在第一高度的第一多个气体出口(其中第一多个气体出口中的一个或多个以相对于基板处理表面的第一角度向上定向,并且第一多个气体出口中的一个或多个以相对于基板处理表面的第一角度向下定向)、设置在比第一高度低的第二高度的第二多个气体出口(其中第二多个气体出口中的一个或多个以相对于基板处理表面的第二角度向上定向,并且第二多个气体出口中的一个或多个以相对于基板处理表面的第二角度向下定向)及设置在比第二高度低的第三高度的第三多个气体出口(其中第三多个气体出口中的一个或多个以相对于基板处理表面的第三角度向上定向,并且第三多个气体出口中的一个或多个以相对于基板处理表面的第三角度向下定向。
附图说明
本发明的实施方式在上文中已简要概述,并在下文有更详细的讨论,可以通过参考在附图中绘示的本发明示例性的实施方式以作了解。然而,值得注意的是,附图只绘示了本发明的典型实施方式,由于本发明可允许其他同等有效的实施方式,附图不被视为对本发明范围的限制。
图1A是根据本发明的一个实施方式的示范处理腔室的示意性侧视截面图。
图1B是图1A的腔室旋转90度的示意性侧视截面图。
图2是包含图1A和1B所示的一个或多个衬垫的气体处理配件的一个实施方式的等轴视图。
图3A绘示了根据本发明的一个实施方式的注射器衬垫的局部透视截面图。
图3B绘示了根据本发明的一个实施方式的图3A的注射器衬垫的气体出口之间的示范角关系。
图4A是根据本发明的一个实施方式的注射器衬垫的部分的示意性截面图。
图4B绘示了可用于实施图4A的实施方式的示例性气体歧管系统。
图5A是根据本发明的一个实施方式的注射器衬垫的侧表面的部分截面图。
图5B绘示了根据本发明的一个实施方式的图5A的注射器衬垫的气体出口之间的示范角关系。
图5C是根据本发明的一个实施方式的注射器衬垫的侧表面的部分截面图。
图6绘示了根据本发明的一个实施方式的注射器衬垫的顶视截面图。
图7A是根据本发明的一个实施方式的注射器衬垫的部分的示意性截面图。
图7B是根据本发明的一个实施方式的注射器衬垫的部分的示意性截面图。
为便于理解,在可能的情况下,使用相同的参考数字代表附图共有的相同器件。附图未依比例绘制且可能为求清楚而被简化。设想一个实施方式的元件与特征可有利地用于其他实施方式中而无需进一步叙述。
具体实施方式
本发明的实施一般涉及可交换的侧气体注射,所述可交换的侧气体注射具有多个层(level)的气体出口以分离具有不同热解温度的两种或更多种前驱物气体。在不同层的气体出口以不同的角度定向,以允许当不同的前驱物气体注入到处理腔室时,不同的前驱物气体具有大体相同的热裂解速率。可以调整不同高度的一个或多个气体出口的定向以使前驱物气体的方向流流向基板的中心、靠近基板的外围或以任何期望位置而空间分布于基板上,以调整或改善所沉积的层中的组成均匀性或促进不同前驱物气体间期望的流动相互作用。本发明的细节和各种实施讨论于下。
示例性腔室硬件
图1A是可用于实施本公开内容中讨论的沉积处理的各种实施方式的示例性处理腔室100的示意性侧视截面图。腔室100可用于执行化学气相沉积,如外延沉积处理,即便腔室100可用于蚀刻或其他处理。腔室100包括由处理抗性材料(如铝或不锈钢)制成的壳体结构102。壳体结构102包围处理腔室100的各种功能元件,如石英腔室104,石英腔室104包括上部腔室106和下部腔室108,处理空间110包含于石英腔室104中。由陶瓷材料或以硅材料(如碳化硅)涂覆的石墨材料制成的基板支撑件112适于在石英腔室104内接收基板114。基板支撑件112包括升举机构172以及耦接至基板支撑组件164的旋转机构174。升举机构172可以用于沿着中心轴“A”移动基板支撑件112。旋转机构174可以用于绕中心轴“A”旋转基板支撑件112。来自前驱物反应材料的反应性物质被施加到基板114的处理表面116,且随后副产物可从处理表面116移除。
由能量源提供基板114和/或处理空间110的加热,能量源可以是辐射源或热源。辐射源可包括UV、IR和可见频率灯、激光及LED,或以上各种辐射源的任意组合。热源可以是激光、LED和灯丝灯或以上各种热源的任意组合。在图1A中所示的一个实施方式中,能量源是使用灯的辐射源,如上部灯模块118A和(或)下部灯模块118B。在一个实例中,上部灯模块118A和下部灯模块118B是红外线灯。来自灯模块118A和118B的辐射穿过上部腔室106的上部石英窗120,并穿过下部腔室108的下部石英窗122。如有需要,用于上部腔室106的冷却气体通过入口124进入并通过出口126离开。
反应性物质由气体分配组件128提供至石英腔室104,并且处理副产物由排放组件130从处理空间110移除,排放组件130通常与真空源(未示出)连通。气体分配组件128的各种实施方式将在下文参照图3A-3B至图7A-7B有更详细的讨论。用于腔室100的前驱物反应材料(以及稀释剂)、净化和排出气体(vent gas)可通过气体分配组件128进入及通过排放组件130离开。处理腔室100包括多个衬垫132A-132H(在图1A中仅示出衬垫132A-132G)。衬垫132A-132H将处理空间110从围绕处理空间110的金属壁134屏蔽。在一个实施方式中,衬垫132A-132H包括处理配件,所述处理配件涵盖所有可能与处理空间110连通或以其他方式暴露于处理空间110的金属部件。
下衬垫132A设置于下部腔室108中。上衬垫132B至少部分地设置于下部腔室108中且邻近下衬垫132A。排放插入衬垫组件132C邻近上衬垫132B设置。在图1A中,排放插入衬垫132D邻近排放插入衬垫组件132C设置,且可取代一部分上衬垫132B以利于安装。所示注射器衬垫132E在处理空间110相对于排放插入衬垫组件132C和排放衬垫132D的一侧上。注射器衬垫132E被构造为歧管,以向处理空间110提供一种或多种流体(如气体或气体的等离子体)。所述一种或多种流体由注射插入衬垫组件132F提供至注射器衬垫132E。挡板衬垫耦接至132G与注射插入衬垫组件132F。挡板衬垫132G耦接至第一气源135A和第二气源135B,并且提供气体给注射插入衬垫组件132F及提供气体给形成在注射器衬垫132E中的气体出口136A和136B。
在一个实施方式中,一种或多种气体由第一气源135A、第二气源135B及第三气源135C通过挡板衬垫132G、注射插入衬垫组件132F及通过在注射器衬垫132E中形成的一个或多个气体出口136A和136B而被提供至处理空间110。在注射器衬垫132E中形成的一个或多个气体出口136A和136B耦接至为了倾斜/层状流动路径(angled/laminar flow path)133A或133B而构造的出口。如下面更详细讨论的,一个或多个气体出口136A相对于轴线A'成不同角度,以调整跨基板的膜均匀性,轴线A'平行于基板表面。气体出口136A和136B被构造为提供具有不同参数(如速度、密度或组成)的单个或多个气流。
在适用多个气体出口136A和136B的一个实施方式中,气体出口136A和136B可沿着气体分配组件128的一部分周缘(例如注射器衬垫132E)以大体线性排列的方式分布以提供足够宽的气流以大体上覆盖基板的直径。例如,每个气体出口136A和136B可尽可能以至少一个线性群组方式排列以提供大致对应于基板直径的气流。从气体出口136A出来的气体沿着流动路径133B流动并与气体出口136B出来的气体混合,流动路径133B相对于轴A'(大体垂直于腔室100的纵轴A”)大致成一角度。气体或气体混合物沿跨基板整个表面的流动路径133A、133B流动并沿排放流动路径133C流动进入排放衬垫132D中的气室137。气室137耦接至排放或真空泵(未示出)。在一个实施方式中,气室137耦接至歧管139,歧管139使排气流动路径133C朝向大体平行于纵轴A'的方向。至少注射插入衬垫组件132F可穿过注射帽129设置且由注射帽129部分支撑。
图1B是图1A的腔室旋转90度的示意性侧视截面图。为简明起见,在图1A中描述的腔室100中的所有类似组件将不会被描述。在图1B中,所示狭缝阀衬垫132H穿过腔室100的金属壁134设置。另外,在图1B所示的旋转视图中,上衬垫132B被示出为邻近下衬垫132A,而不是图1A中所示的邻近注射器衬垫132E。在图1B所示的旋转视图中,上衬垫132B被示出为在腔室100邻近与狭缝阀衬垫132H相对一侧上的下衬垫132A,而不是邻近图1A中所示的排放衬垫132D。在图1B所示的旋转视图中,上衬垫132B覆盖上部腔室106的金属壁134。上衬垫132B还包括向内延伸的肩部138。向内延伸的肩部138形成支撑环形预热环140的唇部,唇部限制上部腔室106中的前驱物气体。
图2是包含图1A和1B所示的一个或多个衬垫132A-132H的气体处理配件200的一个实施方式的等轴视图。衬垫132A-132H是模块化的并且适于被单独或共同被替换。例如,可使用适于不同处理的其他衬垫替换一个或多个衬垫132A-132H,而不需更换衬垫132A-132H中的其他衬垫。因此,衬垫132A-132H便于配置用于不同处理的腔室100而无需更换所有的衬垫132A-132H。处理配件200包括下衬垫132A和上衬垫132B。下衬垫132A和上衬垫132B都包括大致圆柱形的外直径201,调整外直径201的尺寸使外直径201容纳于图1A和1B的腔室100内。衬垫132A-132H中的每一个可经配置而由重力和/或联锁装置(如在衬垫132A-132H中的部分衬垫中或在衬垫132A-132H中的部分衬垫上形成的凸部和配合凹部(matingrecess))支撑于腔室内。下衬垫132A和上衬垫132B的内表面形成处理空间110的部分。上衬垫132B包括切口部分202A和202B,调整切口部分202A和202B的尺寸以接收排气衬垫132D和注射器衬垫132E,如图1A的截面图中所示。切口部分202A和202B中的每一个限定邻近向内延伸的肩部138的上衬垫132B的凹进区域204。
在一个实施方式中,每个注射插入衬垫组件132F和排放插入衬垫组件132C可包括两个部分。注射插入衬垫组件132F包括第一部分206A和第二部分206B,第一部分206A和第二部分206B由挡板衬垫132G在一侧耦接。同样地,排放插入衬垫组件132C包括第一部分208A和第二部分208B。注射插入衬垫组件132F的部分206A和206B中的每一个接收来自第一气源135A、第二气源135B和第三气源135C而通过挡板衬垫132G的气体。可考虑更多或更少的气源。
气体流过注射插入衬垫组件132F并路由通过气体分配歧管衬垫(未示出)到注射器衬垫132E中的第一出口210A和多个第二出口210B中的一个或多个。在一个示范性实施方式中,来自第一气源135A的第一前驱物气体被路由到第一组第一出口210A,来自第二气源135B的第二前驱物气体被路由到第二组第一出口210B,并且来自第三气源135C的前驱物气体被路由到第二出口210B中的一个或多个。在一些实施方式中,如上所述,第一出口210A处在相对于第二出口210B的某个角度处。在一些实施方式中,如将在下面参照图3A和3B更详尽地讨论的,第一组第一气体出口210A可成不同于第二组第一出口210A的角度以控制前驱物气体的热裂解或分解反应速率,并且因此而控制整个基板上的膜均匀性。
注射器衬垫可安装于在上衬垫132B中形成的切口内,且可与注射插入衬垫组件132F耦接以利于气体从注射插入衬垫组件132F流到注射器衬垫132E。在与注射器衬垫132E相对的上衬垫132B中形成的切口中,排放衬垫132D可安装于排放插入衬垫组件132C上方。在各种实施方式中,注射器衬垫132E可用其他经配置而用于如下文参照图4A-4B至图7A-7B讨论的各种气体流动方案(gas flow schemes)的注射器衬垫替换。同样地,排放插入衬垫组件132C可用其他经配置以用于不同的排放流动方案的排放插入衬垫组件替换。
示例性具有斜角注射的两层(two level)气体出口
图3A绘示根据本发明的一个实施方式的注射器衬垫332E的局部透视截面图。注射器衬垫332E可以用来代替图1A、1B和图2所示的注射器衬垫132E。类似于图2所示的注射器衬垫132E,注射器衬垫332E具有圆柱形内直径,调整圆柱形内直径的尺寸以使圆柱形内直径符合图1A中所示的在上衬垫132B中形成的切口。注射器衬垫332E一般是具有多个第一气体管道(gas channel)304及多个第二气体管道(gas channel)306的部分圆柱形主体302,多个第一气体管道304流体连接至第一气体出口305,多个第二气体管道306流体连接至第二气体出口308。第一和第二气体出口305、308可根据任何方便的方式排列,以向在基板支撑件112上的基板提供均匀的气体暴露。在图3A的实施方式中,第一气体出口305和第二气体管道306以两层或两列排列,其中第一气体出口305设置在上层处而第二气体管道306设置在下层处。第一气体出口305和第二气体管道306绕周缘均匀地分隔,且可互相垂直对准或可不互相垂直对准。第一气体管道304和第二气体管道306各自与一个或多个气源流体连通,如第一气源135A、第二气源135B及第三气源135C。
主体302可以可拆卸式地与上衬垫132B组合且可以上面参照图2讨论的方式与注射插入衬垫组件132F耦接,以利于气体从注射插入衬垫组件132F流至注射器衬垫332E。在一个实施方式中,注射插入衬垫组件132F包括一个或多个气体通道(gas passages)331A和331B,气体通道331A和331B分别将前驱物气体路由到注射器衬垫332E的第一气体出口305和第二气体出口308。第一和第二气体通道331A、331B中的每一个流体耦接到相应的第一气体管道304和第二气体管道306。第一气体管道304可具有纵轴,所述纵轴大体垂直于第一气体通道331A的纵轴。来自第一气源135A、第二气源135B和第三气源135C的前驱物气体可在直到气体离开第一气体出口305和第二气体出口308之前保持分离。
在一个示例性实施方式中,第一前驱物气体从第一气源135A通过第一组多个气体通道331A流入第一组多个第一气体管道304,第一组多个第一气体管道304中的每一个流体连接至对应的第一气体出口305。第二前驱物气体从第二气源135B通过第二组多个气体通道331A流入第二组多个第一气体管道304,第二组多个第一气体管道304中的每一个流体连接至对应的第一气体出口305。第三前驱物气体从第三气源135C通过气体通道331B流入第二气体管道306,第二气体管道306中的每一个流体连接至对应的第二气体出口308。第一、第二和第三前驱物气体可以是如III族(group III)或V族(group V)前驱物气体,或是根据特定处理需求的合适的净化气体(如任何处理兼容的惰性气体)。在一个示范性实施方式中,第一和第二前驱物气体可包括Ga、In或Al(第一前驱物气体可以是或可以不是与第二前驱物气体相同),而第三前驱物气体可包含P、As或Sb。如将在下面更详细地讨论的,注射器衬垫332E具有将具有不同热分解或热解温度的V族前驱物气体从两个III族前驱物气体分离出来的能力,使得当前驱物气体注入处理腔室时,不同的前驱物气体具有大致相同的热裂解速率。
在各种实施方式中,注射器衬垫332E可具有约6个至约22个第一气体出口,例如约8个至约16个第一气体出口。第二气体出口的数量可对应于第一气体出口的数量。可根据基板的尺寸和/或处理空间110中气体的期望流速和/或扩散速率而考虑更多或更少的第一和第二气体出口。
在各种实施方式中,注射器衬垫332E是由对所选的能量波长透明或可透射的材料形成,并且可由耐热和化学抗性(chemically resistant)材料制成,如石英(透明石英或不透明石英)、蓝宝石、碳化硅或用碳化硅涂覆的石墨或以上各种材料的组合。如果需要的话,注射器衬垫332E的圆柱形内表面可用反射性、耐火性或不透射(non-transmissive)的材料涂覆,如金或介电反射体。
在图3B所示的实施方式中,每个第一气体出口305以相对于水平面“P”的角“θ”配置,水平面“P”一般平行于基板支撑件112的基板支撑表面、基板114或基板的处理表面的纵向方向,使得在第一前驱物气体存在于第一气体出口305之后,第一前驱物气体以沿所示第一方向307的角度流动。第一气体出口305的纵向方向与水平面“P”之间的角度“θ”可小于约90°,例如小于45°,如约5°至约30°,例如大约15°。角度“θ”可经调整使得气体朝向基板(或基板支撑件)的中心、靠近基板(或基板支撑件)的周边或空间分布于基板(或基板支撑件)上的任何期望位置而流动。在某些情况下,一些第一气体出口305的角度“θ”可经配置而向上指向处理腔室的上部圆顶以限制或防止不必要的沉积或其他反应发生,而其他的第一气体出口305指向基板。第一气体出口305可相对于第一气体管道304的纵向方向以约25°至约85°的角度“α”配置,例如约45°至约75°。
在一个实施方式中,一些第一气体出口305可处于与其他第一气体出口305不同的角度。例如,第一组第一气体出口305可处于约5°至约20°的角度“θ”,而第二组第一气体出口305可处于约20°至约35°的角度“θ”。根据特定处理的需求,第一组第一气体出口305也可使不同于由第二组第一气体出口305流出的前驱物气体的前驱物气体流出。在此情况下,第一组第一气体出口305(处于约5°至约20°的较小角度“θ”)可用于具有较低热解温度的前驱物气体(如诸如Ga的III族前驱物气体)流动,而第二组第一气体出口305(处于约20°至约35°的较大的角度“θ”)可用于具有较高热解温度的前驱物气体(如诸如In的III前驱物气体)。因为处理腔室的温度在远离基板表面的方向上逐渐减小,由于第一气体出口305角度定向的差异,当前驱物气体朝向基板注入时,具有较低热解温度的前驱物气体与具有较高热解温度的前驱物气体将具有大体匹配的裂解速率。第一和第二前驱物气体接着与来自第二气体出口308沿第二方向309流动的第三前驱物气体(如V族前驱物气体)混合。因此,获得跨基板表面的均匀组成分布。
如果第一气体出口305被构造为具有两个不同的注射角度(例如注射角度A和注射角度B),指向注射角A的第一气体出口305可与指向注射角度B的其他第一气体出口305交替排列。还可以考虑,每个第一和第二气体出口305、308可被构造为提供区域化注射能力。例如,第一气体出口305可排列为两个或更多个群组(如三(3)个群组),其中每个群组具有预定的注射角度,所述注射角度不同于指向基板不同区域的其他注射角度,同时第二气体出口308可排列为两个或更多个群组(如两(2)个群组),其中每个群组具有预定的注射角度,所述注射角度不同于指向基板不同区域的其他注射角度,反之亦然。附加地或可选地,每个群组可被构造为以不同速率提供相同的前驱物气体,及/或以相同或不同的速率提供不同的气体。
在各种实施方式中,可根据注射角度和/或第一气体出口305和第二气体出口308之间的高度差而调整第一气体出口305的高度(和可选地调整第二气体出口308的高度),使得当前驱物气体朝向基板注入时,前驱物气体的裂解速率大体匹配。各个高度可在处理基板之前进行设置,同时基板支撑件可在处理之前或处理期间垂直移动以动态调整一个或多个第一气体出口305相对于基板的高度。
可以预期的是,本发明实施方式中的流速和/或持续时间可针对每个第一和第二气体出口305、308独立控制。例如,部分或全部的第一气体出口305可在处理期间空闲或脉动(pulsed),以达到与自第二气体出口308流出的第二前驱物气体期望的流动相互作用。附加地或可选地,相同的前驱物气体可以不同的流速提供至基板或基板的不同区域。
示例性具有斜角注射的三层(three level)气体出口
如上所述,处理腔室的温度在远离基板表面的方向上逐渐下降。因为不同的前驱物具有不同的热分解或热解温度而且热裂解反应的速率决定基板上材料层的化合物组成和物理/电性质,当前驱物气体注入处理腔室时,不同的前驱物气体以相同的速率热裂解对于确保整个基板表面上的沉积层的组成均匀性是有利的。为了增强不同前驱物气体之间的匹配的裂解分布,图4至图7A-7B绘示了各种注射器衬垫设计,引导气体以期望的方式流动来调整或改善沉积层中的组成均匀性,或促进来自气体出口的不同前驱物气体之间期望的流动相互作用。具体来说,这些设计包括具有各种区域控制流动方案的多层侧气体注射,尤其利于在处理中使用具有不同热分解或热解温度的三个或更多个前驱物气体。
图4A是根据本发明的一个实施方式的注射器衬垫432E的部分的示意性截面图。注射器衬垫432E可以用于替代图1A、1B和图2所示的注射器衬垫132E。注射器衬垫432E类似于注射器衬垫332E,两者在气体出口的层级及注射器衬垫的配置上有些微差别。注射器衬垫432E一般是具有圆柱形内直径的部分圆柱形主体402,调整圆柱形内直径的尺寸使圆柱形内直径符合上衬垫132B(图2)中形成的切口。注射器衬垫432E具有多个第一气体出口405、多个第二气体出口408和多个第三气体出口411。在可以与本说明书所述的其他实施方式结合的一个实施方式中,第一、第二和第三气体出口405、408、411绕注射器衬垫432E的周缘和/或沿注射器衬垫432E的纵轴分布。
虽然未示出,但可以设想多个第一、第二及第三气体出口405、408、411中的每个气体出口以与参照图3A所述的相似的方式与相应的气源流体连通。例如,一旦注射器衬垫432E安装于在上衬垫132B中形成的切口内并且与注射插入衬垫组件132F(图2)耦接时,多个第一、第二及第三气体出口405、408、411中的每个气体出口可以经由对应的气体通道与气体管道(例如图3A的第一和第二气体通道331A、331B及对应的第一气体管道304和第二气体管道306)而与图3A的第一气源135A、第二气源135B及第三气源135C流体连通。第一和第二气体通道331A、331B中的一个或多个及相应的第一和第二气体管道304、306可用于路由来自第三气源135C的第三气体。或者,第三气体通道中的一个或多个和相应的第三气体管道可用于将前驱物气体从第三气源135C路由至第三气体出口405。
在可与本说明书所述的其他实施方式结合的图4A的实施方式中,注射器衬垫432E是以三层排列的。也就是说,第一气体出口405设置在大约第一高度“H1”,第二气体出口408设置在大约第二高度“H2”,及第三气体出口411设置在大约第三高度“H3”,其中第一高度“H1”大于第二高度“H2”,且第二高度“H2”大于第三高度“H3”。本说明书所述的高度可指气体出口的开口与基板114的处理表面116的高度之间的距离。第一、第二和第三高度可以是可调整的,例如通过在处理腔室中处理基板之前选择具有设置在不同高度的气体出口的所需的注射器衬垫或通过将基板支撑件相对于基板的处理表面垂直移动来调整第一、第二和第三高度。
在各种实施方式中,注射器衬垫432E由对所选能量波长透明或可透射的材料形成,且可由耐热和化学抗性的材料制成,如石英(透明石英或不透明石英)、蓝宝石、碳化硅或者用碳化硅涂覆的石墨或以上各种材料的组合。如果需要的话,注射器衬垫432E的圆柱形内表面可用反射性、耐火性或不透射的材料涂覆,如金或介电反射体。
根据应用所需,第一、第二和第三气体出口405、408、411可被配置为流动任何合适的前驱物气体。例如,在第三高度的第三气体出口411可流动含V族的前驱物气体并且在第一和第二高度的第一和第二气体出口405、408可各自流动含III族的前驱物气体(其中第一和第二气体出口405、408可以或可以不流动相同的前驱物气体)。在一个示例性实施方式中,一个或多个第一气体出口405被配置为流动具有较低热分解或热解温度的含有III族的前驱物气体,一个或多个第二气体出口408被配置为流动具有较高热分解或热解温度的含有III族的前驱物气体。可以预期的是前驱物气体可以是除了III-V之外的任何材料系统,如II-VI材料系统。根据特定处理的需求,也可使用任何所需的掺杂气体。
在需要选择性外延生长处理的实施方式中,处于第三高度的一个或多个第三气体出口411可流动第一处理气体,而处于第一和第二高度的第一和第二气体出口405、408可各自流动第二处理气体。第一处理气体可包括一种或多种处理气体。例如,第一处理气体可包括一种或多种沉积气体,且可选择地,掺杂剂前驱物气体、蚀刻剂气体或载气中的一个或多个。沉积气体可包括如硅前驱物(如硅烷、卤代硅烷或以上气体的组合)。硅烷可包括硅烷(SiH4)和更高级的硅烷(具有实验式SixH(2x+2)),如乙硅烷(Si2H6)、丙硅烷(Si3H8)及四硅烷(Si4H10)。卤代硅烷可包括一氯甲硅烷(MCS)、二氯甲硅烷(DCS)、三氯硅烷(TCS)、六氯乙硅烷(HCDS)、八氯三硅烷(OCTS)、四氯化硅(STC)或以上各种卤代硅烷的组合。根据沉积的外延层所需的导电特性,掺杂剂前驱物气体可包括如磷、硼、砷、镓、或铝。蚀刻剂气体可包括如甲烷(CH3)或任何含卤素分子的气体(如氯化氢(HCl)、氯(Cl2)或氟化氢(HF))。载气可包括如氮(N2)、氩(Ar)、氦(He)或氢(H2)。
第二处理气体可与第一处理气体相同或不同。第二处理气体可包括以上对于第一处理气体讨论的那些气体(如沉积气体、蚀刻剂气体、掺杂剂前驱物气体和载气的组合)的任何或所有组合。第二处理气体可与第一处理气体交替地、周期性地、部分同时地或同时地流动。在一些实施方式中,第二处理气体可与第一处理气体在同一时间流动。在一些实施方式中,处于第一和第二高度的第一和第二气体出口405、408可流动彼此不同的第二处理气体。在一些实施方式中,处于第二和第三高度的气体注射器405、408可被配置为以不同速率提供相同的前驱物气体,及/或以相同或不同的速率提供不同的气体。
本发明的实施方式所述的概念也适用在用于形成其他材料的外延处理。一些实例可包括未掺杂的硅、SiGe/SiGe:B、Si:CP、纯Ge、GeSn、GeP、GeB或GeSnB等,这些材料可用于逻辑和存储器应用中。在这种情况下,可能的硅前驱物可与以上所述的那些相同,而可能的锗前驱物可包括但不限于GeH4和Ge2H6。可使用的卤化锗的实例可包括但不限于GeCl4、GeHCl3、Ge2Cl6、Ge3Cl8等。
一个或多个气体歧管可用于第一、第二和第三气体出口中的一个或多个,使得能够有以二元、三元及四元化合物的形式沉积III-V或II-VI族半导体合金。图4B绘示可用于实施图4A的实施方式或本发明的其他实施方式的示例性气体歧管系统。在图4B的实施方式中,第一气体出口405、第二气体出口408及第三气体出口411分别与第一气源135A、第二气源135B及第三气源135C流体连通。来自第一气源135A的第一前驱物气体(如含III族的前驱物气体)可通过控制第一阀440和/或第二阀442经过第一歧管430和/或第二歧管432而路由到第一气体出口405和/或第二气体出口408。类似地,来自第二气源135B的第二前驱物气体(如与第一前驱物气体不同的含III族的前驱物气体)可通过控制第三阀444和/或第四阀446经过第一歧管430和/或第二歧管432而路由到第一气体出口405和/或第二气体出口408。来自第三气源135C的第三前驱物气体(如含V族的前驱物气体)可经过第三歧管434而路由到第三气体出口411。可考虑其他配置。例如,可以交换第一、第二和第三歧管和/或气源。
根据前驱物气体的热性质,可通过开启或关闭阀440-446而使第一或第二前驱物气体流到第一或第二歧管430、432。例如,如果第一前驱物气体具有比第二前驱物气体低的热解温度,则可通过打开阀440和关闭阀442使第一前驱物气体流至第一歧管430以将第一前驱物气体路由至第一气体出口405。可通过打开阀444和关闭阀446而使具有比第一前驱物气体高的热解温度的第二前驱物气体流至第二歧管432以将第二前驱物气体路由到第二气体出口408。可由净化气体(如氢气)将第一或第二前驱物气体推到第一和第二气体出口405、408中的任一个。类似地,可由净化气体将第三前驱物气体推到第三气体出口411。如果第一前驱物气体和第二前驱物气体具有相同或相似的热解温度,则根据处理过程需要,两个前驱物气体都可流至第一歧管430或第二歧管432。
在一个实施方式中,第一流量比率控制器(FRC)448设置在第一歧管430和第一气体出口405之间,第二FRC 450设置在第二歧管432和第二气体出口408之间,并且第三FRC452设置在第三歧管434和第三气体出口411之间。每个流量比率控制器可被配置为将气体流分到注射器衬垫的内部和外部区域,由此使得整个基板表面上的气体分布更均匀。
回到图4A,第一、第二和第三气体出口405、408和411中的一个或多个可以相对于彼此的任何预定角度定向,或以相对于基板114的处理表面116的任何预定角度定向来以任何所需的方向引导气体,以产生定向气流。在图4A的实施方式中,处于第三高度的第三气体出口411设置为平行于基板114的处理表面116,使得来自第三气体出口411的前驱物气体在方向410(大体平行于基板114的处理表面116)上流动并且横跨处理表面116而朝向排放装置。在一些实施方式中,处于第三高度的一个或多个第三气体出口411可以相对于基板114的处理表面116的角度向下或向上定向。在这样的情况下,第三气体出口411的纵轴可以是在相对于基板114的处理表面116约5°至约30°的角度处。由于第三气体出口411设置在更靠近基板处理表面的位置处(且因此暴露于较高的处理温度),第三气体出口411可用于流动具有较高的热分解或热解温度的前驱物气体。
在可与本说明书所述的其他实施方式合并的一个实施方式中,处于第一高度的一个或多个或全部第一气体出口405可以相对于水平面“P”的角度定向,水平面“P”平行于基板114的处理表面116。在这样的情况下,处于第一高度的第一气体出口405的纵轴在相对于水平面“P”约1°至约35°的角度处,如约5°至约25°。所选择的角度应该使来自第一气体出口405在方向414上流动的前驱物气体与来自第二气体出口408在方向412上流动的前驱物气体以及来自第三气体出口411在方向410上流动的前驱物气体之间能够有期望的气流相互作用。
在可以与本说明书所述的其他实施方式合并的一个实施方式中,处于第二高度的一个或多个或全部第二气体出口408以相对于水平面“P”的角度定向,水平面“P”平行于基板114的处理表面116。在这样的情况下,处于第二高度的第二气体出口408的纵轴在相对于水平面“P”约1°至约35°的角度处,如约5°至约25°。所选择的角度应该使来自第二气体出口408在方向412上流动的前驱物气体与来自第一气体出口405在方向414上流动的前驱物气体以及来自第三气体出口411在方向410上流动的前驱物气体之间能够有期望的气流相互作用。
在可以与本说明书所述的其他实施方式合并的一个实施方式中,处于第一高度的一个或多个第一气体出口的纵轴在与处在第二高度的一个或多个或全部第二气体出口的纵轴不同的角度处。图5A是注射器衬垫532E的侧表面的部分截面图,显示了根据本发明的一个实施方式的特定第一气体出口505朝向注射器衬垫532E的顶边缘506(即远离基板处理表面的方向)向上定向,而其他第一气体出口505和第二气体出口508向下定向。在图5的一个实施方式中,处于第一高度的第一气体出口505A、505B、505C向上倾斜,例如,朝向图1所示的处理腔室100的上部石英窗120定向。此配置可允许第一气体出口505A、505B、505C朝向上部石英窗120提供前驱物气体(如净化气体)以用于清洁目的,而其余的第一气体出口505与第二气体出口508以相对于基板(未示出)的处理表面的角度向下定向,以提供与来自第三气体出口511的前驱物气体相互作用的前驱物气体。在图5B所示的一个示范性实施方式中,第一气体出口505A、505B、505C的纵轴以相对于水平面“P”约1°至约45°(如15°至约30°)的角度“A”向上定向,水平面“P”平行于基板的处理表面。其余的第一气体出口505(未示出)的纵轴和一个或多个第二气体出口508的纵轴以相对于基板的水平面“P”」约1°至约45°(如约5°至约30°)的角度“B”向下定向。一个或多个第三气体出口511的纵轴平行于基板的水平面“P”定向。
在可以与本说明书所述的其他实施方式合并的一个实施方式中,处于第一高度的一个或多个第一气体出口505的纵轴在与处于第二高度的一个或多个第二气体出口508的纵轴不同的角度处。例如,一个或多个第一气体出口505的纵轴可在相对于一个或多个第二气体出口508的纵轴的角度“C”处。此角度“C”在约1°至约35°之间,如约5°至约15°。在这个实施方式的一些实例中,处于第一高度的一个或多个第一气体出口505的纵轴在相对于处于第二高度的一个或多个第二气体出口508的纵轴的某个角度处,而处于第一高度的一个或多个第一气体出口505的纵轴经排列而平行于处于第二高度的一个或多个第二气体出口508的纵轴。
在可以与本说明书所述的其他实施方式合并的一个实施方式中,第一气体出口505、第二气体出口508及第三气体出口511彼此垂直对齐(如彼此堆叠),如图5A所示。或者,第一气体出口505、第二气体出口508或第三气体出口511彼此垂直偏置。例如,第二气体出口508可分别与第一气体出口505和第三气体出口511错开半个节距(half-pitch),如图5C所示。
在可以与本说明书所述的其他实施方式合并的一个实施方式中,每个第一气体出口505、第二气体出口508及第三气体出口511被配置为提供多个独立区域,以用于使前驱物气体朝向基板的处理表面或处理腔室内任何期望的区域流动。在一个实例中,第一气体出口505经配置而提供两个或更多个独立区域,例如三个独立区域。第二气体出口508经配置而提供两个或更多个独立区域,例如三个独立区域。第三气体出口511经配置而提供两个或更多个独立区域,例如三个独立区域。在各个独立区域中的一个或多个或全部第一、第二和第三气体出口505、508、511以如本发明实施方式所述的方式以相对于基板的水平面“P”的任何期望的角度定向。在各个独立区域中处于第一高度的的气体出口可以与在各个独立区域中处于第二或第三高度的的气体出口相似或不同的方式流动前驱物气体的定向流。附加地或可选地,每个第一气体出口505、第二气体出口508及第三气体出口511可经配置以提供前驱物气体的方位角和径向分布控制。例如,每个独立区域可与紧邻的独立区域以约1°至约25°,如约5°至约10°的方位角分开。
附加地或可选地,可定向在各个独立区域中的一个或多个或全部第一、第二和第三气体出口505、508、511,而使得前驱物气体的定向气流根据应用的特定需求提供在基板(或基板支撑件)的中心、靠近基板(或基板支撑件)的周边(periphery)、基板(或基板支撑件)的中心和周边之间任何期望的位置或处理腔室内任何期望的位置,如上部石英窗120。
根据特定实施的需求,可以考虑在注射器衬垫532E中提供本发明所述的任何数量的气体出口开口及任何数量列的气体出口。还可以考虑,注射器衬垫532E可具有沿注射器衬垫532E周缘的定值密度或变化密度的气体出口505(包括气体出口505A、505B、505C)、508和510。根据气体出口505、508和510的总数量,调整气体出口505(包括气体出口505A、505B、505C)、508和510的尺寸以提供所需的气流。在示范性实施方式中,气体出口505、508和510具有在约2mm和约2cm之间的直径,如在约5mm和约1cm之间,例如约7mm。
此外,虽然示出与讨论的是三层气体注入,在本说明书所述的设计特征可以经组合和/或加乘以提供多个层的侧气体注射,例如四层、五层或X层(其中X可以是6至50,例如10至30,或根据所需应用的任何其他数量),从而提供具有本说明书所述的各种区域控制方案的多个层的侧气体注射,这尤其有利于当具有不同热分解或热解温度的三个或更多个前驱物气体使用于处理过程中时。
在可以与本说明书所述的其他实施方式合并的一个实施方式中,注射器衬垫可具有任何一个或多个第一气体出口、第二气体出口和/或第三气体出口,气体出口经配置以形成相对于注射器衬垫半径的角度。图6绘示根据本发明的一个实施方式的注射器衬垫632E的顶视截面图。注射器衬垫632E具有多个第一气体出口605,多个第一气体出口605形成相对于注射器衬垫632E的半径604的约5°至约35°的角度“D”。第一气体出口605因此提供气体旋转流以方向614流入处理腔室100的处理空间110。根据所选择的角度的“D”,气体旋转流可跨基板成切线地流动、从基板上方输送或以上的组合,以实现一个或多个前驱物气体对于基板的任何期望的空间配置,如朝向基板的中心、靠近基板(或基板支撑件)的周边或在以任何期望的位置空间分布在基板(或基板支撑件)上。由第一气体出口605提供的气体旋转流被传至流入处理空间的其他前驱物气体来调整或改善沉积层中的组成均匀性,或促进来自其他出口的不同前驱物气体之间期望的相互作用。气体旋转流或切向流还用于补充、抵消基板支撑件的转动或以其他方式与基板支撑件的转动相互作用以改善在某些情况下的处理均匀性。虽然只图示了第一气体出口605,可以设想,一个或多个第二气体出口和/或一个或多个第三气体出口被类似地配置以提供所需的气体流动。还可以设想,图6的角调节(angling)可以与以任何期望的方式与图3、4和5A-5C的角度定向组合。
在本说明书的各种实施方式中,注射器衬垫形成为包括多个层或列的气体出口的集成部分,或可以是多个堆叠或结合在一起的件(piece)。以图4A作为例子,注射器衬垫432E形成为具有在部分圆柱形主体402中形成的三个层的气体出口405、408、411的集成部分。在如图7A中所示的可以与本说明书所述的其他实施方式结合的替代实施方式中,注射器衬垫732E由三个块720、722、724形成。在这样的情况下,具有多个第一气体出口705的第一块720因重力静置在具有多个第二气体出口708的第二块722上,而且具有多个第二气体出口708的第二块722静置在具有多个第三气体出口711的第三块724上。块720、722、724可用或可不用在第一界面732与第二界面734之间提供的任何合适的紧固装置(means)堆叠在一起。或者,注射器衬垫732E可由两个块(其中第一与第二气体出口705、708设置于第一块中并且第三气体出口711设置于第二块中)形成,其中,(可用或可不用在界面736间任何适合的紧固构件)第一块堆叠在第二块的顶上,如图7B所示。可以设想,根据特定实施的需求,可改变块的数量和/或各个块中气体出口的数量或列数。
因为每个块是可互换的,且可经配置而包括如本发明所述的各种角度和/或区域控制的构造,以根据特定应用的需求提供一个或多个定制的气流,所以具有在多个件中形成的注射器衬垫732E在某些情况下可以是有优势的。块720、722、724可由任何耐热和化学抗性的材料制成,如石英(透明石英或不透明石英)、蓝宝石、碳化硅或以碳化硅涂覆的石墨或以上各种材料的组合。在一些实施方式中,每个块可由彼此不同的材料形成,以进一步提高处于不同高度的气体出口之间的温度差异。例如,块720和722可由不透明石英制成,而块724可由透明石英、碳化硅或以碳化硅涂覆的石墨制成,或反之亦然,这取决于流过气体出口的前驱物气体的热解温度。在任何情况下,在注射器衬垫(或者以集成部分形成或者以多个件形成)的气体出口的温度应该是高的,以避免前驱物气体流重新沉积到气体出口的开口上,但不高到足以在进入处理腔室的处理空间之前裂解前驱物气体。
本发明的优点包括具有多个层的气体出口以将具有不同热解温度的两个或更多个的前驱物气体分离的可互换侧注射。借助以不同角度定向的多个层的气体出口,当不同的前驱物气体注入处理腔室时,不同的前驱物气体将具有大体相同的热裂解速率。可以调整处于不同高度的一个或多个气体出口的定向使将前驱物气体的定向流流向基板的中心、靠近基板的周边或空间分布在基板上任何期望的位置来调整或改善沉积层的组成均匀性或促进不同的前驱物气体之间期望的流动相互作用。在上层的某些气体出口也可以朝向上部石英窗向上定向以用于清洁的目的。
虽然前面是针对本发明的实施方式,但在不背离本发明基本范围下,可设计本发明的其他与进一步的实施方式。

Claims (15)

1.一种用于处理基板的处理腔室,包括:
顶部、底部与侧壁,所述顶部、所述底部与所述侧壁耦接在一起以在所述顶部、所述底部、所述侧壁中界定空间;
基板支撑件,所述基板支撑件设置在所述空间中,所述基板支撑件具有基板支撑表面;
气体注射器,所述气体注射器设置在所述侧壁,所述气体注射器包含:
第一多个气体出口,所述第一多个气体出口设置在第一高度,其中所述第一多个气体出口中的一个或多个以相对于所述基板支撑表面的第一角度定向;
第二多个气体出口,所述第二多个气体出口设置在比所述第一高度低的第二高度,其中所述第二多个气体出口中的一个或多个以相对于所述基板支撑表面的第二角度定向;及
第三多个气体出口,所述第三多个气体出口设置在比所述第二高度低的第三高度,其中所述第三多个气体出口中的一个或多个以相对于所述基板支撑表面的第三角度定向;及
能量源,所述能量源耦接至所述顶部或所述底部。
2.如权利要求1所述的处理腔室,其中所述第一多个气体出口以约1°至约35°的所述第一角度向上或向下定向,及所述第二多个气体出口以约1°至约35°的所述第二角度向上或向下定向。
3.如权利要求1所述的处理腔室,其中所述第一角度与所述第二角度相同。
4.如权利要求1所述的处理腔室,其中所述第一角度与所述第二角度不同。
5.如权利要求1所述的处理腔室,其中所述第三多个气体出口中的一个或多个经定向而大体平行于所述基板处理表面。
6.如权利要求1所述的处理腔室,其中所述第三角度为约5°至约30°。
7.如权利要求1所述的处理腔室,其中所述第一多个气体出口中的一个或多个向下定向朝向所述基板支撑件的中心、靠近该基板支撑件的周边或朝向所述基板支撑件的所述中心与所述周边之间的位置。
8.如权利要求1所述的处理腔室,其中所述第一多个气体出口中的一个或多个经向上定向朝向所述顶部。
9.如权利要求1所述的处理腔室,其中所述第一多个气体出口、所述第二多个气体出口及/或所述第三多个气体出口形成相对于所述气体注射器的半径的第四度数,并且所述第四度数为约5°至约35°。
10.一种用于处理基板的处理腔室,包括:
顶部、底部与侧壁,所述顶部、所述底部与所述侧壁耦接在一起以在所述顶部、所述底部与所述侧壁中界定空间;
基板支撑件,所述基板支撑件设置于所述体积中,所述基板支撑件具有基板处理表面;
气体注射器,所述气体注射器设置在所述侧壁,所述气体注射器包含:
第一多个气体出口,所述第一多个气体出口设置在第一高度,其中所述第一多个气体出口中的一个或多个以相对于所述基板处理表面的第一角度向下定向;
第二多个气体出口,所述第二多个气体出口设置在比所述第一高度低的第二高度,其中所述第二多个气体出口中的一个或多个以相对于所述基板处理表面的第二角度向下定向;及
第三多个气体出口,所述第三多个气体出口设置在比所述第二高度低的第三高度,其中所述第三多个气体出口中的一个或多个以相对于所述基板支撑表面的第三角度定向;及
能量源,所述能量源耦接至所述顶部或所述底部。
11.如权利要求10所述的处理腔室,其中所述第一多个气体出口以约1°至约35°的所述第一角度向下定向,并且所述第二多个气体出口以约1°至约35°的所述第二角度向下定向。
12.如权利要求10所述的处理腔室,其中所述第一角度与所述第二角度相同。
13.如权利要求10所述的处理腔室,其中所述第一多个气体出口中的一个或多个经向上定向朝向所述顶部。
14.如权利要求10所述的处理腔室,其中所述第一多个气体出口中的一个或多个向下定向朝向所述基板支撑件的中心、靠近所述基板支撑件的周边或朝向所述基板支撑件的所述中心与所述周边之间的位置。
15.如权利要求10所述的处理腔室,其中所述第一多个气体出口、所述第二多个气体出口及/或所述第三多个气体出口形成相对于所述气体注射器的半径的第四角度,并且所述第四角度为约5°至约35°。
CN201680032685.5A 2015-06-12 2016-05-17 用于半导体外延生长的注射器 Active CN107690487B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562175046P 2015-06-12 2015-06-12
US62/175,046 2015-06-12
PCT/US2016/032859 WO2016200568A1 (en) 2015-06-12 2016-05-17 An injector for semiconductor epitaxy growth

Publications (2)

Publication Number Publication Date
CN107690487A true CN107690487A (zh) 2018-02-13
CN107690487B CN107690487B (zh) 2021-03-09

Family

ID=57503877

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680032685.5A Active CN107690487B (zh) 2015-06-12 2016-05-17 用于半导体外延生长的注射器

Country Status (5)

Country Link
US (1) US20160362813A1 (zh)
KR (1) KR102696320B1 (zh)
CN (1) CN107690487B (zh)
TW (1) TWI679296B (zh)
WO (1) WO2016200568A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114599816A (zh) * 2019-09-09 2022-06-07 应用材料公司 输送反应物气体的处理系统和方法
US11959169B2 (en) 2019-01-30 2024-04-16 Applied Materials, Inc. Asymmetric injection for better wafer uniformity

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) * 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN214848503U (zh) * 2018-08-29 2021-11-23 应用材料公司 注入器设备、基板处理设备及在机器可读介质中实现的结构
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220178029A1 (en) * 2020-12-03 2022-06-09 Tokyo Electron Limited Deposition apparatus and deposition method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US12091749B2 (en) * 2021-05-11 2024-09-17 Applied Materials, Inc. Method for epitaxially depositing a material on a substrate by flowing a process gas across the substrate from an upper gas inlet to an upper gas outlet and flowing a purge gas from a lower gas inlet to a lower gas outlet
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230212742A1 (en) * 2022-01-04 2023-07-06 Applied Materials, Inc. Model-based purge gas flow

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW311326B (zh) * 1994-04-26 1997-07-21 Tokyo Electron Co Ltd
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US20040035531A1 (en) * 1998-02-19 2004-02-26 Donohoe Kevin G. Apparatus for controlling the temperature of a gas distribution plate in a process reactor
US20040099378A1 (en) * 2002-11-15 2004-05-27 Tae-Wan Kim Gas injection apparatus for semiconductor processing system
US20040217217A1 (en) * 2003-04-09 2004-11-04 Samsung Electronics Co., Ltd. Gas supplying apparatus
TWI248108B (en) * 1998-11-13 2006-01-21 Applied Materials Inc Gas distribution system for a CVD processing chamber
KR20060076346A (ko) * 2004-12-29 2006-07-04 주식회사 하이닉스반도체 반도체 제조용 고밀도 플라즈마 화학기상증착 장치
US20070163996A1 (en) * 2006-01-18 2007-07-19 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR20100015212A (ko) * 2008-08-04 2010-02-12 삼성전기주식회사 화학 기상 증착 장치
US20100089870A1 (en) * 2007-03-22 2010-04-15 Mitsuru Hiroshima Plasma processing apparatus and plasma processing method
KR20120029797A (ko) * 2010-09-17 2012-03-27 주식회사 원익아이피에스 박막 증착 장치
US20120266819A1 (en) * 2011-04-25 2012-10-25 Applied Materials, Inc. Semiconductor substrate processing system
US20130284095A1 (en) * 2012-04-25 2013-10-31 Joseph M. Ranish Optics for controlling light transmitted through a conical quartz dome
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
DE10064944A1 (de) * 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
CN107109645B (zh) * 2015-01-02 2021-02-26 应用材料公司 处理腔室

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW311326B (zh) * 1994-04-26 1997-07-21 Tokyo Electron Co Ltd
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US20040035531A1 (en) * 1998-02-19 2004-02-26 Donohoe Kevin G. Apparatus for controlling the temperature of a gas distribution plate in a process reactor
TWI248108B (en) * 1998-11-13 2006-01-21 Applied Materials Inc Gas distribution system for a CVD processing chamber
US20040099378A1 (en) * 2002-11-15 2004-05-27 Tae-Wan Kim Gas injection apparatus for semiconductor processing system
US20040217217A1 (en) * 2003-04-09 2004-11-04 Samsung Electronics Co., Ltd. Gas supplying apparatus
KR20060076346A (ko) * 2004-12-29 2006-07-04 주식회사 하이닉스반도체 반도체 제조용 고밀도 플라즈마 화학기상증착 장치
US20070163996A1 (en) * 2006-01-18 2007-07-19 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20100089870A1 (en) * 2007-03-22 2010-04-15 Mitsuru Hiroshima Plasma processing apparatus and plasma processing method
KR20100015212A (ko) * 2008-08-04 2010-02-12 삼성전기주식회사 화학 기상 증착 장치
KR20120029797A (ko) * 2010-09-17 2012-03-27 주식회사 원익아이피에스 박막 증착 장치
US20120266819A1 (en) * 2011-04-25 2012-10-25 Applied Materials, Inc. Semiconductor substrate processing system
US20130284095A1 (en) * 2012-04-25 2013-10-31 Joseph M. Ranish Optics for controlling light transmitted through a conical quartz dome
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11959169B2 (en) 2019-01-30 2024-04-16 Applied Materials, Inc. Asymmetric injection for better wafer uniformity
CN114599816A (zh) * 2019-09-09 2022-06-07 应用材料公司 输送反应物气体的处理系统和方法

Also Published As

Publication number Publication date
WO2016200568A1 (en) 2016-12-15
TW201704523A (zh) 2017-02-01
US20160362813A1 (en) 2016-12-15
CN107690487B (zh) 2021-03-09
TWI679296B (zh) 2019-12-11
KR20180008907A (ko) 2018-01-24
KR102696320B1 (ko) 2024-08-20

Similar Documents

Publication Publication Date Title
CN107690487A (zh) 用于半导体外延生长的注射器
US9695508B2 (en) Liner assembly for chemical vapor deposition chamber
EP0637058B1 (en) Method of supplying reactant gas to a substrate processing apparatus
US5916369A (en) Gas inlets for wafer processing chamber
US6500734B2 (en) Gas inlets for wafer processing chamber
JP5908403B2 (ja) クロスフローを有するエピタキシャルチャンバ
JP7365761B2 (ja) 気相成長装置
WO2005059981A1 (ja) 気相成長装置およびエピタキシャルウェーハの製造方法
US10017877B2 (en) Silicon carbide crystal growth in a CVD reactor using chlorinated chemistry
US20240318351A1 (en) Multi-thermal cvd chambers with shared gas delivery and exhaust system
US20110100554A1 (en) Parallel system for epitaxial chemical vapor deposition
KR102349317B1 (ko) 에피택셜 증착 프로세스들을 위한 주입 어셈블리
US20190085454A1 (en) Vertical deposition system
US20240018688A1 (en) Batch processing apparatus, systems, and related methods and structures for epitaxial deposition operations
JP2021093500A (ja) 気相成長装置及び気相成長方法
US10607837B2 (en) Gas flow control for EPI thickness uniformity improvement

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant