TWI679296B - 用於半導體磊晶成長的注射器 - Google Patents

用於半導體磊晶成長的注射器 Download PDF

Info

Publication number
TWI679296B
TWI679296B TW105115483A TW105115483A TWI679296B TW I679296 B TWI679296 B TW I679296B TW 105115483 A TW105115483 A TW 105115483A TW 105115483 A TW105115483 A TW 105115483A TW I679296 B TWI679296 B TW I679296B
Authority
TW
Taiwan
Prior art keywords
gas
gas outlets
angle
substrate
outlets
Prior art date
Application number
TW105115483A
Other languages
English (en)
Other versions
TW201704523A (zh
Inventor
鮑新宇
Xinyu Bao
樹坤 劉
Shu-Kwan LAU
愛羅安東尼歐C 桑契斯
Errol Antonio C. Sanchez
Original Assignee
美商應用材料股份有限公司
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司, Applied Materials, Inc. filed Critical 美商應用材料股份有限公司
Publication of TW201704523A publication Critical patent/TW201704523A/zh
Application granted granted Critical
Publication of TWI679296B publication Critical patent/TWI679296B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/08Germanium
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/46Sulfur-, selenium- or tellurium-containing compounds
    • C30B29/48AIIBVI compounds wherein A is Zn, Cd or Hg, and B is S, Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種處理腔室具有頂部、底部與側壁、基板支撐件、能量源及氣體注射器襯墊,該頂部、底部及側壁耦接在一起以界定一殼體,基板支撐件具有基板支撐表面,能量源耦接至頂部或底部,氣體注射器襯墊設置在側壁。氣體注射器襯墊包括設置在第一高度的第一複數個氣體出口、設置在比第一高度低的第二高度之第二複數個氣體出口及設置在比第二高度低的第三高度之第三複數個氣體出口,其中第一複數個氣體出口中的一或多個向上或向下定向,其中第二複數個氣體出口中的一或多個向上或向下定向,及其中第三複數個氣體出口中的一或多個相對於基板支撐表面向上或向下定向。

Description

用於半導體磊晶成長的注射器
本發明揭露的實施例一般係關於用於半導體處理之設備。更具體言之,本發明所述的實施例係關於用於實施原子層磊晶的設備。
半導體基板經處理以用於各式各樣的應用,包括積體元件和微型元件的製造。處理基板的一種方法包括:沉積材料(如介電材料或導電金屬)在基板的上表面上。例如,磊晶(epitaxy)是一種成長薄且超純的層(通常是矽或鍺)於基板表面上的沉積處理。可藉由以下方法將該材料沉積於橫流(cross-flow)腔室中:將一或多個前驅物平行於定位在支撐件上的基板之表面流動,及將該前驅物熱分解以將來自氣體的材料沉積於基板表面上。
前驅物通常以氣相經由側氣體注射器被注入至橫流腔室及流動過基板。因為在給定的溫度範圍下,前驅物具有不同的裂解或分解溫度且處理空間中的溫度梯度在基板與橫流腔室的頂部圓頂之間變化,所以如果兩個或更多的前驅物在沉積過程中使用的,前驅物在整個基板上可能不具有相同的裂解比率(cracking ratio)或速率。因為前驅物的裂解速率決定沉積於基板表面上化合物的組成,當前驅物往基板注入時,具有較低裂解溫度的前驅物可能比具有較高裂解溫度的前驅物裂解更快,使得在基板邊緣處有較高濃度的較低裂解溫度的前驅物,而在基板中心處有較高濃度的較高裂解溫度的前驅物。因此,在整個基板上薄膜的組成均勻性受到損害。
因此,提供在磊晶處理中具有中心到邊緣調節能力以改善薄膜品質與沉積均勻性之氣體注射器的一種橫流腔室係有其需求的。
本發明揭露的實施例提供一處理腔室,其具有頂部、底部與側壁、基板支撐件、氣體注射器及能量源,該頂部、底部及側壁耦接在一起以在其中界定一體積,基板支撐件設置在該體積中及具有基板支撐表面,氣體注射器設置在側壁,及能量源耦接至頂部或底部。氣體注射器包括設置在第一高度的第一複數個氣體出口、設置在比第一高度低的第二高度之第二複數個氣體出口及設置在比第二高度低的第三高度之第三複數個氣體出口,其中第一複數個氣體出口中的一或多個以相對於基板支撐表面的第一角度定向,其中第二複數個氣體出口中的一或多個以相對於基板支撐表面的第二角度定向,及其中第三複數個氣體出口中的一或多個以相對於基板支撐表面的第三角度定向。
在另一個實施例中,處理腔室包括頂部、底部與側壁、基板支撐件、氣體注射器及能量源,該頂部、底部及側壁耦接在一起以在其中界定一體積,基板支撐件設置在該體積中,基板支撐件具有基板處理表面,氣體注射器設置在側壁,及能量源耦接至頂部或底部。氣體注射器包括設置在第一高度的第一複數個氣體出口、設置在比第一高度低的第二高度之第二複數個氣體出口及設置在比第二高度低的第三高度之第三複數個氣體出口,其中第一複數個氣體出口中的一或多個以相對於基板處理表面的第一角度向下定向,其中第二複數個氣體出口中的一或多個以相對於基板處理表面的第二角度向下定向,及其中第三複數個氣體出口中的一或多個以相對於基板支撐表面的第三角度定向。
在又一個實施例中,處理腔室包括頂部、底部與側壁、基板支撐件、氣體注射器及能量源,該頂部、底部及側壁耦接在一起以在其中界定一體積,基板支撐件設置在該體積中,基板支撐件具有基板處理表面,氣體注射器設置在側壁,及能量源耦接至頂部或底部。氣體注射器包括設置在第一高度的第一複數個氣體出口、設置在比第一高度低的第二高度之第二複數個氣體出口及設置在比第二高度低的第三高度之第三複數個氣體出口,其中第一複數個氣體出口中的一或多個以相對於基板處理表面的第一角度向上定向,及其中第一複數個氣體出口中的一或多個以相對於基板處理表面的第一角度向下定向,其中第二複數個氣體出口中的一或多個以相對於基板處理表面的第二角度向上定向,及其中第二複數個氣體出口中的一或多個以相對於基板處理表面的第二角度向下定向,其中第三複數個氣體出口中的一或多個以相對於基板處理表面的第三角度向上定向,及其中第三複數個氣體出口中的一或多個以相對於基板處理表面的第三角度向下定向。
本發明揭露的實施一般係關於可交換的側氣體注射器,其具有多個層(level)的氣體出口以分離具有不同裂解溫度的兩個或更多前驅物氣體。在不同層的氣體出口以不同角度定向,以允許當不同的前驅物氣體注入到處理腔室時,不同的前驅物氣體具有實質相同的熱裂解速率。可以調整不同高度的一或多個氣體出口之定向以將前驅物氣體的方向流流向基板的中心、靠近基板的周邊或依任何所需位置空間分佈於基板上以調整或改善所沉積的層中的組成均勻性或促進不同前驅物氣體間所需的流動交互作用。本發明揭露的細節和各種實施討論於下。
示例性腔室硬體
圖1A是可用於實施本發明揭露中討論的沉積處理的各式實施例之示範處理腔室100的概要側視截面圖。腔室100可用於施行化學氣相沉積,如磊晶沉積處理,即便腔室100可用於蝕刻或其他處理。腔室100包括由處理抵抗性材料(如鋁或不銹鋼)製成的殼體結構102。殼體結構102包圍處理腔室100的各種功能元件,如石英腔室104,石英腔室104包括上部腔室106和下部腔室108,處理空間110包含於石英腔室104中。由陶瓷材料或以矽材料(如碳化矽)塗層的石墨材料製成的基板支撐件112適用於接收石英腔室104內的基板114。基板支撐件112包括升舉機構172以及耦接至基板支撐組件164的旋轉機構174。升舉機構172可以用於將基板支撐件112沿著中心軸「A」移動。旋轉機構174可以用於將基板支撐件112繞中心軸「A」旋轉。來自前驅物反應材料的反應性物質被施加於基板114的處理表面116,且副產物可從處理表面116隨後被去除。
能量源提供基板114與(或)處理空間110的加熱,能量源可以是輻射源或熱源。輻射源可包括UV、IR和可見光頻率燈、雷射及LED,或以上各者之任意組合。熱源可以是雷射、LED和燈絲燈泡或其組合。在圖1A中所示的一個實施例中,能量源是使用燈的輻射源,如上部燈模組118A和(或)下部燈模組118B。在一個實例中,上部燈模組118A和下部燈模組118B是紅外線燈。來自燈模組118A和118B的輻射移動穿過上部腔室106的上部石英窗120,並通過下部腔室108的下部石英窗122。如果需要的話,用於上部腔室106的冷卻氣體通過入口124進入並通過出口126離開。
反應性物質藉由氣體分配組件128而提供至石英腔室104,及處理副產物藉由排氣組件130而自處理空間110移除,排氣組件130通常與真空源(未示出)連通。氣體分配組件128的各種實施例將在下面參照圖3A-3B至圖7A-7B有更詳細的討論。用於腔室100的前驅物反應材料(及稀釋劑)、淨化和排出氣體(vent gas)可通過氣體分配組件128進入及通過排氣組件130離開。處理腔室100包括多個襯墊132A-132H(僅襯墊132A-132G示於圖1A)。襯墊132A-132H將處理空間110自圍繞處理空間110的金屬壁134屏蔽。在一個實施例中,襯墊132A-132H包括一處理套組,該處理套組涵蓋所有可能與處理空間110連通或以其他方式暴露於處理空間110的金屬元件。
下襯墊132A設置於下部腔室108中。上襯墊132B至少部分設置於下部腔室108中且鄰近下襯墊132A。排氣插入襯墊組件132C鄰近上襯墊132B設置。在圖1A中,排氣插入襯墊132D鄰近排氣插入襯墊組件132C設置,且可取代上襯墊132B的部分以利於安裝。所示注射器襯墊132E在處理空間110相對於排氣插入襯墊組件132C和排氣襯墊132D之一側上。注射器襯墊132E經配置為歧管,以提供一或多個流體(如氣體或氣體的電漿)給處理空間110。該一或多個流體藉由注射插入襯墊組件132F而提供至注射器襯墊132E。擋板襯墊132G與注射插入襯墊組件132F耦接。擋板襯墊132G耦接至第一氣源135A和第二氣源135B,並提供氣體給注射插入襯墊組件132F及提供氣體給形成在注射器襯墊132E中的氣體出口136A和136B。
在一個實施例中,一或多個氣體從第一氣源135A、第二氣源135B及第三氣源135C通過擋板襯墊132G、注射插入襯墊組件132F以及通過在注射器襯墊132E中形成的一或多個氣體出口136A和136B而被提供至處理空間110。在注射器襯墊132E中形成的一或多個氣體出口136A和136B耦接至配置用於傾斜(angled)/層流路徑133A或133B之出口。如下面更詳細的討論,一或多個氣體出口136A相對於軸線A'呈不同角度以用於調整跨基板的薄膜均勻性,軸線A'平行於基板表面。氣體出口136A和136B經配置以提供具有不同參數(如速度、密度或組合物)的單個或多個氣流。
在使用多個氣體出口136A和136B的一個實施例中,氣體出口136A和136B可沿著氣體分配組件128周圍的部分以實質線性排列的方式分佈以提供足夠寬的氣流以實質覆蓋基板的直徑。例如,每個氣體出口136A和136B可盡可能以至少一個線性群組方式排列以提供大致對應於基板直徑的氣流。從氣體出口136A出來的氣體沿著流動路徑133B流動並與氣體出口136B出來的氣體混合,流動路徑133B相對於軸A'(實質垂直於腔室100的縱軸A'')大致成一角度。氣體或氣體混合物沿跨基板整個表面的流動路徑133A、133B流動並沿排氣流動路徑133C流動進入排氣襯墊132D中的氣室137。氣室137耦接至排氣或真空泵(未示出)。在一個實施例中,氣室137耦接至歧管139,歧管139將排氣流動路徑133C引導於實質平行於縱軸A'的方向上。至少注射插入襯墊組件132F可穿過注射帽129設置且由注射帽129部分支撐。
圖1B是圖1A的腔室旋轉90度的概要側視截面圖。為簡明起見,於圖1A中所述的腔室100中的所有類似組件將不會被描述。在圖1B中,所示狹縫閥襯墊132H設置穿過腔室100的金屬壁134。另外,在圖1B所示的旋轉視圖中,所示上襯墊132B鄰近下襯墊132A,而不是圖1A中所示的鄰近注射器襯墊132E。在圖1B所示的旋轉視圖中,所示上襯墊132B鄰近相對於狹縫閥襯墊132H的腔室之一側上的下襯墊132A,而不是鄰近圖1A中所示的排氣襯墊132D。在圖1B所示的旋轉視圖中,上襯墊132B覆蓋上部腔室106的金屬壁134。上襯墊132B亦包括向內延伸的肩部138。向內延伸的肩部138形成支撐環形預熱環140的唇部,該唇部限制上部腔室106中的前驅物氣體。
圖2是包含圖1A和1B所示的一或多個襯墊132A-132H之氣體處理套組200的一個實施例之等距視圖。襯墊132A-132H為模組化且適於被單獨或一起被替換。例如,一或多個襯墊132A-132H可用適於不同處理的其他襯墊替換,而不更換襯墊132A-132H中的其他襯墊。因此,襯墊132A-132H便於為不同處理配置腔室100而無需更換所有的襯墊132A-132H。處理套組200包括下襯墊132A和上襯墊132B。下襯墊132A和上襯墊132B皆包括大致圓柱形的外直徑201,其經調整尺寸以容納於圖1A和1B的腔室100內。襯墊132A-132H的各者可經配置而由重力和(或)聯鎖裝置(如凸部及形成於襯墊132A-132H中的部分襯墊中或形成於襯墊132A-132H中的部分襯墊上之匹配的凹槽)支撐於腔室內。下襯墊132A和上襯墊132B的內表面形成處理空間110的部分。上襯墊132B包括切口部分202A和202B,切口部分202A和202B經調整尺寸以接收排氣襯墊132D和注射器襯墊132E,其所示於圖1A的截面圖中。切口部分202A和202B的各者界定鄰近向內延伸的肩部138之上襯墊132B的凹陷區域204。
在一個實施例中,每個注射插入襯墊組件132F和排氣插入襯墊組件132C可包括兩個部分。注射插入襯墊組件132F包括第一部分206A和第二部分206B,第一部分206A和第二部分206B由擋板襯墊132G在一側耦接。同樣地,排氣插入襯墊組件132C包括第一部分208A和第二部分208B。注射插入襯墊組件132F的部分206A和206B之各者接收來自第一氣源135A、第二氣源135B和第三氣源135C而通過擋板襯墊132G之氣體。可考慮更多或更少的氣源。
氣體流過注射插入襯墊組件132F並佈線通過氣體分配歧管襯墊(未示出)到注射器襯墊132E中的第一出口210A與複數個第二出口210B中的一或多個。在一個示範性實施例中,來自第一氣源135A的第一前驅物氣體被輸送到第一組第一出口210A,來自第二氣源135B的第二前驅物氣體被輸送到第二組第一出口210B,以及來自第三氣源135C的前驅物氣體被輸送到第二出口210B中的一或多個。在一些實施例中,如上所述,第一出口210A是在相對於第二出口210B的一角度處。在一些實施例中,如將在下面參照圖3A和3B有更詳盡之討論,第一組第一氣體出口210A可成不同於第二組第一出口210A之角度以控制前驅物氣體反應的熱裂解或分解速率,且因此而控制整個基板上的薄膜均勻性。
注射器襯墊可安裝於在上襯墊132B中形成的切口且可與注射插入襯墊組件132F耦接以利於氣體從注射插入襯墊組件132F流到注射器襯墊132E。排氣襯墊132D可安裝於排氣插入襯墊組件132C之上,排氣插入襯墊組件132C係在注射器襯墊132E對面的上襯墊132B中形成的切口內。在各種實施例中,注射器襯墊132E可用其他注射器襯墊替換,其經配置而用於如下參照圖4A-4B至圖7A-7B討論之各種不同的氣體流動方案(gas flow schemes)。同樣地,排氣插入襯墊組件132C可用於其他排氣插入襯墊組件替換,其經配置以用於不同的排氣流動方案。 示範性具有斜角注射的兩層(two level)氣體出口
圖3A繪示根據本發明揭露的一個實施例的注射器襯墊332E的局部透視截面圖。注射器襯墊332E可代替圖1A、1B和圖2所示的注射器襯墊132E使用。類似於圖2所示的注射器襯墊132E,注射器襯墊332E具有圓柱形內直徑,該圓柱形內直徑經調整尺寸以符合圖1A中所示的上襯墊132B中形成的切口。注射器襯墊332E一般係具有複數個第一氣體管道(gas channel)304及複數個第二氣體管道(gas channel)306的部分圓柱形主體302,複數個第一氣體管道304以流體連接至第一氣體出口305,複數個第二氣體管道306以流體連接至第二氣體出口308。第一和第二氣體出口305、308可根據任何方便的方式排列,以提供均勻的氣體暴露於基板支撐件112上的基板。 在圖3A的實施例中,第一氣體出口305和第二氣體管道306依兩層或兩列排列,其中第一氣體出口305設置在上層處而第二氣體管道306在下層處。第一氣體出口305和第二氣體管道306繞周邊均勻地分隔,且可互相垂直對準或可不互相垂直對準。第一氣體管道304和第二氣體管道306各自與一或多個氣源以流體連通,如第一氣源135A、第二氣源135B及第三氣源135C。
主體302可以可拆卸式地與上襯墊132B組合且可依上面參照圖2所述的方式與注射插入襯墊組件132F耦接,以利於氣體從注射插入襯墊組件132F流至注射器襯墊332E。在一個實施例中,注射插入襯墊組件132F包括一或多個氣體通道(gas passages)331A和331B,氣體通道331A和331B分別將前驅物氣體輸送到注射器襯墊332E的第一氣體出口305和第二氣體出口308。第一和第二氣體通到331A、331B的各者以流體連接到相應的第一氣體管道304和第二氣體管道306。第一氣體管道304可具有一縱軸,其實質正交於第一氣體通道331A的縱軸。來自第一氣源135A、第二氣源135B和第三氣源135C的前驅物氣體可在直到氣體離開第一氣體出口305和第二氣體出口308之前保持分離。
在一個示例性實施例中,第一前驅物氣體從第一氣源135A通過第一組複數個氣體通道331A流入第一組複數個第一氣體管道304,第一組複數個第一氣體管道304的各者以流體連接至對應的第一氣體出口305。第二前驅物氣體從第二氣源135B通過第二組複數個氣體通道331A流入第二組複數個第一氣體管道304,第二組複數個第一氣體管道304的各者以流體連接至對應的第一氣體出口305。第三前驅物氣體從第三氣源135C通過氣體通道331B流入第二氣體管道306,第二氣體管道306的各者以流體連接至對應的第二氣體出口308。第一、第二和第三前驅物氣體可以是如第三族(group III)或第五族(group V)前驅物氣體,或根據特定處理所需之合適的淨化氣體(如任何處理兼容的惰性氣體)。在一個示範實施例中,第一和第二前驅物氣體可包括Ga、In或Al(第一前驅物氣體可以是或可以不是與第二前驅物氣體相同),而第三前驅物氣體可包含P、As或Sb。如將在下面更詳細地討論的,注射器襯墊332E具有以不同熱分解或裂解溫度將第五族前驅物氣體從第三族前驅物氣體分離出來的能力,使得當前驅物氣體注入處理腔室時,不同的前驅物氣體具有實質相同的熱裂解速率。
在各種實施例中,注射器襯墊332E可具有約6至約22個第一氣體出口,例如約8至約16個第一氣體出口。第二氣體出口的數量可對應於第一氣體出口的數量。可根據基板的尺寸與(或)處理空間110中氣體的所需的流速和(或)擴散速率而考慮更多或更少的第一和第二氣體出口。
在各種實施例中,注射器襯墊332E是由對所選的能量波長透明或透射的材料形成,且可由耐熱和耐化學腐蝕的材料製成,如石英(透明石英或不透明石英)、藍寶石、碳化矽或用碳化矽塗層的石墨或以上各者之組合。如果需要的話,注射器襯墊332E的圓柱形內表面可用反射性、耐火性或不透光材料塗層,如金或介電反射體。
在圖3B所示的實施例中,各第一氣體出口305以相對於水平面「P」的一角度「θ」配置,水平面「P」一般平行於基板支撐件112的基板支撐表面、基板114或基板的處理表面之縱向方向,使得當第一前驅物氣體存在於第一氣體出口305之後,第一前驅物氣體以一角度沿所示第一方向307流動。第一氣體出口305的縱向方向與水平面「P」之間的角度「θ」可小於約90°,例如小於45°,如約5°至約30°,例如大約15°。角度「θ」可經調整使得氣體流動往基板(或基板支撐件)的中心、靠近基板(或基板支撐件)的周邊或空間分佈於基板(或基板支撐件)上任何所需的位置。在某些情況下,第一氣體出口305的部分之角度「θ」可經配置而向上指向處理腔室的上部圓頂以限制或防止不必要的沉積或其他反應發生,而其他的第一氣體出口305指向基板。第一氣體出口305可相對於第一氣體管道304的縱向方向以約25°至約85°的一角度「α」配置,例如約45°至約75°。
在一個實施例中,第一氣體出口305的部分可係在不同於其他第一氣體出口305的一角度處。例如,第一組第一氣體出口305可係在約5°至約20°的角度「θ」處,而第二組第一氣體出口305可係在約20°至約35°的角度「θ」。亦可根據特定處理所需,第一組第一氣體出口305將不同於由第二組第一氣體出口305流出的前驅物氣體之前驅物氣體流出。在此情況下,第一組第一氣體出口305(是在約5°至約20°的較小角度「θ」)可用於流動具有較低熱裂解溫度的前驅物氣體(如第三族前驅物氣體,如Ga),而第二組第一氣體出口305(是在約20°至約35°的較大的角度「θ」)可用於流動具有較高熱裂解溫度的前驅物氣體(如第三族前驅物氣體In)。因為處理腔室的溫度在遠離基板表面的方向上逐漸減小,由於第一氣體出口305角度定向的差異,所以當前驅物氣體注入基板時,具有較低熱裂解溫度的前驅物氣體與具有較高熱裂解溫度的前驅物氣體將具有實質匹配的裂解速率。第一和第二前驅物氣體接著與第三前驅物氣體(如第五族前驅物氣體)混合,第三前驅物氣體自第二氣體出口308沿第二方向309流動。因此,獲得跨基板表面的均勻組成分佈。
如果第一氣體出口305經配置具有兩個不同的注射角度(例如注射角度A和注射角度B),指向注射角A的第一氣體出口305可與指向注射角度B的其他第一氣體出口305交替排列。亦可以考慮,每個第一和第二氣體出口305、308可經配置以提供區域化注射能力。例如,第一氣體出口305可排列為兩個或更多個群組(如三個群組),其中每個群組具有一預定的注射角度,其不同於指向基板不同區域的其他注射角度,而第二氣體出口308可排列為兩個或更多個群組(如兩個群組),其中每個群組具有一預定的注射角度,其不同於指向基板不同區域的其他注射角度,反之亦然。或者或更甚者,每個群組可經配置以不同速率提供相同的前驅物氣體,及(或)以相同或不同的速率提供不同的氣體。
在各種實施例中,可根據注射角度和(或)第一氣體出口305和第二氣體出口308之間的高度差而調整第一氣體出口305的高度(和任選的第二氣體出口308的高度),使得當前驅物氣體注入基板時,前驅物氣體的裂解速率實質匹配。各高度可在處理基板之前進行設置,而基板支撐件可在處理之前或處理期間垂直移動以動態調整一或多個第一氣體出口305相對於基板的高度。
可以預期的是,本發明實施例中的流速和(或)持續時間可針對每個第一和第二氣體出口305、308獨立控制。例如,第一氣體出口305的部分或全部可在處理期間閒置或脈動(pulsed),以達到與自第二氣體出口308流出的第二前驅物氣體所需的交互作用。或者或更甚者,相同的前驅物氣體可依不同的流速提供至基板或基板的不同區域。 示範性具有斜角注射的三層(three level)氣體出口
如上所述,處理腔室的溫度在遠離基板表面的方向上逐漸下降。因為不同的前驅物具有不同的熱分解或熱裂解溫度且熱裂解反應的速率決定基板上材料層的化合物組成和物理/電性質,當前驅物氣體注入處理腔室時,這將有利於不同的前驅物氣體以相同的速率熱裂解,以確保整個基板表面上的沉積層之組成均勻性。為了提高不同前驅物氣體之間的匹配裂解分佈,圖4至圖7A-7B繪示了各種注射器襯墊設計,以所期望的方式引導氣體流動來調整或改善沉積層中的組成均勻性,或促進來自氣體出口的不同前驅物氣體之間所需的流動交互作用。具體來說,這些設計包括具有各式區域控制流動方案的多層側氣體注射,尤其利於在處理過程中使用具有不同熱分解或熱裂解溫度的三個或更多個前驅物氣體。
圖4A是根據本發明揭露的一個實施例之注射器襯墊432E的部分之概要截面圖。注射器襯墊432E可替代圖1A、1B和圖2所示的注射器襯墊132E使用。注射器襯墊432E類似於注射器襯墊332E,在氣體出口的層級及其配置上有些微差別。注射器襯墊432E一般係具有圓柱形內直徑的部分圓柱形主體402,該圓柱形內直徑經調整尺寸以符合上襯墊132B(圖2)形成的切口。注射器襯墊432E具有複數個第一氣體出口405、複數個第二氣體出口408和複數個第三氣體出口411。在可以與本說明書所述的其他實施例結合之一個實施例中,第一、第二和第三氣體出口405、408、411繞注射器襯墊432E的周邊且(或)沿注射器襯墊432E的縱軸分佈。
雖然未示出,但可以設想複數個第一、第二及第三氣體出口405、408、411中的各者係與參照圖3A所述相似的方式與相應的氣源以流體連通。例如,一旦注射器襯墊432E安裝於在上襯墊132B形成的切口內且與注射插入襯墊組件132F(圖2)耦接時,複數個第一、第二及第三氣體出口405、408、411中的各者可以經由對應的氣體通道與氣體管道(如圖3A的第一和第二氣體通道331A、331B及對應的第一氣體管道304和第二氣體管道306)而與圖3的第一氣源135A、第二氣源135B及第三氣源135C以流體連通。第一和第二氣體通道331A、331B中的一或多個及相應的第一和第二氣體管道304、306可用於輸送來自第三氣源135C的第三氣體。或者,第三氣體通道中的一或多個和相應的第三氣體管道可用於將前驅物氣體從第三氣源135C輸送至第三氣體出口405。
在可與本說明書所述的其他實施例結合之圖4A的實施例中,注射器襯墊432E係以三層排列。也就是說,第一氣體出口405設置在第一高度「H1 」,第二氣體出口408設置在第二高度「H2 」,及第三氣體出口411設置在第三高度「H3 」,其中第一高度「H1 」大於第二高度「H2 」,且第二高度「H2 」大於第三高度「H3 」。本說明書所述高度可指氣體出口的開口與基板114的處理表面116的高度之間的距離。第一、第二與第三高度可為可調整的,例如藉由在處理腔室中處理基板之前選擇具有氣體出口的所需注射器襯墊設置在不同高度或藉由將基板支撐件相對於基板的處理表面垂直移動來調整第一、第二與第三高度。
在各種實施例中,注射器襯墊432E由對所選能量波長透明或透射的材料形成,且可由耐熱和耐化學腐蝕的材料製成,如石英(透明石英或不透明石英)、藍寶石、碳化矽或者用碳化矽塗層的石墨或以上各者之組合。如果需要的話,注射器襯墊432E的圓柱形內表面可用反射性、耐火性或不透光材料塗層,如金或介電反射體。
根據應用所需,第一、第二和第三氣體出口405、408、411可經配置以流動任何合適的前驅物氣體。例如,在第三高度的第三氣體出口411可流動含第五族的前驅物氣體以及在第一和第二高度的第一和第二氣體出口405、408可各自流動含第三族的前驅物氣體(其中第一和第二氣體出口405、408可以或可以不流動相同的前驅物氣體)。在一個示例性實施例中,一或多個第一氣體出口405經配置以流動具有較低熱分解或熱解溫度的含有第三族之前驅物氣體,而一或多個第二氣體出口408經配置以流動具有較高熱分解或熱解溫度的含有第三族之前驅物氣體。可以預期的是前驅物氣體可係除了三五族(III-V)之外的任何材料系統,如二六族(II-VI)材料系統。根據特定的處理需求,亦可使用任何所需的摻雜氣體。
在需要選擇性磊晶成長處理的實施例中,在第三高度的一或多個第三氣體出口411可流動第一處理氣體,而在第一和第二高度的第一和第二氣體出口405、408可各自流動第二處理氣體。第一處理氣體可包括一或多個處理氣體。例如,第一處理氣體可包括一或多個沉積氣體,且可選擇性地,摻雜劑前驅物氣體、蝕刻氣體或承載氣體中的一或多個。沉積氣體可包括如矽前驅物(如矽烷、鹵代矽烷或以上之組合)。矽烷可包括矽烷(SiH4)和更高級的矽烷(具有經驗方程式SixH(2x+2)),如乙矽烷(Si2H6)、三矽烷(Si3H8)及四矽烷(Si4H10)。鹵代矽烷可包括一氯甲矽烷(MCS)、二氯矽烷(DCS)、三氯矽烷(TCS)、六氯矽烷(HCDS)、八氯矽烷(OCTS)、四氯化矽 (STC)或以上各者之組合。根據沉積的磊晶層所需的導電特性,摻雜劑前驅物氣體可包括如磷、硼、砷、鎵、或鋁。蝕刻劑氣體可包括如甲烷(CH3)或任何含鹵素分子的氣體(如氯化氫(HCl)、氯(Cl2)或氟化氫(HF))。承載氣體可包括如氮(N2)、氬(Ar)、氦(He)或氫(H2)。
第二處理氣體可與第一處理氣體相同或不同。第二處理氣體可包括以上對於第一處理氣體討論的那些氣體的任一者或所有組合(如沉積氣體、蝕刻劑氣體、摻雜劑前驅物氣體和承載氣體的組合)。第二處理氣體可與第一處理氣體交替地、週期性地、部分同時地或同時地流動。在一些實施例中,第二處理氣體可與第一處理氣體在同一時間流動。在一些實施例中,在第一和第二高度的第一和第二氣體出口405、408可流動彼此不同的第二處理氣體。在一些實施例中,在第二和第三高度的氣體注射器405、408可經配置以不同速率提供相同的前驅物氣體,及(或)以相同或不同的速率提供不同的氣體。
本發明揭露的實施例所述的概念亦適用在用於形成其他材料的磊晶處理。一些實例可包括未摻雜的矽(SiGe/SiGe:B、Si:CP、純Ge、GeSn、GeP、GeB或GeSnB等),其可用於邏輯和記憶體應用中。在這種情況下,可能的矽前驅物可與以上所述的那些相同,而可能的鍺前驅物可包括但不限於GeH4和Ge2 H6 。可使用的鹵化鍺之實例可包括但不限於GeCl4 、GeHCl3 、Ge2 Cl6 、Ge3 Cl8 等。
一或多個氣體歧管可用於第一、第二和第三氣體出口中的一或多個,而能夠有以二元、三元及四元化合物的形式沉積三五族或二六族半導體合金之能力。圖4B繪示可用於實施圖4A的實施例或本發明揭露的其他實施例之示例性氣體歧管系統。在圖4B的實施例中,第一氣體出口405、第二氣體出口408及第三氣體出口411分別與第一氣源135A、第二氣源135B及第三氣源135C流體連通。來自第一氣源135A的第一前驅物氣體(如含第三族的前驅物氣體)可藉由控制第一閥440和(或)第二閥442通過第一歧管430和(或)第二歧管432而輸送到第一氣體出口405和(或)第二氣體出口408。類似地,來自第二氣源135B的第二前驅物氣體(如與第一前驅物氣體不同之含第三族的前驅物氣體)可藉由控制第三閥444和(或)第四閥446通過第一歧管430和(或)第二歧管432而輸送到第一氣體出口405和(或)第二氣體出口408。來自第三氣源135C的第三前驅物氣體(如含第五族的前驅物氣體)可通過第三歧管434而輸送到第三氣體出口411。可考慮其他配置。例如,可以交換第一、第二和第三歧管和(或)氣源。
根據前驅物氣體的熱性質,可藉由開啟或關閉閥440-446而使得第一或第二前驅物氣體流到第一或第二歧管430、432。例如,如果第一前驅物氣體具有比第二前驅物氣體低的熱裂解溫度,則可藉由打開閥440和關閉閥442使得第一前驅物氣體流至第一歧管430而將第一前驅物氣體輸送至第一氣體出口405。可藉由打開閥444和關閉閥446而使得具有比第一前驅物氣體的較高熱裂解溫度的第二前驅物氣體流至第二歧管432而將第二前驅物氣體輸送到第二氣體出口408。可藉由淨化氣體(如氫氣)將第一或第二前驅物氣體推到第一和第二氣體出口405、408中的任一者。類似地,可藉由淨化氣體將第三前驅物氣體推到第三氣體出口411。如果第一前驅物氣體和第二前驅物氣體具有相同或相似的熱裂解溫度,則根據處理過程所需,此兩個前驅物氣體可流至第一歧管430或第二歧管432。
在一個實施例中,第一流量比率控制器(FRC)448設置在第一歧管430和第一氣體出口405之間,第二FRC 450設置在第二歧管432和第二氣體出口408之間,以及第三FRC 452設置在第三歧管434和第三氣體出口411之間。流量比率控制器的每一個都可經配置而將氣體流分成注射器襯墊的內部和外部區域,由此使得整個基板表面上的氣體分佈更均勻。
回到圖4A,第一、第二和第三氣體出口405、408和411中的一或多個可依彼此相對的任何預定角度定向,或相對於該基板114的處理表面116的任何預定角度定向以任何所需的方向引導氣體,以產生定向氣流。在圖4A的實施例中,在第三高度的第三氣體出口411經設置而平行於基板114的處理表面116,使得來自第三氣體出口411的前驅物氣體在方向410(實質平行於基板114的處理表面)上流動且橫跨處理表面116而朝向排氣。在一些實施例中,在第三高度的一或多個第三氣體出口411可依相對於基板114的處理表面116之角度向下或向上定向。在這樣的情況下,第三氣體出口411的縱軸可以是在相對於基板114的處理表面116約5°至約30°的一角度處。由於第三氣體出口411設置在更靠近基板處理表面的位置處(且因此暴露於較高的處理溫度),第三氣體出口411可用於流動具有較高的熱分解或熱裂解溫度的前驅物氣體。
在可與本說明書所述的其他實施例合併之一個實施例中,在第一高度的第一氣體出口405中的一或多個或全部可相對於水平面「P」的一角度定向,水平面「P」平行於基板114的處理表面116。在這樣的情況下,在第一高度的第一氣體出口405的縱軸在相對於水平面「P」約1°至約35°的一角度處,如約5°至約25°。所選擇的角度應該使來自第一氣體出口405在方向414上流動的前驅物氣體與來自第二氣體出口408在方向412上流動的前驅物氣體以及來自第三氣體出口411在方向410上流動的前驅物氣體之間能夠有所需的氣流交互作用。
在可以與本說明書所述的其他實施例合併之一個實施例中,在第二高度的第二氣體出口408中的一或多個或全部係相對於水平面「P」的一角度定向,水平面「P」平行於基板114的處理表面116。在這樣的情況下,在第二高度的第二氣體出口408的縱軸在相對於水平面「P」約1°至約35°的一角度處,如約5°至約25°。所選擇的角度應該使來自第二氣體出口408在方向412上流動的前驅物氣體與來自第一氣體出口405在方向405上流動的前驅物氣體以及來自第三氣體出口411在方向410上流動的前驅物氣體之間能夠有所需的氣流交互作用。
在可以與本說明書所述的其他實施例合併之一個實施例中,在第一高度的第一氣體出口中的一或多個之縱軸係在與第二高度的第二氣體出口中的一或多個或全部之縱軸不同的一角度處。圖5A是注射器襯墊532E的側表面之部分截面圖,其顯示了根據本發明揭露的一個實施例之第一氣體出口505朝向注射器襯墊532E的頂邊緣506(即遠離基板處理表面的方向)向上定向,而其他第一氣體出口505與第二氣體出口508向下定向。在圖5的一個實施例中,在第一高度的第一氣體出口505A、505B、505C向上傾斜,例如,朝向圖1所示的處理腔室100的上部石英窗120定向。此配置可允許第一氣體出口505A、505B、505C往上部石英窗120提供前驅物氣體(如淨化氣體)以用於清潔目的,而其餘的第一氣體出口505與第二氣體出口508相對於基板(未示出)的處理表面的一角度向下定向,以提供與來自第三氣體出口511的前驅物氣體相互作用之前驅物氣體。在圖5B所示的一個示範性實施例中,第一氣體出口的縱軸505A、505B、505C相對於水平面「P」約1°至約45°(如15°至約30°)的一角度「A」向上定向,水平面「P」平行於基板的處理表面。其餘的第一氣體出口505(未示出)之縱軸和一或多個第二氣體出口508的縱軸以相對於基板的水平面「P」約1°至約45°(如約5°至約30°)的一角度「B」向下定向。一或多個第三氣體出口511的縱軸平行於基板的水平面「P」定向。
在可以與本說明書所述的其他實施例合併之一個實施例中,在第一高度的一或多個第一氣體出口505之縱軸係在與第二高度的一或多個第二氣體出口508之縱軸不同的一角度處。例如,一或多個第一氣體出口505的縱軸可在相對於一或多個第二氣體出口508的縱軸之一角度「C」處。此角度「C」為約1°至約35°之間,如約5°至約15°。在該實施例的一些實例中,在第一高度的一或多個第一氣體出口505的縱軸係在相對於在第二高度的一或多個第二氣體出口508的縱軸之一角度處,而在第一高度的一或多個第一氣體出口505之縱軸經排列而平行於在第二高度的一或多個第二氣體出口508的縱軸。
在可以與本說明書所述的其他實施例合併之一個實施例中,第一氣體出口505、第二氣體出口508及第三氣體出口511彼此垂直對齊(如彼此堆疊),如圖5A所示。或者,第一氣體出口505、第二氣體出口508或第三氣體出口511彼此垂直偏置。例如,第二氣體出口508可分別與第一氣體出口505和第三氣體出口511錯開半個節距(half-pitch),如圖5C所示。
在可以與本說明書所述的其他實施例合併之一個實施例中,第一氣體出口505、第二氣體出口508及第三氣體出口511之各者經配置而提供複數個獨立區域,以用於將前驅物氣體往基板的處理表面或處理腔室內所希望的區域流動。在一個實例中,第一氣體出口505經配置而提供兩個或更多個獨立區域,例如三個獨立區域。第二氣體出口508經配置而提供兩個或更多個獨立區域,例如三個獨立區域。第三氣體出口511經配置而提供兩個或更多個獨立區域,例如三個獨立區域。在各獨立區域中的第一、第二和第三氣體出口505、508、511中一或多個或全部係以如本發明實施例所述之方式相對於基板的水平面「P」之任何期望的角度定向。在第一高度的各獨立區域中的氣體出口可與在第二或第三高度的各獨立區域中的氣體出口以相同或不同的方式流動前驅物氣體的定向流。或者或甚者,第一氣體出口505、第二氣體出口508及第三氣體出口511之各者可經配置以提供前驅物氣體方位角和徑向分佈之控制。例如,每個獨立區域可與緊鄰的獨立區域分開約1°至約25°的方位角,如約5°至約10°。
或者或甚者,在各獨立區域中的第一、第二和第三氣體出口505、508、511中一或多個或全部可經定向,而使得前驅物氣體的定向氣流提供於基板(或基板支撐件)的中心、靠近基板(或基板支撐件)、基板(或基板支撐件)的中心和周邊之間任何期望的位置或處理腔室內任何期望的位置,如上部石英窗120,這取決於特定應用需求。
根據特定實施之需求,可以考慮本發明所述的任何數量的氣體出口開口及任何數量列的氣體出口可提供於注射器襯墊532E中。亦可以預期,注射器襯墊532E可具有沿注射器襯墊532E周邊之定值密度或變化密度的氣體出口505(包括氣體出口505A、505B、505C)、508與510。根據氣體出口505、508和510的總數量氣體出口505(包括氣體出口505A、505B、505C)、508和510經調整尺寸以提供所需的氣流。在示範性實施例中,氣體出口505、508和510具有約2mm至約2cm之直徑,如約5mm至約1cm之間,例如約7mm。
此外,雖然所示與所討論的為三層氣體注入,在本說明書所述的設計特徵可以經組合和(或)加乘以提供多個層的側氣體注射,例如四層、五層或X層(其中X可以是6-50,例如10至30,或根據所需的應用之任何其他數量),從而提供具有本說明書所述的各式區域控制方案之多個層的側氣體注射,這特別有利於當具有不同熱分解或熱裂解溫度的三個或更多個前驅物氣體使用於處理過程中時。
在可以與本說明書所述的其他實施例合併之一個實施例中,注射器襯墊可具有第一氣體出口、第二氣體出口和(或)第三氣體出口中的任何一個或多個,其經配置以形成相對於注射器襯墊半徑之一角度。圖6繪示根據本發明揭露的一個實施例的注射器襯墊632E的頂部截面圖。注射器襯墊632E具有複數個第一氣體出口605,其形成相對於注射器襯墊632E的半徑604之約5°至約35°的一角度「D」。第一氣體出口605因此提供旋轉流氣體以方向614流入處理腔室100的處理空間110。根據所選擇的角度的「D」,旋轉流氣體可跨基板相切地流動、從基板上方輸送或以上之組合,以實現一或多個前驅物氣體對於基板的任何期望之空間配置,如朝向基板的中心、靠近基板(或基板支撐件)的周邊或在空間分佈於任何期望的位置中的基板(或基板支撐件)上。由第一氣體出口605提供的旋轉流氣體被傳至流入處理空間的其他前驅物氣體來調整或改善沉積層中的組成均勻性,或促進來自其他出口的不同前驅物氣體之間所需之相互作用。旋轉流或切向流氣體亦用於補充、取消或以其他方式與基板支撐件的轉動相互作用以改善在某些情況的下處理均勻性。雖然只圖示了第一氣體出口605,可以設想,一或多個第二氣體出口和(或)一或多個第三氣體出口被類似地配置以提供所需的氣體流動。亦可以預期,圖6的釣魚可以與圖3,圖4的角度可依任何期望的方式與圖3、4 與5A-5C的角度定向組合。
在本說明書揭露的各種實施例中,注射器襯墊形成為包括多個層或列的氣體出口之集成部分,或可以是多片堆疊或結合在一起。以圖4A作為例子,注射器襯墊432E形成為具有在部分圓柱形主體402中的三個層的氣體出口405、408、411之集成部分。在如圖7A中所示的可以與本說明書所述的其他實施例結合之替代實施例中,注射器襯墊732E由三個塊720、722、724形成。在這樣的情況下,具有複數個第一氣體出口705的第一塊720因重力靜置在具有複數個第二氣體出口708的第二塊722上,且具有複數個第二氣體出口708的第二塊722靜置在具有複數個第三氣體出口711的第三塊724上。塊720、722、724可用或可不用提供於第一介面732與第二介面734之間任何適合的緊固構件(means)堆疊在一起。或者,注射器襯墊732E可由兩個塊(其中第一與第二氣體出口705、708設置於第一塊中及第三氣體出口711設置於第二塊中)形成,其中,(可用或可不用提供於第一介面732之間任何適合的緊固構件)第一塊堆疊於第二塊之上,如圖7B所示。可以設想,根據特定實施之需求,可改變塊的數量和(或)各個塊中氣體出口的數量或列數。
由於每個塊是可互換的,且可經配置而包括如本發明所述的各種角度和(或)區域控制的配置,以根據特定應用需求提供一或多個定制的氣流,所以具有在多件(pieces)中形成的注射器襯墊732E可在某些情況下是有優勢的。塊720、722、724可由任何耐熱和耐化學腐蝕的材料製成,如石英(透明石英或不透明石英)、藍寶石、碳化矽或以碳化矽塗層的石墨或以上各者之組合。在一些實施例中,每個塊可由彼此不同的材料形成,以進一步提高在不同高度的氣體出口之間的溫度差異。例如,塊720和722可由不透明石英製成,且塊724可由透明石英、碳化矽或以碳化矽塗層的石墨製成,或反之亦然,這取決於流過氣體出口的前驅物氣體之熱裂解溫度。在任何情況下,在注射器襯墊(或者以一集成部分或多個件形成)的氣體出口之溫度應為高,以保持來自重新沉積到氣體出口的開口之前驅物氣體流動,但不高到足以在進入處理腔室的處理空間之前裂解前驅物氣體。
本發明揭露的優點包括具有多個層的可互換側注射以將具有不同熱裂解溫度的兩個或更多個的前驅物氣體分離。在以不同角度定向不同層的氣體出口下,當不同的前驅物氣體注入處理腔室時,不同的前驅物氣體將具有實質相同的熱裂解速率。可以調整在不同高度的一或多個氣體出口之定向以將前驅物氣體的定向流流向基板的中心、靠近基板的周邊或空間上分佈在基板上任何期望的位置來調整或改善沉積層的組成均勻性或促進不同的前驅物氣體之間所需的流動相互作用。在上層某些氣體出口亦可以往上部石英窗向上定向以用於清潔之目的。
雖然前面係針對本發明的實施例,但在不背離本發明基本範圍下,可設計本發明的其他與進一步的實施例。
100‧‧‧處理腔室
102‧‧‧殼體結構
104‧‧‧石英腔室
106‧‧‧上部腔室
108‧‧‧下部腔室
110‧‧‧處理空間
112‧‧‧基板支撐件
114‧‧‧基板
116‧‧‧處理表面
118A‧‧‧上部燈模組
118B‧‧‧下部燈模組
120‧‧‧上部石英窗
122‧‧‧下部石英窗
124‧‧‧入口
126‧‧‧出口
128‧‧‧氣體分配組件
129‧‧‧注射帽
130‧‧‧排氣組件
132A‧‧‧上襯墊
132B‧‧‧下襯墊
132C‧‧‧排氣插入襯墊
132D‧‧‧排氣襯墊
132E‧‧‧注射器襯墊
132F‧‧‧注射插入襯墊組件
132G‧‧‧擋板組件
132H‧‧‧狹縫閥襯墊
133A‧‧‧流動路徑
133B‧‧‧流動路徑
133C‧‧‧排氣流動路徑
134‧‧‧金屬壁
135A‧‧‧第一氣源
135B‧‧‧第二氣源
135C‧‧‧第三氣源
136A‧‧‧氣體出口
137‧‧‧氣室
138‧‧‧肩部
139‧‧‧歧管
140‧‧‧環形預熱環
164‧‧‧基板支撐組件
172‧‧‧升舉機構
174‧‧‧旋轉機構
200‧‧‧處理套組
201‧‧‧圓柱形外直徑
202A‧‧‧切口部分
202B‧‧‧切口部分
203‧‧‧內表面
203‧‧‧凹陷區域
206A‧‧‧第一部分
206B‧‧‧第二部分
208A‧‧‧第一部分
208B‧‧‧第一部分
210A‧‧‧第一出口
210B‧‧‧第二出口
302‧‧‧主體
304‧‧‧第一氣體管道
305‧‧‧第一氣體出口
306‧‧‧第二氣體管道
307‧‧‧第一方向
308‧‧‧第二氣體出口
309‧‧‧第二方向
331A‧‧‧第一氣體通道
331B‧‧‧第二氣體通道
332E‧‧‧注入器襯墊
402‧‧‧部分圓柱形主體
405‧‧‧第一氣體出口
408‧‧‧第二氣體出口
410‧‧‧方向
411‧‧‧第三氣體出口
412‧‧‧方向
414‧‧‧方向
430‧‧‧第一歧管
432‧‧‧第二歧管
432E‧‧‧注入器襯墊
434‧‧‧第三歧管
440‧‧‧第一閥
442‧‧‧第二閥
444‧‧‧第三閥
446‧‧‧第四閥
450‧‧‧第二FRC
452‧‧‧第三FRC
505A‧‧‧氣體出口
505B‧‧‧氣體出口
505C‧‧‧氣體出口
505‧‧‧第一氣體出口
506‧‧‧頂邊緣
508‧‧‧第二氣體出口
511‧‧‧第三氣體出口
532E‧‧‧注入器襯墊
604‧‧‧半徑
605‧‧‧第一氣體出口
614‧‧‧方向
632E‧‧‧注入器襯墊
705‧‧‧第一氣體出口
708‧‧‧第二氣體出口
711‧‧‧第三氣體出口
720‧‧‧塊
722‧‧‧塊
724‧‧‧塊
732E‧‧‧注入器襯墊
732‧‧‧第一介面
734‧‧‧第二介面
736‧‧‧介面
本發明之實施例已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本發明示例性的實施例以作瞭解。然而,所附圖式只繪示了本發明的典型實施例,而由於本發明可允許其他等效之實施例,因此所附圖式並不會視為本發明範圍之限制。
圖1A是根據本發明揭露的一個實施例之示範處理腔室的概要側視截面圖。
圖1B是圖1A的腔室旋轉90度的概要側視截面圖。
圖2是包含圖1A和1B所示的一或多個襯墊之氣體處理套組的一個實施例之等距視圖。
圖3A繪示根據本發明揭露的一個實施例的注射器襯墊的局部透視截面圖。
圖3B繪示根據本發明揭露的一個實施例的圖3A之注射器襯墊的氣體出口之間的示範角度關係。
圖4A是根據本發明揭露的一個實施例之注射器襯墊的部分之概要截面圖。
圖4B繪示可用於實施圖4A的實施例之示例性氣體歧管系統。
圖5A是根據本發明揭露的一個實施例之注射器襯墊的側表面之部分截面圖。
圖5B繪示根據本發明揭露的一個實施例的圖5A之注射器襯墊的氣體出口之間的示範角度關係。
圖5C是根據本發明揭露的一個實施例之注射器襯墊的側表面之部分截面圖。
圖6繪示根據本發明揭露的一個實施例的注射器襯墊的頂部截面圖。
圖7A是根據本發明揭露的一個實施例之注射器襯墊的部分之概要截面圖。
圖7B是根據本發明揭露的一個實施例之注射器襯墊的部分之概要截面圖。
為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。為求清楚,圖式未依比例繪示且可能被簡化。可以預期的是,一個實施例的元件與特徵可有利地用於其他實施例中而無需贅述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無

Claims (18)

  1. 一種用於處理一基板的處理腔室,包括:一頂部、一底部與一側壁,該頂部、該底部與該側壁耦接在一起以在其中界定一體積;一基板支撐件,該基板支撐件設置於該體積中,該基板支撐件具有一基板支撐表面;一氣體注射器,該氣體注射器設置在該側壁,該氣體注射器包括:第一複數個氣體出口,該第一複數個氣體出口設置在一第一高度,其中該第一複數個氣體出口中的一或多個以相對於該基板支撐表面的一第一角度定向;第二複數個氣體出口,該第二複數個氣體出口設置在比該第一高度低的一第二高度,其中該第二複數個氣體出口中的一或多個以相對於該基板支撐表面的一第二角度定向;及第三複數個氣體出口,該第三複數個氣體出口設置在比該第二高度低的一第三高度,其中該第三複數個氣體出口中的一或多個以相對於該基板支撐表面的一第三角度定向;及一能量源,該能量源耦接至該頂部或該底部,其中該第一複數個氣體出口、該第二複數個氣體出口及(或)該第三複數個氣體出口形成相對於該氣體注射器的一半徑之一第四角度,且該第四角度為約5°至約35°。
  2. 如請求項1所述之處理腔室,其中該第一複數個氣體出口以約1°至約35°的該第一角度向上或向下定向,及該第二複數個氣體出口以約1°至約35°的該第二角度向上或向下定向。
  3. 如請求項1所述之處理腔室,其中該第一角度與該第二角度相同。
  4. 如請求項1所述之處理腔室,其中該第一角度與該第二角度不同。
  5. 如請求項1所述之處理腔室,其中該第三複數個氣體出口中的一或多個經定向而實質平行於該基板處理表面。
  6. 如請求項1所述之處理腔室,其中該第三角度為約5°至約30°。
  7. 如請求項1所述之處理腔室,其中該第一複數個氣體出口中的該一或多個向下定向朝向該基板支撐件的一中心、靠近該基板支撐件的一周邊或該基板支撐件的該中心與該周邊之間的一位置。
  8. 如請求項1所述之處理腔室,其中該第一複數個氣體出口中的該一或多個經向上定向朝向該頂部。
  9. 一種用於處理一基板的處理腔室,包括:一頂部、一底部與一側壁,該頂部、該底部與該側壁耦接在一起以在其中界定一體積;一基板支撐件,該基板支撐件設置於該體積中,該基板支撐件具有一基板處理表面;一氣體注射器,該氣體注射器設置在該側壁,該氣體注射器包括:第一複數個氣體出口,該第一複數個氣體出口設置在一第一高度,其中該第一複數個氣體出口中的一或多個以相對於該基板處理表面的一第一角度向下定向;第二複數個氣體出口,該第二複數個氣體出口設置在比該第一高度低的一第二高度,其中該第二複數個氣體出口中的一或多個以相對於該基板處理表面的一第二角度向下定向;及第三複數個氣體出口,該第三複數個氣體出口設置在比該第二高度低的一第三高度,其中該第三複數個氣體出口中的一或多個以相對於該基板支撐表面的一第三角度定向;及一能量源,該能量源耦接至該頂部或該底部,其中該第一複數個氣體出口、該第二複數個氣體出口及(或)該第三複數個氣體出口形成相對於該氣體注射器的一半徑之一第四角度,且該第四角度為約5°至約35°。
  10. 如請求項9所述之處理腔室,其中該第一複數個氣體出口以約1°至約35°的該第一角度向下定向,及該第二複數個氣體出口以約1°至約35°的該第二角度向下定向。
  11. 如請求項9所述之處理腔室,其中該第一角度與該第二角度相同。
  12. 如請求項9所述之處理腔室,其中該第一複數個氣體出口中的該一或多個經向上定向朝向該頂部。
  13. 如請求項9所述之處理腔室,其中該第一複數個氣體出口中的該一或多個向下定向朝向該基板支撐件的一中心、靠近該基板支撐件的一周邊或該基板支撐件的該中心與該周邊之間的一位置。
  14. 一種用於處理一基板的處理腔室,包括:一頂部、一底部與一側壁,該頂部、該底部與該側壁耦接在一起以在其中界定一體積;一基板支撐件,該基板支撐件設置於該體積中,該基板支撐件具有一基板處理表面;一氣體注射器,該氣體注射器設置在該側壁,該氣體注射器包括:第一複數個氣體出口,該第一複數個氣體出口設置在一第一高度,其中該第一複數個氣體出口中的一或多個以相對於該基板處理表面的一第一角度向上定向,及該第一複數個氣體出口中的一或多個以相對於該基板處理表面的該第一角度向下定向;第二複數個氣體出口,該第二複數個氣體出口設置在比該第一高度低的一第二高度,其中該第二複數個氣體出口中的一或多個以相對於該基板處理表面的一第二角度向上定向,及該第二複數個氣體出口中的一或多個以相對於該基板處理表面的該第二角度向下定向;及第三複數個氣體出口,該第三複數個氣體出口設置在比該第二高度低的一第三高度,其中該第三複數個氣體出口中的一或多個以相對於該基板處理表面的一第三角度向上定向,及該第三複數個氣體出口中的一或多個以相對於該基板處理表面的該第三角度向下定向;及一能量源,該能量源耦接至該頂部或該底部,其中該第一複數個氣體出口、該第二複數個氣體出口及(或)該第三複數個氣體出口形成相對於該氣體注射器的一半徑之一第四角度,且該第四角度為約5°至約35°。
  15. 如請求項14所述之處理腔室,其中該第一複數個氣體出口以約1°至約35°的該第一角度向下定向,及該第二複數個氣體出口以約1°至約35°的該第二角度向下定向。
  16. 如請求項14所述之處理腔室,其中該第一角度與該第二角度相同。
  17. 如請求項14所述之處理腔室,其中該氣體注射器是由透明石英、不透明石英、藍寶石、碳化矽、以碳化矽塗層之石墨或以上各者之組合製成。
  18. 如請求項14所述之處理腔室,其中該氣體注射器形成為具有該第一複數個氣體出口的一第一塊、具有該第二複數個氣體出口的一第二塊,及具有該第三複數個氣體出口的一第三塊。
TW105115483A 2015-06-12 2016-05-19 用於半導體磊晶成長的注射器 TWI679296B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562175046P 2015-06-12 2015-06-12
US62/175,046 2015-06-12

Publications (2)

Publication Number Publication Date
TW201704523A TW201704523A (zh) 2017-02-01
TWI679296B true TWI679296B (zh) 2019-12-11

Family

ID=57503877

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105115483A TWI679296B (zh) 2015-06-12 2016-05-19 用於半導體磊晶成長的注射器

Country Status (5)

Country Link
US (1) US20160362813A1 (zh)
KR (1) KR20180008907A (zh)
CN (1) CN107690487B (zh)
TW (1) TWI679296B (zh)
WO (1) WO2016200568A1 (zh)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) * 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046567A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11486038B2 (en) * 2019-01-30 2022-11-01 Applied Materials, Inc. Asymmetric injection for better wafer uniformity
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114599816A (zh) * 2019-09-09 2022-06-07 应用材料公司 输送反应物气体的处理系统和方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220178029A1 (en) * 2020-12-03 2022-06-09 Tokyo Electron Limited Deposition apparatus and deposition method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220364229A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Multi-port exhaust system for epitaxial deposition chamber
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230212742A1 (en) * 2022-01-04 2023-07-06 Applied Materials, Inc. Model-based purge gas flow

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US20040099378A1 (en) * 2002-11-15 2004-05-27 Tae-Wan Kim Gas injection apparatus for semiconductor processing system

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
TWI248108B (en) * 1998-11-13 2006-01-21 Applied Materials Inc Gas distribution system for a CVD processing chamber
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
DE10064944A1 (de) * 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
KR20060076346A (ko) * 2004-12-29 2006-07-04 주식회사 하이닉스반도체 반도체 제조용 고밀도 플라즈마 화학기상증착 장치
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
WO2008120459A1 (ja) * 2007-03-22 2008-10-09 Panasonic Corporation プラズマ処理装置及びプラズマ処理方法
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR101018180B1 (ko) * 2008-08-04 2011-02-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR101589257B1 (ko) * 2010-09-17 2016-01-27 주식회사 원익아이피에스 박막 증착 장치
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9905444B2 (en) * 2012-04-25 2018-02-27 Applied Materials, Inc. Optics for controlling light transmitted through a conical quartz dome
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
KR102413455B1 (ko) * 2015-01-02 2022-06-27 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US20040099378A1 (en) * 2002-11-15 2004-05-27 Tae-Wan Kim Gas injection apparatus for semiconductor processing system

Also Published As

Publication number Publication date
WO2016200568A1 (en) 2016-12-15
CN107690487A (zh) 2018-02-13
KR20180008907A (ko) 2018-01-24
TW201704523A (zh) 2017-02-01
CN107690487B (zh) 2021-03-09
US20160362813A1 (en) 2016-12-15

Similar Documents

Publication Publication Date Title
TWI679296B (zh) 用於半導體磊晶成長的注射器
US9695508B2 (en) Liner assembly for chemical vapor deposition chamber
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US9644267B2 (en) Multi-gas straight channel showerhead
US20090095222A1 (en) Multi-gas spiral channel showerhead
US20090095221A1 (en) Multi-gas concentric injection showerhead
US20120000490A1 (en) Methods for enhanced processing chamber cleaning
EP0967632A1 (en) Gas inlets for wafer processing chamber
US8491720B2 (en) HVPE precursor source hardware
KR20140031907A (ko) 기판 상에 재료들을 증착하기 위한 장치
CN110998793B (zh) 用于外延沉积工艺的注入组件
US20150368796A1 (en) Apparatus for gas injection to epitaxial chamber
US20240141498A1 (en) Methods of correlating zones of processing chambers, and related systems and methods
US20180119277A1 (en) Gas Distribution Apparatus for Deposition System
US10607837B2 (en) Gas flow control for EPI thickness uniformity improvement