JPS62260060A - ウエ−ハ操作ア−ムを組み込んだバルブ - Google Patents

ウエ−ハ操作ア−ムを組み込んだバルブ

Info

Publication number
JPS62260060A
JPS62260060A JP62089967A JP8996787A JPS62260060A JP S62260060 A JPS62260060 A JP S62260060A JP 62089967 A JP62089967 A JP 62089967A JP 8996787 A JP8996787 A JP 8996787A JP S62260060 A JPS62260060 A JP S62260060A
Authority
JP
Japan
Prior art keywords
valve
wafer
wedge
arm
housing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP62089967A
Other languages
English (en)
Inventor
フレデリック・ピー・レイマン
フィリップ・エム・ホブソン
ポール・エイチ・ディック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Medical Systems Inc
Original Assignee
Varian Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Associates Inc filed Critical Varian Associates Inc
Publication of JPS62260060A publication Critical patent/JPS62260060A/ja
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q7/00Arrangements for handling work specially combined with or arranged in, or specially adapted for use in connection with, machine tools, e.g. for conveying, loading, positioning, discharging, sorting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Warehouses Or Storage Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 産Jししllた■一 本発明は、ウェッジバルブに関するもので、そのバルブ
が閉鎖したときにウェーハ繰作アームを内側に収納する
バルブに関するものである。
1呼Δ毘東 半導体ウェーハ処理には、多くの処理チェンバで多くの
工程を必要とする。チェンバの間には分離手段が設けら
れなければならず、ウェーハを移動するための手段が分
離状態を保ちながら設けられなければならない、一般に
、分離はバルブによってなされ、ウェーハの移動は独立
した機構によって操作される。
コツホ等の米国特許第4,433,951号及び第4,
483,654号は、分離チェンバ内の移送機構を開示
している0分離バルブが、分離チェンバのいずれか一方
の側で必要とされる1分離チェンバ内の移送R横(よ、
複雑で、微粒子発生という問題を生じさせる。
魚朋n頭 本発明の目的は、真空分離バルブをウェーハ操作アーム
と組み合わせてコンパクトな構造物にすることである。
本発明の他の目的は、バルブが閉鎖したとき、バルブの
両側のチェンバからウェーハ操作アームを完全に隔絶す
る手段を組み合わせることである。
更に、本発明の目的は、バルブ空胴のところ及びいかな
る位置にあるウェーハ操作アームのところでも連続的に
排気する手段を提供することである。
更に、本発明の他の目的は、分離バルブとつ工−ハ操作
アームとの組み合ったものを取り外し可能として、バル
ブシーリングリングや他の部分が消耗したときに装で全
体を長期間休止させないように速やかに消耗品を取り外
し、新しい交換部品と交換できるウェーハ操作及び処理
装置を提供することである。
光1υI贋− 以下に明らかとなる本発明のこれらの目的及び他の目的
、特徴、利点は、簡潔に述べると、ウェーハ操作アーム
が基部エクステンサ部品、末端のエクステンサ部品、基
部の支持アーム、及び末端の支持アームから形成される
、本発明によって達成される。末端エクステンザ部品及
び基部支持アームは、Zつの異なる点で末端支持アーム
に枢着されている。基部エクステンサ部品は、末端エク
ステンサ部品に枢着されている。基部エクステンサ部品
及び基部支持アームは、各々一対の同心シャフトの一方
に固定して連結されている6一方のシャフトが回転する
と。
アーム全体が回転する。他方のシャフトが回転すると、
アームは伸長し又は引き込む。末端支持アームは、ウェ
ーハ含支持するためのクッションを有する平坦なブレー
ドとして形成される。
ウェーハ操作アームはウェッジバルブの可動なウェッジ
の中心を通るように取り1寸けられる。
基部エクステンサ部品を他の3つの部品よりかなり短く
作ることにより、アームは、収納したときにコンパクト
になる。バルブウェッジの中心付近にバルブが閉鎖した
ときに折りたたまれたアームと収納するだめの空間が形
成される。
排気口は、バルブが閉鎖したときに(必要ならば開放し
たときに)アームのところで排気をなすためにバルブハ
ウジングに備けられる。
本発明のこれらの及び他の構造上及び動作上の特徴は、
限定をなす例でない好適実施例及び変形例を説明する図
面とともに以下の詳細な説明からより明らかになるであ
ろう。
吃査夫1鮭 図面を参照すると、図面全体を通して各部品を示すため
に参照番号が使用されており、第1図には本発明に従っ
たウェーハ処理装置の部分断面正面図が示されている。
投入ロードロック10がウェーハのカセットを装填する
ために使用されている。分離バルブ12がウェーハの通
路内で第1処理チエンバ14の前に設置されている。
第2分離バルブ16がウェーハの通路内で第2処理チエ
ンバ18の前に設置されている。第3分シ11【バルブ
20は第2処理チェンバ1日と取出しロードロック22
との間に設置されている。キーボードとタッチスクリー
ンで制(卸するコンピュータ24が装置の動作を制御す
るために使用されている。
分離真空ボンピング接続口14.26.28.30.3
2.34.36及び38がロードロック12.22、バ
ルブ12.16.20及び処理チェンバ14.18の各
々になされている。各ボンピング接続口はコンピュータ
24によって制御される。この例では処理ステーション
が2つだけ示されているが、どんな数の処理ステーショ
ン及び分離バルブをいくつでも直列に使用できる。
第2図は第1図の装置を線2−2で切断した部分断面を
示し、第3図は同じ装置を線3−3で切断した部分断面
を示す、第2図において、収納位置のウェーハ操作アー
ム40.42.44が実線によって示されている。ウェ
ーハ操作アーム40は点線46で示されているようにカ
セット50からウェーハ48を収り出す、ウェーハ操作
アーム40は点線52で示されているようにウェーハ4
8を処理チェンバ14内に配置する。同様に、点線54
で示されているようにウェーハ操作アームは第1処理チ
エンバ内でウェーハを収り、点線56で示されているよ
うに第2処理チエンバ18内にウェーハを配置する。ウ
ェーハ操作アーム44は点線58で示されているように
第2処理チエンバ18カ)らウェーハ3収り、点線60
で示されたところでウェーハを取出しカセット62内に
配置する。
第3図において、ウェーハ操作アーム40.44がバル
ブ12.20内側の収納位置にあることが示されている
。そのバルブ′12.20は閉じた位置にあるバルブウ
ェッジ(wedge )を有している。
バルブ16内のウェーハ操11=アームは第1処理ヂエ
ンバ14内では実線68で示されており、第2処理チエ
ンバ18内では点線56によって示されている。
バルブ16のバルブウェッジ69は開いた位置で示され
ている。操作アームがバルブシート内の開孔71を通っ
てどちらの方向にも動くことができる。
前記のバルブ及びウェーハ操作アームの各々の細部が第
4−9[2Jに示されている。その装置は第4I21に
側面図で示されている。密閉Oリング723有するプレ
ート70は、バルブ及びウェーハ操作アームを装置の中
に密閉するために使用されている。第3図に示されるよ
うに、プレー1−70は装置の主フレームに配置されて
いる。第4−6図は、バルブウェッジ74とその動作機
措及びウェーハ操作アーム84とその動(D lfi 
tM +i全て統一した機造をなすようにプレート70
に収り付けられていることを示す、第8図には、バルブ
及びウェーハアームユニットを装置の主フレームに取り
付けるためのボルト(図示せず)を通すためのスロット
71及びアパーチャア3が備わりたプレート70を示し
ている。この構造は、装置の如何なる部分も取り外すこ
となく、バルブとウェーハアームを一体として迅速に取
り外し、交換することを可能にしている。
バルブウェッジ74はその両側にバルブシート80(第
3図参照)に密閉するための○リング76.78を有す
る。リニアーアクチュエータ(1inear actu
aLor) 82は空気、液体又は電気で駆動されるも
のでもよく、バルブウェッジ74をシートの中へと上方
に動かすために使用される。この上方への移動が、最上
の閉じた位置にある点線に示されたバルブウェッジ74
とともに第5図に示されている。
ウェーハ操作アーム84は、バルブウェッジ74が最高
点の閉じた位置にあるか、又は最下点の開いた位置にあ
るか否かによらず、装置内で同じ高さにある。バルブウ
ェッジ74は、それが回転して通り、また、バルブ内で
アーム84を密閉できるように収納ノツチ88を有する
ウェーハ操作アーム84には2つの回転アクチュエータ
90.92が備えられており、該アクチュエータは歯付
きベルト98.100及び歯付きプーリー1o2.10
4.105.106によって同心シャフト94.96を
駆動する。同心シャフト94.96はシャフトボルダ1
07内に取り付けられており、その頭部と底部がローラ
ベアリング108,110.112で支持されている。
シャフトに良好な回転真空シールを与えるために、二組
のOリング114.116.118.120が各シャフ
トのまわりに設けられている。穴122が外シヤフト9
6を貫通して設けられており、内シヤフト94の満12
4と通じている。
外シヤフト96の満126は各シャフト上のOリングシ
ールの各組の間に別の真空ボンピング及び加圧をするた
めに穴122及びポンプ排気口128と通じている。バ
ルブウェッジ74とプレート70との間にはベローズシ
ール130が設けられている。
操作アーム84は4つの小さな部品からできている。基
部支持部品132の第1端部が外シヤフト96と直角と
なるようにそのシャフトにしっかりと取り付けられてい
る。末端支持部品134の一端は基部支持部品132の
他の端部に枢着されている。基部エクステンサ(ext
ensor )部品136が第1端部と直角になるよう
にしっかりと内シヤフト94に取り付けられている。末
端エクステンサ部品138は、その一端が、基部エクス
テンサ部品136の第2端部に枢着されている。
末端エクステンサ部品138は末端支持部品134の第
2端部に枢着されている。
末端支持部品134上にある基部支持部品132と末端
エクステンサ部品138との取り付は点は、その間隔が
基部エクステンサ部品136の大きさの分だけ離れてい
る。基部エクステンサ部品136は基部支持部品132
よりもずっと短くできる。
基部支持部品に対して基部エクステンサ部品136が小
さくなればなるほど、折りたたまれた位置にあるときの
アームは小さくなる。アームの4つの部品は取り付は点
とシャフト軸との間に平行四辺形を形成する。もし4つ
のアーム部品の全てがブレードのように形成されるなら
ば、枢動止め具はゆるく固定されたリベットでよい。
外シヤフト96を回転させると、全アームが回転する。
内シヤフト94が外シヤフトに関して回転させられると
、アームが伸び又、折りたたまれる。
このように記載したアームは、独立に同心シャフトを回
転させることによって、ウェーハを保持する先端部をど
のように複雑な曲線にでも動くようできる。アームを保
管するために必要とされる小さなスペースはアームが分
離バルブハウジング内に収納されることを可能にする。
バルブのハウジングは前に説明した分離ユニット又は処
理チェンバに対して不可欠な部分として形成され得る。
前記のようにアームのための駆動手段を取り付け、作る
ことは、駆動パーツが1つのユニットとして迅速に収り
はずされ、また交換されることを可能にし、それによっ
て稼働休止時間が最小にされる。
バルブは処理チェンバに使用されるものと同じボンピン
グ装置によって同時に、又は交換バルブによってボンピ
ング装置横をタイムシェアリングでポンプされてもよい
、もし同時ボンピングが必要であるならば、処理チェン
バからポンプされる化学活性処理ガスはバルブハウジン
グ中に逆流する。これはバルブハウジング中への不活性
ガスの小さな流れをオニ1持して連続的にハウジング及
びポンプラインを流すことで防げ得る。この流れは5 
cc/分はどの小さなものでよい。
第1図及び第10図において、本発明に従ったロードロ
ック及びエレベータ&wi構が示されている。ロードロ
ックドア150は一組のロッド152に取り付けられて
おり、そのロッドはロードロックチェンバ156の外側
に設置されたベアリング154上に乗っている。カセッ
ト62はテーブル158上に乗っており、テーブルはカ
セット62をただ一個所に亘くために固定されている。
マイクロスイッチ160又は別の同等のセンサーがカセ
ットが適切に置かれているとき、それを検知するのに使
用される。装置を制御するコンピュータはカセット62
が適切に置かれるまでロードロ・ンクドア150を開い
たままで保持するようにプログラムされている。テーブ
ル158はシャフト162上に乗っており、そのシャフ
トはバー164内で終っている。バー164はモータ1
68及びプーリー170並びにスクリュー166によっ
て駆動される。
ガイドロッド172とベアリング174はエレベータを
安定させるのに使用される。シャフト162のまわりに
真空シールをつくるためにベローズ176はチェンバ1
56とバー164を密閉する。
第1.10及び11図に示されているように、ドア15
0の後ろにOリング178が設置され、ドアが押し閉じ
られるときチェンバ156の表面を密閉する。ロードロ
ックの主要なロッキングNjMとは、チェンバ156が
ボンビングロ180から排気されたときにドア150上
にかかる大気の圧力によるものである。Oリング178
を押し付け、ドア150を閏じたまま保持するのに十分
なほどチェンバ156内の圧力が下がるまでドア150
を保持することを助けるために、真空又はソフトラッチ
が設けられている。
ソフトラッチは第11図に示されているようにチェンバ
156の壁の中でOリング178の外側に取り付けられ
た、好適にはネオプレンフオーム製の4つのOリングシ
ール182から成る。これらOリングシールはOリング
178と密着してドアを保持するのに十分な力を与える
のに適した形状と大きさに作ってもよい、ネオプレンフ
オーム○リングはオープンセル又はクローズドセル型に
してもよいが、柔軟クローズドセル型ネオブレンOリン
グは特に良い機能を果たす、第11図は1つの例のドア
の背面の図であり、Oリングシール182が合わさる位
置が点線で示されている(シール182はチェンバの表
面上にあるからである)、Oリングシール182はドア
上にも直けるが、ボンビングチャオ・十分チェンバを介
して動かした方がより便利である。各シール182は、
もしそれらが図示したようにチェンバ上にあるならば、
ドア150の後ろ側のスムーズな表面を密閉する。もし
シールがドア側にあれば、スムーズな表面はチェンバ側
にある。各シール182の中心には第12図に示されて
いるようにチャネル186を通ってバルブ及びボンピン
グ装置に通じる穴184がおいている。マイクロスイッ
チ検知器188はドア150が閉じるのを検知する。大
気中へ通じるバルブ188は閉じられ、ボンピング装置
に通じるバルブ190は引き続き開けられている。シー
ル182のもとての真空排気はドア150を閉じた伏皿
で保持する。もし、チェンバ156がチェンバの排気以
前に手で開くことができるならば、ハンドル194上の
スイッチ192は連続してボンピング装置に通じるバル
ブ190を閉じ、外気に通じるバルブ188を開くため
に使用される。次にシール182はドアを開放する。
一度チェンバ156でボンピングが開始されると、ソフ
トラッチはコンピュータ24によって自励的に開放され
る。このようにソフトラッチを主Oリングシール178
の外側に霞くのが有利である。
ソフトラッチングのこの装置はカセットの自動操作に特
に有利である。
ドアの大きさ及び取付は装置の嵌合の密閉具合とに依存
して、2乃至4つ或いはそれ以上のソフトラッチが必要
となろう、十分に嵌合したヒンジをもつ小さなドアにつ
いては、十分な大きさの単一のソフトラッチが適切であ
る。第13図には一つの実施例が示されており、ヒンジ
197に取り付けられ、一つだけOリング198を有す
るドア196がソフトラッチとしてn能するチェンバ上
に取り付けられた単一シール182を有する。ソフトラ
ッチがドアと接触する点が点線で示されている。
カセットは摩耗したり、そうでなければ変形したりする
ので、エレベータ機構に相対するウェーハの位置は既知
のカセットの形状からは決定できない、ウェーハは前の
処理工程中にこわされ、又は除去され、従ってカセット
のスロットからなくなることもあるかもしれない、これ
らの問題を補償するためにチェンバ内に光学又は赤外線
発射器156が同じ高さでチェンバの反対側にある光学
又は赤外線検知器202と向い合わせて設けられている
。チェンバ156が排気されるに従って、エレベータテ
ーブル158が上に駆動され、次に下降される。各ウェ
ーハが発射器200からのビームを横切ると、ビームの
遮断が検知器202で3知される。その遮断は制御コン
ピュータ及び結合したハードウェアに記録される。エレ
ベータは位置が速度と時間の櫃がら分かるように一定の
既知の速度で駆動される0位置はエレベータテーブル1
58に関して測定される。このようにして、カセットの
各スロットの位置及び各ウェーハの存在が確認される。
制御コンピュータ24は次にコンピュータメモリー内の
測定位置で各ウェーハを取り上げ、カセットをウェーハ
のない所へ飛び超すようにプログラムされる。前記のウ
ェーハ操作機構とウェーハ位置決定システムとの組み合
わせにより、システムは投入カセット内のウェーハにラ
ンダムアクセスに動作し、出て行くウェーハな収納する
カセットの間隔を任意還択できる。
第14−416図には本発明に従って処理中のウェーハ
を受は取り、中心づけ、保持するための装置を示す、ウ
ェーハは、ウェーハ操作アームを用いてチャックプラッ
トフォーム上で中心づけられる。3本又はそれ以上の組
となったリフトビン212が、ウェーハの下から上昇し
、ウェーハを操作アームから持ち上げる0次に、操作ア
ームは、チェンバから引込められる6次に、ウェーハは
、第15図で破線で第16図で実線で示すように、ビン
が伸長位置にあるリフトビン212の上に位置する。リ
フトビン212が上方にのびると同時に、保持ビン21
4はウェーハから斜め上方に離れるように伸長する。各
保持ビン214は、保持ピンのシャフトの直径より大き
いヘッド拡張部216を有する。ヘッド拡張部216は
、小さい円盤、円、筒、又は他の物のように形成しても
よい、ヘッド拡張部216は、ウェーハのエツジを捕え
るために十分に大きくて切り立った形状である。リフト
ビン212がバネ213によって下方に引張られてウェ
ーハをチャックプラットフォーム210の最上部の上に
配置するとき、保持ビン214はヘッド216を用いて
ウェーハのエツジを締め付ける。保持ピン214は2本
又はそれ以上であってよく、保持ビン214が多くなる
とウェーハはよりしっかりとチャックに保持される。
静電容量センサ(capacitative 5ens
or)218が、ウェーハのチャック上への設置を確認
するために使用されている。
各保持ピン214が、ビンのためのガイドを形成するビ
ンホルダ220に固定される。各ビンボルダ220は、
バネ222によって下方向の力を受ける。リフトビン2
12及び保持ビン214は、リフトテーブル224によ
って共に駆動さizる。リフトテーブル224の上方縁
は丸みがつけられ、ビンボルダ220の下方縁は丸みが
つけられている。
そのため、リフトテーブル224上でのビンホルダ22
0の円滑な滑動を容易にする。リフトテーブル224は
、ネジシャフト226及びネジシリンダ228により上
方へと駆動される。ネジシリンダ228のまわりに位置
するベローズ及び○リングシール230が、真空シール
として用いられている。ネジシャフト226は、プーリ
ー232及びモータ234によって駆動される。
前述のようにチャックプラットフォーム210にしっか
りと締め付けられたウェーハを、チャフの内部からU(
給されるガスによって裏側から加熱又は冷却することが
できる。そのガスは、チャックとウェーハとの間の伝達
媒体として用いられるものである。チャックプラットフ
ォーム210内で、プリナム236には、内部チャネル
く[示せず)を通じて真空の外側にあるガス源からガス
が供給される。半径方向経路238が、チャックの表面
上の一連の半径方向の溝、或いは同心のi71、又は(
半径方向及び同心の溝)組合せ講(図示せず)内へその
ガスを供給するために用いられる。ウェーハの下からガ
スが漏出することで、ガスの連続的な流れが必然的に形
成されることになる。チャックの温度は、センサを用い
てモニターされ、それによって調節される。
他のチャックが第17及び第18図に示されている。ヘ
リウムガスを使用するのに適したいくつかの応用例にお
いては、ウェーハをチャックの表面により確実に密着さ
せるための締付は手段を設ける必要がある。このチャッ
クにおいて、l  ウェーハ300はチャック表面30
2上に示されている。3つ又はそれ以上のりフトビン3
04は、ウェーハ300を操作アームがら持ち上げ、表
面302へトウェーハ300を下降させる。ビン3o・
客はスパイダ306に取り付けられ、空気式アクチュエ
ータ4  により駆動される。ベローズ310によりビ
ンのまわりが真空の状態に保たれる。温度制御ガスが入
口312から導入され、内側チャネル314を通り、チ
ャック表面302にある中央穴へと流れる。
チャネル318は、チャックの内側のまわりの温度を調
節する流体のなめに設けられならのである。放射状スロ
ット320がチャック上の表面上に穴316と連結する
ように設けられている。スロットストップ322はスロ
ットを塞ぎ、ウェーハの下でヘリウムが逃げないように
する。
ウェーハをチャックに確実に保持するために、複数のク
ランプが設けられている。6インチ< 15.24cm
 )のウェーハでは3−72個のクランプが使用できる
。より小さいウェーハに対しては数を減らして使用して
もよく、より大きなウェーハに対しては数を増して使用
してもよい、ここでは簡略化のため8個のものが示され
ている。
各クランプは、ウェーハの外側のまわりに配置されたバ
ネ326に連結され金属製シートの薄いフック324か
ら成る。各フック324が通るスロット330を備えた
展開リング328がある。スロット330は、フックが
スロットなしでリフトリング332上に位置していると
きには任意的なものである。展開リング328の下方に
リフトリング332がある。展開リングには2つ又はそ
れ以上の空気式アクチュエータ334(ここでは3つ示
されている)及びベローズ真空シール336が備えつけ
られている。リフトリング332も同様に2つ又はそれ
以上の空気式アクチュエータ338(ここでは3つ示さ
れている)及びベローズ真空シール3・10が備えつけ
られている。
各フック324は上方保持リップ342、下方展開リッ
プ344及びリフトスロット346を有する。フックが
上方の展開位置から下方の締付は位置へと動くと5バネ
326の力によって、フックはリフトスロット346の
ところでリフトリングのまわりに駆動し、ウェーハ30
0の縁に押しつけられる。これにより、ウェーハはフッ
クの間で中心に置かれる。フックが下方の締付は位置か
ら上方の展開位置へと動くと、リフトリング332はウ
ェーハの方向に持ち上げられ、フック324は上方向の
力を受けて、保持リップ342によってウェーハ上に作
用した力を解放する。展開リング328が下降してフッ
ク324の展開リップ344に圧力を加えると、フック
324はリフトスロット346のところで外側に駆動す
る。静電容量センサ348及び/若しくは光学センサ3
30並びに/又は背圧センサ(図示せず)がウェーハ3
00の存在を検知するために使用することができる。検
知情報はシステムコンピュータ24に送信される。
チャック本体352は、締付は機構を適切な位置に1呆
持しつつボルト354をはずすことにより、センサ34
8又は350の保守点検のための取外しができる、ウェ
ーハ保持チャックの他の実施例としては、チャックをカ
ートリッジ式ヒータにより加熱してもよく、水のような
流体で冷却してもよい、また、その流体を外部で加熱、
冷却してもよい、チャックの温度はセンサによりモニタ
ーすることができ、その温度は処理コンピュータ24と
接続し得る制(1コンピユータにより調節することがで
きる。
第19[2Iにおいて、タスク位置づけシステムが図式
化されている。この例では、2基の処理チェンバ、ウェ
ーハハンドラーを備えた3基のバルブ及び2基のロード
ロックが用いられている。
バルブ及びウェーハハンドラーに応じて、任意の数の処
理チェンバを用いることができる。この図式の左上には
、種々のタスク記号が定義されている0例えば、SND
は、センダーロードロックを換気または排気することを
意味する0種々のタスク状態記号が図式の右側において
定義されている。状態#1は、エレメントが準備されて
いないことあるいは何かをするために待機していること
を怠昧する。状態#2は、エレメントがウェーハを操作
すべき準備ができていることを意味する。状態#3は、
エレメントが活動中であることを意味する。このコード
は、第19図中の図式のマトリクスには用いられていな
いが、完全性を期すために定義した。操作すべきある特
定のタスクのために、活動性の欠除のみが必要となる。
状態#4は、エレメントが状態1まはた2にあって、3
ではないことを意味する。第5番目の状態記号゛任意′
°は、エレメント他の4つの状態のいずれかであること
を意味する。1.2または3のいずれかで良いタスクの
状態と、4及び5の組合わせまたは1または2であって
良い必要状態との間には、差異がある。
多くのタスクは、1から2.3へと進み1に戻る。しか
しSNDは、1から2.3へと進んで2に戻る。これら
の状態のカテゴリーの意味の理解を助けるために、いく
つかの例を挙げる。
処理チェンバにウェーハが無いとき、例えばCIPの状
態は#1である。ウェーハがチェンバへと移動した後に
は、状態は#2に変化する。
そして処理が始まって、状態が#3に変1ヒする。
処理が終了すると、状態は#1へと戻る。バルブは、状
態変化と同様な順序で進行する。しかじウェーハの存在
は、バルブ自身内ではなくむしろ上流のコンパートメン
ト内で検出される。
ウェーハがバルブ自身内にあるのは、バルブが活動中の
ときだけである。
タスク位置づけシステムは、システムの各エレメントの
実際の状1ぶを感知して、上記の3種のカテゴリーに従
って状!ぶをコンピュータ内に記憶する。各エレメント
は他のエレメントの活動とは独立に命令を受ける。ただ
し、他のエレメントの状形が正しいことを条件とする。
システムは固定した時間の順序に従って運転するわけで
はない。
タスク位置づけシステムは、第19図のマトリクスの左
側に記されたタスクの実際の状態と、図式化されたテー
ブル内の必要状態を示すコラム各々とを比l咬して、タ
スクを開始して良いか否かを決定する。もし条件が第1
9図のテーブルに示すようであったならば、タスクを始
めて、次に状態を最新にする。
このようにして、タスクの各々の相対的期間に拘らず最
適の順序が進行する。このことは、タスク位置づけシス
テムが順序を決定しようとしないで、常にできるだけ忙
しくシステムを保つという抽象的な目標を追求するとい
うことによる。
例えば、第2の処理チェンバをレシーバ−ロードロック
との間のレシーバ−バルブがどのような条件で運転する
かを決定するために、f&後から2番目の列にあるWR
Mまで、頂部の行にあるタスクの名前を読む0次に、各
状態記号へと読んでゆき、タスク名のために左へと2売
んでゆく、システムは、センダーが何をしているのかに
ついて注目せず、また第1のバルブについても同様であ
り、第1の処理チェンバが活動中か否かについても注目
しない、システムが要求することは、チェンバ間のバル
ブ#2が非活動であること(W2N−4) 、第2の処
理チェンバ内の処理が完了していること(C2F= 1
 > 、レシーババルブが第2の処理チェンバ(WIt
M= 2 >内にあるウェーハを操作する準備ができて
いること、及びレシーバロードロックが空であること(
RCV= 1 )である、これらの諸条件の下で、レシ
ーババルブはP;1序に関係無く動作する。
本発明は、上述の好適実雄図jや変形実施例に限定され
るものではない。本発明の範囲を外れることなく、種々
の部分について機械的、電気的に等価な修正や変化がな
され得る1本発明の範囲は、特許3青求の範囲のみによ
って定まる。
4、[21面のfzn革な説明 第1図は本発明に従ったウェーハ処理装置の部分断面正
面図である。
第2図は第1I21の装と2−2線に沿って切断した部
分断面図である。
第3図は第2図の3−3線に沿って切断した第1図及び
第2図の装置の部分断面図である。
第4図はバルブ及びウェーハ操作アームの側面図である
第5図は第4図の装rを5−5線に沿って切断した断面
図である。
第6図は第4図の装置と6−6線に冶って切断した断面
図である。
第7図は第4図の装置を7−7線に沿って切断した断面
図である。
第821Lt第4図の装置の平面図である。
第9図は部分的に伸びた位;3にある第4図の装置のウ
ェーハ操作アームである。
第10図は第1図の1O−1o線に沿った側面部分断面
図に示されたロードロックのドアを示す。
第11図はソフトラッチの位置を点線にして示した第1
0(21の11−1.1線に沿ったロードロックのドア
の気密部を示す。
第12図は本発明に従ったソフトラッチを制御するのに
用いるバルブ配列の略示図である。
第13図は本発明に従ったソフトラッチの別の応用例の
正面図である。
第14図は本発明に従ったウェーハ保持チャックの平面
図である。
第15図は15−15線に沿って切断した第14図のチ
ャック断面図である。
第16図は16−16線に沿って切断した第14図のチ
ャックの断面図である。
第17図は本発明に従ったウェーハ保持チャックの別の
実施例の断面図で、第18図の17−17線に沿って切
断したものである。
第18図は第17図のチャックを18−18線に沿って
切断し、上から見た部分断面図である。
第191]はタスクロケータシステムの図表である。
[主要符号の説明] 40.42.44・・・ウェーハ操作アーム48・・・
ウェーハ  69・・・バルブウェッジ71・・・開孔
  74・・・バルブウェッジ82・・・リニアーアク
チュエータ 84・・ウェーハ操作アーム  88・・・収納ノツチ
90.9Z・・・回中云アクチュエータ94.96・・
同心シャフト 98.100・・・歯付きベルト 132・・・基部支持部品  134・・・末端支持部
品136・・・基部エクステンサ部品 138・・末端エクステンサ部品 特許出願人 パリアン・アソシェイッ・インコーホレイ
テッド 代 理 人 弁理士 竹 内 澄 夫 ■ 匡 L’        LL

Claims (1)

  1. 【特許請求の範囲】 1、被加工物操作アームを有するバルブであって、 入口及び出口を有するバルブハウジングと、前記入口及
    び出口を密閉する相互に傾斜し た密閉表面を有し、該密閉表面間に収納ノッチを有する
    バルブウェッジと、 前記バルブウェッジにより妨げられずに、 前記入口から前記出口を見通せるように、前記バルブを
    前記ハウジング内で密閉位置から開放位置へ擢動させる
    ウェッジ駆動手段と、前記バルブが閉鎖したときに、前
    記収納ノッチの中に折りたたまれて収納可能な被加工物
    操作アームと、 から成るバルブ。 2、特許請求の範囲第1項に記載されたバルブであって
    、前記被加工物操作アームが、 基部支持部品と、 基部エクステンサ部品と、 末端上に被加工物を載せるための手段を有する末端支持
    部品と、 末端エクステンサ部品と、 前記アームへ動力を伝え、制御する、第1軸線のまわり
    に回転する一対の同心シャフトと、 該同心シャフトを個々に回転するシャフト回転手段と、 から成り、 前記基部支持部品が第1の前記同心シャフトに直角にし
    っかりと取り付けられ、 前記基部エクステンサ部品が第2の前記一対の同心シャ
    フトに直角にしつかりと取り付けられ、 前記基部エクステンサ部品が前記末端エクステンサ部品
    に第2の軸線のところで枢着されていること、 前記基部支持部品及び前記末端エクステンサ部品が前記
    末端支持部品に第3の軸線及び第4の軸線のところでそ
    れぞれ枢着される、ところのバルブ。 3、特許請求の範囲第2項に記載されたバルブであって
    、 前記末端支持部品が平坦なブレードである、ところのバ
    ルブ。 4、特許請求の範囲第3項に記載されたバルブであって
    、 前記基部エクステンサ部品が前記基部支持部品よりかな
    り短い、 ところのバルブ。 5、特許請求の範囲第4項に記載されたバルブであって
    、 前記ウェッジ駆動手段並びに前記第1及び第2のシャフ
    ト回転手段が前記バルブハウジングに着脱自在に取り付
    けられたプレート上に設置され、これにより、前記バル
    ブウェッジ、前記ウェッジ駆動手段、前記被加工物操作
    アーム、前記第1及び第2のシャフト駆動手段及び前記
    プレートが一体となって前記バルブハウジングから分離
    されている、 ところのバルブ。 6、特許請求の範囲第1項に記載されたバルブであって
    、 前記ハウジングが処理チェンバの一部として画成されて
    いる、 ところのバルブ。
JP62089967A 1986-04-17 1987-04-14 ウエ−ハ操作ア−ムを組み込んだバルブ Pending JPS62260060A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US853276 1986-04-17
US06/853,276 US4764076A (en) 1986-04-17 1986-04-17 Valve incorporating wafer handling arm

Publications (1)

Publication Number Publication Date
JPS62260060A true JPS62260060A (ja) 1987-11-12

Family

ID=25315581

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62089967A Pending JPS62260060A (ja) 1986-04-17 1987-04-14 ウエ−ハ操作ア−ムを組み込んだバルブ

Country Status (4)

Country Link
US (1) US4764076A (ja)
EP (1) EP0242997A2 (ja)
JP (1) JPS62260060A (ja)
KR (1) KR870009816A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03166365A (ja) * 1989-11-27 1991-07-18 Tokyo Electron Ltd プラズマ処理装置

Families Citing this family (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4999671A (en) * 1986-07-11 1991-03-12 Canon Kabushiki Kaisha Reticle conveying device
US5110249A (en) * 1986-10-23 1992-05-05 Innotec Group, Inc. Transport system for inline vacuum processing
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4984953A (en) * 1987-02-20 1991-01-15 Canon Kabushiki Kaisha Plate-like article conveying system
US5156521A (en) * 1987-10-15 1992-10-20 Epsilon Technology, Inc. Method for loading a substrate into a GVD apparatus
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5092728A (en) * 1987-10-15 1992-03-03 Epsilon Technology, Inc. Substrate loading apparatus for a CVD process
US5177514A (en) * 1988-02-12 1993-01-05 Tokyo Electron Limited Apparatus for coating a photo-resist film and/or developing it after being exposed
KR970003907B1 (ko) * 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
ES2043970T3 (es) * 1988-07-15 1994-01-01 Balzers Hochvakuum Dispositivo de fijacion para un disco, asi como su aplicacion.
DE58909835D1 (de) * 1988-11-17 1998-08-20 Balzers Hochvakuum Transporteinrichtung und Vakuumkammer mit einer solchen Einrichtung sowie Verfahren zum Beschicken und Entleeren einer Bearbeitungskammer
NL8900544A (nl) * 1989-03-06 1990-10-01 Asm Europ Behandelingsstelsel, behandelingsvat en werkwijze voor het behandelen van een substraat.
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
DE69103316T2 (de) * 1990-04-20 1995-04-27 Applied Materials Inc Vorrichtung und Verfahren für Schlitzventil.
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5256204A (en) * 1991-12-13 1993-10-26 United Microelectronics Corporation Single semiconductor water transfer method and manufacturing system
FR2697003B1 (fr) * 1992-10-16 1994-11-18 Commissariat Energie Atomique Système de manipulation et de confinement d'objets plats dans des boîtes individuelles.
US5382126A (en) * 1992-03-30 1995-01-17 Leybold Ag Multichamber coating apparatus
DE4312406C2 (de) * 1993-04-16 1996-07-11 Mikron S A Agno Verfahren und Vorrichtung zum getakteten Transport von Werkstücken oder Werkstückträgern in Transferstraßen oder Transfermaschinen
JP3200282B2 (ja) * 1993-07-21 2001-08-20 キヤノン株式会社 処理システム及びこれを用いたデバイス製造方法
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
JPH09289241A (ja) * 1996-04-22 1997-11-04 Shinkawa Ltd ウェーハ搬送装置
US5769184A (en) * 1996-09-27 1998-06-23 Brooks Automation, Inc. Coaxial drive elevator
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US5868803A (en) * 1997-03-20 1999-02-09 Taiwan Semiconductor Manufacturing Co. Ltd. Method for mounting a wafer loading device to a process machine
EP1049641A4 (en) * 1998-01-16 2004-10-13 Pri Automation Inc CASSETTE POSITIONING AND DETECTION SYSTEM FOR SEMICONDUCTOR WAFER
US6536702B1 (en) 1998-06-10 2003-03-25 The Goodyear Tire And Rubber Company Surface winding on an a-frame winder
DE19854002B4 (de) * 1998-11-18 2008-11-20 Cybio Ag Einrichtung zum Transport von Mikrotitrationsplatten in einem Handlingsautomat
US6178361B1 (en) * 1998-11-20 2001-01-23 Karl Suss America, Inc. Automatic modular wafer substrate handling device
JP2003060012A (ja) * 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
US6824343B2 (en) * 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
JP4522139B2 (ja) * 2003-09-19 2010-08-11 大日本スクリーン製造株式会社 基板処理ユニット、基板載置状態検出方法および基板処理装置
US7276122B2 (en) 2004-04-21 2007-10-02 Mattson Technology, Inc. Multi-workpiece processing chamber
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
KR101216000B1 (ko) * 2007-08-23 2012-12-28 히라따기꼬오 가부시키가이샤 부품이송장치 및 방법
DE102010048043A1 (de) * 2010-10-15 2012-04-19 Ev Group Gmbh Vorrichtung und Verfahren zur Prozessierung von Wafern
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4250329A1 (en) * 2022-03-21 2023-09-27 FEI Company System and method for handling samples for study in a charged particle apparatus, such as a transmission electron microscope

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3656454A (en) * 1970-11-23 1972-04-18 Air Reduction Vacuum coating apparatus
US3921788A (en) * 1974-05-21 1975-11-25 Macronetics Inc Processing apparatus for thin disc-like workpieces
JPS5681533U (ja) * 1979-11-27 1981-07-01
US4483654A (en) * 1981-02-13 1984-11-20 Lam Research Corporation Workpiece transfer mechanism
US4433951A (en) * 1981-02-13 1984-02-28 Lam Research Corporation Modular loadlock
US4412771A (en) * 1981-07-30 1983-11-01 The Perkin-Elmer Corporation Sample transport system
US4441852A (en) * 1981-09-28 1984-04-10 Dixon Automatic Tool, Inc. Parts handling machine
US4553069A (en) * 1984-01-05 1985-11-12 General Ionex Corporation Wafer holding apparatus for ion implantation
US4584045A (en) * 1984-02-21 1986-04-22 Plasma-Therm, Inc. Apparatus for conveying a semiconductor wafer
US4619573A (en) * 1984-03-09 1986-10-28 Tegal Corporation Article transport apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03166365A (ja) * 1989-11-27 1991-07-18 Tokyo Electron Ltd プラズマ処理装置

Also Published As

Publication number Publication date
KR870009816A (ko) 1987-11-30
EP0242997A2 (en) 1987-10-28
US4764076A (en) 1988-08-16

Similar Documents

Publication Publication Date Title
JPS62260060A (ja) ウエ−ハ操作ア−ムを組み込んだバルブ
JPS62250654A (ja) 傾斜した締付けピンを使用したウエ−ハ処理チヤツク
JPS62295433A (ja) 複数の薄いクランプを用いるウエ−ハ加工チヤツク
JPS62250653A (ja) ウエフア位置づけ装置
US6302927B1 (en) Method and apparatus for wafer processing
US6327794B2 (en) Processing method for substrate
US6120371A (en) Docking and environmental purging system for integrated circuit wafer transport assemblies
US6447232B1 (en) Semiconductor wafer processing apparatus having improved wafer input/output handling system
US5054988A (en) Apparatus for transferring semiconductor wafers
US5154730A (en) Semiconductor wafer processing module having an inclined rotating wafer handling turret and a method of using the module
JP4313401B2 (ja) 縦型熱処理装置及び被処理基板移載方法
KR100980961B1 (ko) 종형 열처리 장치 및 피처리체 이동 탑재 방법
JP2007251087A (ja) 縦型熱処理装置及び縦型熱処理装置における移載機構の制御方法
EP0250064A2 (en) Wafer processing chuck using multiple thin clamps
JP2009099996A (ja) 縦型熱処理装置及び被処理体移載方法
US6799932B2 (en) Semiconductor wafer processing apparatus
WO1998019335A1 (fr) Appareil de traitement thermique de type vertical
WO2003085712A1 (fr) Equipement vertical de traitement thermique
JPS62253769A (ja) 真空ラツチ
JPS62245645A (ja) ウエ−ハ取扱いア−ム
JP3816056B2 (ja) スパッタパレット装着装置
JPH0828415B2 (ja) ウエハ着脱装置