JPH11312674A - Cvd装置 - Google Patents

Cvd装置

Info

Publication number
JPH11312674A
JPH11312674A JP11023887A JP2388799A JPH11312674A JP H11312674 A JPH11312674 A JP H11312674A JP 11023887 A JP11023887 A JP 11023887A JP 2388799 A JP2388799 A JP 2388799A JP H11312674 A JPH11312674 A JP H11312674A
Authority
JP
Japan
Prior art keywords
plasma
space
partition plate
cvd apparatus
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11023887A
Other languages
English (en)
Other versions
JP4151862B2 (ja
Inventor
Yutaka Nogami
裕 野上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Anelva Corp filed Critical Anelva Corp
Priority to JP02388799A priority Critical patent/JP4151862B2/ja
Priority to TW088102534A priority patent/TW476807B/zh
Priority to US09/255,852 priority patent/US6245396B1/en
Priority to KR1019990006256A priority patent/KR100319075B1/ko
Publication of JPH11312674A publication Critical patent/JPH11312674A/ja
Priority to US09/863,338 priority patent/US6892669B2/en
Application granted granted Critical
Publication of JP4151862B2 publication Critical patent/JP4151862B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Liquid Crystal (AREA)

Abstract

(57)【要約】 【課題】 大面積基板にプラズマを利用したCVDによ
りTEOSを用いてシリコン酸化膜を成膜する場合、パ
ーティクルの発生を抑制し、基板へのイオン入射を防止
し、基板近傍でのプラズマ分布を良好にする。 【解決手段】 真空容器12内でプラズマを生成して活性
種(ラジカル)を発生させ、この活性種と材料ガスで基
板11に成膜処理を行う装置であり、複数の孔22が形成さ
れた隔壁板15を設けて真空容器の内部をプラズマ生成空
間16と成膜処理空間17に分け、材料ガスは、プラズマ生
成空間と隔壁板を貫通しかつ分散して設けられた複数の
通路を通して成膜処理空間に直接に導入され、プラズマ
生成空間で生成された活性種は、隔壁板に形成された複
数の孔を通して成膜処理空間に導入される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はCVD装置に関し、
特に、プラズマを利用するCVDであり、大型のフラッ
トパネル基板への成膜に適したCVD装置に関するもの
である。
【0002】
【従来の技術】大型の液晶ディスプレイの作製方法とし
て、従来、高温ポリシリコン型TFT(薄膜トランジス
タ)を利用するものと、低温ポリシリコン型TFTを利
用するものとが知られている。高温ポリシリコン型TF
Tを利用する作製方法では、高品質な酸化膜およびポリ
シリコン(poly Si )と酸化膜界面を得るために、10
00℃以上の高温に耐える石英基板が使用されていた。
これに対して低温ポリシリコン型TFTの作製において
は、通常のTFT用ガラス基板を使用するため、低温環
境(例えば400℃)で成膜を行う必要がある。低温ポ
リシリコン型TFTを利用して液晶ディスプレイを製作
する方法は、特別な基板を使用する必要がなく、成膜条
件の設定が簡単であるという利点を有し、近年実用化さ
れ、その生産量は拡大しつつある。
【0003】低温ポリシリコン型TFTを利用する液晶
ディスプレイの作製で、低温でゲート絶縁膜として適当
なシリコン酸化膜を成膜する場合、プラズマを利用した
CVDが使用される。このプラズマを利用したCVDで
シリコン酸化膜を成膜する際、代表的な材料ガスとして
はテトラエトキシシラン(以下TEOS)やシランなど
が使用される。
【0004】TEOS等の材料ガスを使用してプラズマ
を利用したCVDでシリコン酸化膜を成膜する場合、従
来のプラズマ処理装置によれば、材料ガスをプラズマ処
理装置内に生成されたプラズマの中に直接に供給するよ
うにしていた。このため、材料ガスと酸素が激しく反応
してパーティクルが発生し、これによって歩留まりが低
下するという問題があった。さらにプラズマが基板に接
して存在するため、高エネルギのイオンが入射し、この
イオンがシリコン酸化膜に入射することにより膜特性が
悪化するという問題もあった。
【0005】
【発明が解決しようとする課題】そこで従来では、上記
問題を解決するため、遠隔プラズマ方式を利用したプラ
ズマ処理装置が提案されていた。遠隔プラズマ方式で
は、プラズマ処理装置内においてプラズマを生成しラジ
カル等の活性種が生成される領域を基板から離し、かつ
材料ガスが基板の配置領域の近くに供給されるように構
成している。プラズマ領域で生成されたラジカル等は、
基板が配置された領域の方向へ拡散し、基板処理面の前
面空間に供給される。かかる遠隔プラズマ方式のプラズ
マ処理装置によれば、プラズマと材料ガスの激しい反応
が抑制され、パーティクルの発生量が低減され、かつイ
オンの基板への入射も制限するという利点を有してい
る。
【0006】しかしながら、上記遠隔プラズマ方式のプ
ラズマ処理装置の場合には、プラズマ生成領域と基板配
置領域が接続空間を介し離れて形成され、基板から離れ
た所で生成されたラジカルを接続空間を通し拡散作用で
基板へ供給するようにしたため、成膜速度が低くなり、
基板の表面近傍での分布が悪いという問題があった。特
に、基板の表面近傍での分布が悪いため、大型液晶ディ
スプレイに用いられる大面積の基板に対応することがで
きないという問題が提起された。
【0007】本発明の目的は、上記の問題を解決するこ
とにあり、低温ポリシリコン型TFTを利用した大型液
晶ディスプレイの作製等で、大面積基板にプラズマを利
用したCVDによりTEOS等の材料ガスを用いてシリ
コン酸化膜を成膜する場合に、パーティクルの発生を抑
制し、基板へのイオン入射を防止し、基板近傍でのプラ
ズマ分布を良好にし、大面積基板への成膜に有効に利用
できるCVD装置を提供することにある。
【0008】
【課題を解決するための手段および作用】本発明に係る
CVD装置は上記目的を達成するため次のように構成さ
れる。
【0009】第1のCVD装置(請求項1に対応)は、
真空容器内でプラズマを生成して活性種(ラジカル)を
発生させ、この活性種と材料ガスで基板に成膜処理を行
う装置であり、複数の孔が形成された隔壁板を設けて真
空容器の内部をプラズマ生成空間と成膜処理空間に分
け、真空容器に供給された材料ガスは、プラズマ生成空
間と隔壁板を貫通しかつ分散して設けられた複数の導電
性の通路を通して成膜処理空間に直接に導入され、プラ
ズマ生成空間で生成された活性種は、隔壁板に形成され
た複数の孔を通して成膜処理空間に導入されるように構
成される。上記のCVD装置では、プラズマを利用した
CVDで基板に成膜を行うとき、所定条件を満たす多数
の孔を有した隔壁板でプラズマ生成空間と成膜処理空間
を区画し、材料ガスを、プラズマが生成される領域を避
け、基板前面の成膜処理空間に直接に導入するようにし
た。これにより材料ガスとプラズマの間で激しい化学反
応が起きることを防ぎ、パーティクルの発生を抑制す
る。また生成されたプラズマ中の活性種は隔壁板の孔を
通して成膜処理空間に導入される。第2のCVD装置
(請求項2に対応)は、上記第1の構成において、隔壁
板に形成された複数の孔の各々は、孔内でのガス流速を
u、実質的な孔の長さをL、相互ガス拡散係数(すなわ
ち酸素ガスと材料ガスの相互ガス拡散係数)をDとする
とき、uL/D>1の条件を満たす状態で、活性種を成
膜処理空間に導入することを特徴とする。隔壁板の孔が
満たす条件としては、孔を通して、酸素ガスが物質移動
流れとして、材料ガスが拡散によりそれぞれ反対側に移
動することを想定するとき、拡散による移動量が制限さ
れるように設定される第3のCVD装置(請求項3に対
応)は、上記の各構成において、隔壁部はクリーニング
用高周波電力を供給する高周波給電部に接続され、隔壁
部に適時に高周波電力を供給して成膜処理空間でクリー
ニング用プラズマを生成することを特徴とする。第4の
CVD装置(請求項4に対応)は、上記第1の構成にお
いて、ガスリザーバ内に均一板を備えたことを特徴とす
る。成膜処理空間に導入される材料ガスを分散させ、大
面積基板を成膜できるように均一化するためである。第
5のCVD装置(請求項5に対応)は、上記第1の構成
において、プラズマ生成空間の中間位置に放電用電極を
設け、この電極とプラズマ生成空間を形成する隔壁板お
よび上壁板との間でプラズマを生成することを特徴とす
る。第6のCVD装置(請求項6に対応)は、上記第1
の構成において、プラズマ生成空間の上側位置に放電用
電極を設け、この電極と隔壁板との間でプラズマを生成
することを特徴とする。
【0010】また上記CVD装置で実行される成膜方法
は、プラズマにより生成した活性種と材料ガスで基板に
成膜処理を行う方法であり、複数の孔が形成された隔壁
板で真空容器内をプラズマ生成空間と成膜処理空間に分
け、真空容器に供給される材料ガスを成膜処理空間に直
接に導入し、プラズマ生成空間で生成された活性種を、
隔壁板に形成された複数の孔を通して成膜処理空間に導
入する方法である。上記のプラズマを利用したCVDに
よる成膜方法は、上記第1の方法において、隔壁板に形
成された複数の孔の各々は、孔内でのガス流速をu、実
質的な孔の長さをL、相互ガス拡散係数をDとすると
き、uL/D>1の条件を満たす状態で活性種を成膜処
理空間に導入することを特徴とする。上記のプラズマを
利用したCVDによる成膜方法は、上記の各方法におい
て、好ましくは隔壁板に高周波電力を供給して成膜処理
空間にプラズマを生成し、成膜処理空間を適時にクリー
ニングすることを特徴とする。
【0011】
【発明の実施の形態】以下に、本発明の好適な実施形態
を添付図面に基づいて説明する。
【0012】図1〜図3を参照して本発明に係るCVD
装置の第1の実施形態を説明する。図1において、この
CVD装置では、例えばTEOSを材料ガスとして使用
し、通常のTFT用ガラス基板11の上面にシリコン酸
化膜をゲート絶縁膜として成膜する。CVD装置の容器
12は、成膜処理を行う際、排気機構13によってその
内部が所望の真空状態に保持される真空容器である。排
気機構13は真空容器12に形成された排気ポート14
に接続されている。真空容器12の内部空間は、導電性
部材で作られた隔壁板15によって、上側のプラズマ生
成空間16と下側の成膜処理空間17に分けられてい
る。上記ガラス基板11は、成膜処理空間17に設けら
れた基板保持機構18の上に配置されている。ガラス基
板11は隔壁板15に実質的に平行であって、その成膜
面が隔壁板15の下面に対向するように配置されてい
る。基板保持機構18は真空容器12の下容器12bの
底壁に固定され、真空容器12と同じ電位であり、接地
電位に保持されている。さらに基板保持機構18の内部
にはヒータ20が設けられている。このヒータ20によ
ってガラス基板11の温度は所定の温度に保持される。
【0013】図示されるごとく、真空容器12の内部
は、上記隔壁板15によって領域的にプラズマ生成空間
16と成膜処理空間17に分けられる。しかしながら、
隔壁板15には所定条件を満たす複数の孔22が貫通状
態で分散して形成されており、これらの複数の孔22を
介してプラズマ生成空間16と成膜処理空間17はつな
がっている。孔22の断面状態の一例を拡大して図2に
示す。孔22が満たす条件については後述される。
【0014】真空容器12の構造を詳しく述べる。真空
容器12は、その組立て性を良好にする観点から、プラ
ズマ生成空間16を形成する上容器12aと、成膜処理
空間16を形成する下容器12bとから構成される。上
容器12aと下容器12bを組み合わせて真空容器12
を作るとき、両者の間に、隔壁板15およびこれに関連
する部分を挟み込む。これによって、プラズマ生成空間
16と成膜処理空間17が形成される。他方、隔壁板1
5およびこれに関連する部分と、上記上容器12aとに
よってプラズマ生成空間16が形成されるが、図示され
るごとくプラズマ23を生成している領域は、前述の隔
壁板15と、導電性部材で作られた上壁板24と、これ
らをつなぐ複数のパイプ部材25と、中央位置に配置さ
れる電極26とから形成されている。隔壁板15と上壁
板24は、平行な位置にあり、複数のパイプ部材25で
結合され、一体化されている。隔壁板15と上壁板24
をつなぐ複数のパイプ部材25は、材料ガスを通す通路
として機能し、上壁板24の上側空間と隔壁板15の下
側空間すなわち成膜処理空間17とを連通させている。
パイプ部材25は導電性部材で形成され、その外面はセ
ラミックスカバー27で被われている。隔壁板15と電
極26と上壁板24は、上容器12aの側部内面に沿っ
て設けられた2つの環状絶縁部材28,29によって支
持され、固定される。環状絶縁部材28には、外側から
プラズマ生成空間17へ酸素ガスを導入する導入パイプ
30が設けられている。導入パイプ30は、流量制御を
行うマスフローコントローラ31を介して酸素ガス供給
源32に接続されている。
【0015】図3に電極部分の要部平面図を示す。電極
26には多数の孔26aが形成され、これらの孔26a
を利用して上記パイプ部材25が配置されている。
【0016】上壁板24と上容器12aの天井部との間
には、均一板33を備えてなるガスリザーバが設けられ
ている。均一板33は、複数の孔が均一に形成された板
材である。上容器12aの天井部には、材料ガスが導入
される導入パイプ34が設けられている。導入パイプ3
4によって真空容器12のガスリザーバに材料ガスが導
入される。さらに上容器12aの天井部には、電極26
に接続された電力導入棒35と、隔壁板15に接続され
た電力導入棒36とが設けられている。電力導入棒35
によって電極26に放電用高周波電力が給電される。電
力導入棒36によって隔壁板15にクリーニング用高周
波電力が給電される。電力導入棒35,36はいずれも
絶縁物37,38で被われており、他の金属部分との絶
縁が図られている。
【0017】上記のように構成されたCVD装置による
成膜方法を説明する。図示しない搬送ロボットによって
ガラス基板11が真空容器12の内部に搬入され、基板
保持機構18の上に配置される。真空容器12の内部
は、排気機構13によって排気され、減圧されて所定の
真空状態に保持される。次に、導入パイプ30を通して
酸素ガスが真空容器12のプラズマ生成空間16に導入
される。このとき酸素ガスの流量は外部のマスフローコ
ントローラ31で制御される。式(1),(2)を用い
て、酸素ガスの流量(QO2)と成膜処理空間側の圧力
(PO2)、および隔壁の温度(T)から酸素の流速
(u)が求められる。
【0018】
【数1】
【0019】一方、材料ガスであるTEOSが導入パイ
プ34を通して真空容器12の内部に導入される。TE
OSは、最初にガスリザーバに導入され、均一板33で
均一化され、次に複数のパイプ部材25を通って成膜処
理空間17に直接に導入される。成膜処理空間17に設
けられた基板保持機構18は、ヒータ20に通電が行わ
れているため、予め所定温度に保持されている。
【0020】上記の状態で、電極26に対して電力導入
棒35を介して高周波電力が供給される。高周波電力に
よって放電が生じ、プラズマ生成空間16内において電
極26の周囲に酸素プラズマ23が生成される。酸素プ
ラズマ23を生成することで、中性の励起種であるラジ
カル(励起活性種)が生成される。上記TEOSを真空
容器12の下容器12b内に導入するとき、TEOSが
直接に酸素プラズマ23に触れることはなく、TEOS
と酸素プラズマとが激しく反応することはない。
【0021】以上のごとく本実施形態によれば、CVD
装置において、真空容器12の内部空間を隔壁板15で
プラズマ生成空間16と成膜処理空間17に分け、プラ
ズマ生成空間16に酸素ガスを導入しかつ電極26に高
周波電力を供給して酸素プラズマ23を生成し、他方、
成膜処理空間17に直接にTEOSを導入するようにし
た。また隔壁板15に貫通状態で形成された複数の孔2
2の形態は、プラズマ生成空間16における酸素ガスと
成膜処理空間17におけるTEOSが、それぞれ孔22
を通って反対側の空間に物質移動流れを行うことおよび
拡散移動を行うことを想定するとき、その移動量を所望
範囲に制限するように決められている。すなわち、隔壁
の温度がTおよび成膜処理空間側の圧力がPO2のときの
ガスの相互ガス拡散係数をDとし、かつ図2に示すよう
に孔22の最小径部分の長さ(孔22の特徴的長さ)を
Lとするとき、前述の酸素ガスの流速を用いて、uL/
D>1の関係が満たされるように決められる。
【0022】上記uL/D>1の関係は次のように導き
出される。例えば貫通孔25を移動する酸素とTEOS
の関係に関しTEOSガス密度(ρTEOS)と拡散流速
(uTE OS)と相互ガス拡散係数(DTEOS-O2 )を用いて
下記の式(3)が成立する。貫通孔の特徴的長さをLと
すると、式(3)が式(4)に近似できる。式(4)の
両辺を比較した結果、TEOSの拡散流速(uTEOS)が
−DTEOS-O2 /Lで表わされる。従って、上記の式
(1)と(2)から得られる酸素流速をuとし、TEO
Sの拡散流速を−DTEOS-O2 /Lとした場合に、これら
の2つの流速の絶対値の比、すなわち|−u/(−D
TEOS-O2 /L)|=uL/DTEOS-O2 の値は酸素物質移
動速度とTEOS拡散速度の比であり、この比uL/D
TEOS-O2 を1以上にすることは、拡散の流量に比較して
対流による流量が大きいことを意味する。すなわち、u
L/DTEOS-O2 の値を1以上にすることは、TEOSの
拡散影響が少ないことを意味している。
【0023】
【数2】 ρTEOSTEOS=−DTEOS-O2 gradρTEOS (3) ρTEOSTEOS≒−DTEOS-O2 ρTEOS/L (4)
【0024】上記のように、プラズマ生成空間16と成
膜処理空間17は上記特性を有する孔22が多数形成さ
れた隔壁板15で区画されているため、成膜処理空間1
7に直接導入されたTEOSと酸素プラズマが接触する
ことは少なく、従来装置のごとく両者が激しく反応する
ことは防止される。
【0025】またプラズマ生成空間16で生じたラジカ
ルについては、ガラス基板11のCVD成膜に必要な適
量のラジカルが、隔壁板15に形成された孔22を通っ
て成膜処理空間17内へ拡散で移動する。これによりT
EOSはラジカルで活性化され、ガラス基板11の表面
に酸化膜(SiO2 )の成膜が行われる。
【0026】次に具体的な例を説明する。隔壁板15の
温度を200℃、隔壁板15の孔22の直径を0.5m
m、孔22の総数を1800個、酸素ガスのガス流量を
500sccm、成膜処理空間17での圧力100Pa、直
径0.5mmの部分の長さ(L)を3mmとすると、上
記式(4)の値は5.5となる。このような場合には、
拡散に比較して流れの影響が十分に大きいため、酸素プ
ラズマ23が充満しているプラズマ生成空間16へTE
OSが拡散することは少なく、その結果パーティクルの
発生は少なくなる。
【0027】次に成膜処理空間17のクリーニングにつ
いて説明する。本実施形態のCVD装置によれば、成膜
処理空間17内にプラズマが十分に拡散してこないの
で、成膜処理空間17に対してクリーニングを行うこと
が困難であるという問題を生じる。そこで、前述のごと
く電力導入棒36を隔壁板15に電気的に接続し、高周
波電力を供給することにより成膜処理空間17内に例え
ばNF3 プラズマを生成するようにした。生成されたプ
ラズマで成膜処理空間17の内部をクリーニングする。
電力導入棒36に高周波電力を供給してクリーニングを
行うタイミングは、予め決められた所定時間ごと、ある
いは所定の基板枚数ごと等の基準に基づいて適時に行わ
れる。
【0028】次に図4を参照して本発明に係るCVD装
置の第2の実施形態を説明する。図4において、図1で
説明した要素と実質的に同一の要素には同一の符号を付
し、ここで詳細な説明を反復することは省略する。本実
施形態の特徴的構成は、前述の上壁板24をなくし、上
部に円板状絶縁部材41を設け、かつその下側に電極2
6を配置するようにした。電極26と隔壁板15によっ
て平行平板タイプのプラズマ生成空間16を形成する。
また材料ガスを流す通路を形成する多数のパイプ部材2
5は、絶縁部材41と隔壁板15の間に設けられる。そ
の他の構成は第1実施形態の構成と実質的に同じであ
る。さらに、第2実施形態によるCVD装置による作
用、効果も前述の第1実施形態と同じである。
【0029】前述の実施形態では、材料ガスとしてTE
OSの例を説明したが、これに限定されず、他の材料ガ
スを用いることができるのはもちろんである。本発明の
原理的考えは、プラズマに材料ガスが接することにより
パーティクルが発生すること、基板へイオンが入射する
ことが問題となるすべての処理に応用でき、成膜、表面
処理、等方エッチング等に応用できる。
【0030】
【発明の効果】以上の説明で明らかなように本発明によ
れば、大面積基板にプラズマを利用したCVDによりT
EOS等の材料ガスを用いてシリコン酸化膜等を成膜す
る場合に、所定条件を満たす多数の貫通孔が形成された
隔壁板でプラズマ生成空間と成膜処理空間を区画し、材
料ガスはプラズマに触れることなく直接に成膜処理空間
に導入するようにしたため、材料ガスとプラズマとの間
の激しい化学反応を防止でき、その結果、パーティクル
の発生を抑制し、基板へのイオン入射を防止することが
できる。
【0031】成膜処理空間に材料ガスを直接に導入する
ための通路は複数設けられ、かつ当該通路の上流側には
均一板を備えたガスリザーバを設けたため、成膜処理空
間で材料ガスを均一に導入でき、かつ上記隔壁板に形成
された多数の孔によってラジカルも成膜処理空間に均一
に供給でき、これによって基板の表面近傍でのプラズマ
分布を良好にし、大面積基板への成膜を有効に行うこと
ができる。
【0032】また隔壁板にクリーニング用電力導入棒を
付設し、適時なタイミングで電力を供給してクリーニン
グを行えるようにしたため、隔壁板で区画してプラズマ
生成空間と成膜処理空間を形成したとしても、成膜処理
空間の清浄度を適切に維持することができる。
【図面の簡単な説明】
【図1】本発明の第1実施形態を示す要部縦断面図であ
る。
【図2】隔壁板に形成された孔の拡大断面図である。
【図3】図1において放電用電極を上側から見た一部断
面状態の部分平面図である。
【図4】本発明の第2実施形態の構成を示す要部縦断面
図である。
【符号の説明】
11 ガラス基板 12 真空容器 15 隔壁板 16 プラズマ生成空間 17 成膜処理空間 22 孔 23 プラズマ 25 パイプ部材 26 電極 33 均一板

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 真空容器内でプラズマを生成して活性種
    を発生させ、この活性種と材料ガスで基板に成膜処理を
    行うCVD装置において、 複数の孔が形成された隔壁板を設けて前記真空容器の内
    部をプラズマ生成空間と成膜処理空間に分け、 前記真空容器に供給された前記材料ガスは、前記プラズ
    マ生成空間と前記隔壁板を貫通しかつ分散して設けられ
    た複数の導電性の通路を通して前記成膜処理空間に直接
    に導入され、 前記プラズマ生成空間で生成された前記活性種は、前記
    隔壁板に形成された前記複数の孔を通して前記成膜処理
    空間に導入されることを特徴とするCVD装置。
  2. 【請求項2】 前記隔壁板に形成された前記複数の孔の
    各々は、孔内でのガス流速をu、実質的な孔の長さを
    L、相互ガス拡散係数をDとするとき、uL/D>1の
    条件を満たす状態で、前記活性種を前記成膜処理空間に
    導入することを特徴とする請求項1記載のCVD装置。
  3. 【請求項3】 前記隔壁部はクリーニング用高周波電力
    を供給する高周波給電部に接続され、前記隔壁部に適時
    に高周波電力を供給して前記成膜処理空間でクリーニン
    グ用プラズマを生成することを特徴とする請求項1また
    は2記載のCVD装置。
  4. 【請求項4】 ガスリザーバ内に均一板を備えたことを
    特徴とする請求項1記載のCVD装置。
  5. 【請求項5】 前記プラズマ生成空間の中間位置に放電
    用電極を設け、この電極と前記プラズマ生成空間を形成
    する前記隔壁板および上壁板との間でプラズマを生成す
    ることを特徴とする請求項1記載のCVD装置。
  6. 【請求項6】 前記プラズマ生成空間の上側位置に放電
    用電極を設け、この電極と前記隔壁板の間でプラズマを
    生成することを特徴とする請求項1記載のCVD装置。
JP02388799A 1998-02-26 1999-02-01 Cvd装置 Expired - Fee Related JP4151862B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP02388799A JP4151862B2 (ja) 1998-02-26 1999-02-01 Cvd装置
TW088102534A TW476807B (en) 1998-02-26 1999-02-22 CVD film formation apparatus
US09/255,852 US6245396B1 (en) 1998-02-26 1999-02-23 CVD apparatus and method of using same
KR1019990006256A KR100319075B1 (ko) 1998-02-26 1999-02-25 Cvd 성막 장치
US09/863,338 US6892669B2 (en) 1998-02-26 2001-05-24 CVD apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP10-62066 1998-02-26
JP6206698 1998-02-26
JP02388799A JP4151862B2 (ja) 1998-02-26 1999-02-01 Cvd装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008147664A Division JP2008283198A (ja) 1998-02-26 2008-06-05 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JPH11312674A true JPH11312674A (ja) 1999-11-09
JP4151862B2 JP4151862B2 (ja) 2008-09-17

Family

ID=26361321

Family Applications (1)

Application Number Title Priority Date Filing Date
JP02388799A Expired - Fee Related JP4151862B2 (ja) 1998-02-26 1999-02-01 Cvd装置

Country Status (4)

Country Link
US (1) US6245396B1 (ja)
JP (1) JP4151862B2 (ja)
KR (1) KR100319075B1 (ja)
TW (1) TW476807B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001345280A (ja) * 2000-03-28 2001-12-14 Hideki Matsumura 化学蒸着方法及び化学蒸着装置
JP2002212732A (ja) * 2001-01-22 2002-07-31 Anelva Corp Cvd装置のクリーニング方法
KR100721504B1 (ko) * 2001-08-02 2007-05-23 에이에스엠지니텍코리아 주식회사 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법
KR100798352B1 (ko) 2006-05-24 2008-01-28 주식회사 뉴파워 프라즈마 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
US20120269968A1 (en) * 2011-04-21 2012-10-25 Kurt J. Lesker Company Atomic Layer Deposition Apparatus and Process
KR20130062937A (ko) * 2010-04-28 2013-06-13 어플라이드 머티어리얼스, 인코포레이티드 수명이 짧은 종들을 위한 빌트-인 플라즈마 소스를 구비한 프로세스 챔버 리드 설계

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP3595190B2 (ja) * 1999-04-16 2004-12-02 株式会社日立製作所 半導体の製造方法及び半導体製造装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6427623B2 (en) 2000-06-23 2002-08-06 Anelva Corporation Chemical vapor deposition system
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US6602800B2 (en) * 2001-05-09 2003-08-05 Asm Japan K.K. Apparatus for forming thin film on semiconductor substrate by plasma reaction
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
GB0211354D0 (en) * 2002-05-17 2002-06-26 Surface Innovations Ltd Atomisation of a precursor into an excitation medium for coating a remote substrate
GB0212848D0 (en) * 2002-06-01 2002-07-17 Surface Innovations Ltd Introduction of liquid/solid slurry into an exciting medium
US7299657B2 (en) * 2002-07-12 2007-11-27 Corning Incorporated Method of making high strain point glass
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
CN1313867C (zh) * 2002-09-17 2007-05-02 统宝光电股份有限公司 制造薄膜晶体管液晶显示器的绝缘薄膜的组合设备
CA2471987C (en) * 2002-10-07 2008-09-02 Sekisui Chemical Co., Ltd. Plasma surface processing apparatus
US6893978B1 (en) 2002-12-03 2005-05-17 Silicon Magnetic Systems Method for oxidizing a metal layer
AU2003208030A1 (en) * 2003-02-06 2004-08-30 Eugene Technology Co., Ltd. Heater of chemical vapor deposition apparatus for manufacturing a thin film
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
JP2006049544A (ja) * 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法
JP4570659B2 (ja) * 2004-08-04 2010-10-27 インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ Dcバイアスを利用したリモートプラズマ原子層蒸着装置及び方法
US20060054279A1 (en) * 2004-09-10 2006-03-16 Yunsang Kim Apparatus for the optimization of atmospheric plasma in a processing system
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US20070221129A1 (en) * 2006-03-21 2007-09-27 Atto Co., Ltd Apparatus for depositing atomic layer using gas separation type showerhead
KR100757853B1 (ko) * 2006-08-30 2007-09-11 세메스 주식회사 플라즈마 생성 장치 및 이를 이용한 기판 처리 장치
JP4418027B2 (ja) * 2007-03-28 2010-02-17 キヤノンアネルバ株式会社 真空処理装置
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
EP3124733B1 (en) 2007-08-03 2018-05-02 VKR Holding A/S Multiple glazing unit having a variable thickness and an extruded border element
US8943769B2 (en) 2007-08-03 2015-02-03 Vkr Holding A/S Pane module for use in a window
EA021992B1 (ru) 2007-08-03 2015-10-30 Вкр Холдинг А/С Окно, содержащее стеклопакет с краевым элементом
CN101451237B (zh) 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
HUE053896T2 (hu) 2009-02-03 2021-07-28 Vkr Holding As Ablak, amely ablakszárnnyal és továbbfejlesztett zsanér-csatlakozással rendelkezik
CN103597119B (zh) 2009-07-08 2017-03-08 艾克斯特朗欧洲公司 用于等离子体处理的装置和方法
EP2498278A4 (en) * 2009-11-02 2016-02-17 Toray Industries PLASMA CHEMICAL VAPOR DEPOSITION DEVICE, AND METHOD FOR MANUFACTURING SILICON THIN FILM
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
TWI427183B (zh) * 2010-11-25 2014-02-21 Ind Tech Res Inst 電漿處理裝置
US8765232B2 (en) 2011-01-10 2014-07-01 Plasmasi, Inc. Apparatus and method for dielectric deposition
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN103782663B (zh) * 2011-09-08 2016-05-11 东芝三菱电机产业系统株式会社 等离子体产生装置、cvd装置及等离子体处理粒子生成装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9299956B2 (en) 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US10526708B2 (en) 2012-06-19 2020-01-07 Aixtron Se Methods for forming thin protective and optical layers on substrates
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR101526861B1 (ko) * 2013-08-16 2015-06-09 주식회사 테스 가스공급부 및 이를 구비한 박막증착장치
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
JP6651652B2 (ja) * 2016-12-05 2020-02-19 東芝三菱電機産業システム株式会社 活性ガス生成装置
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR20230085953A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2989063B2 (ja) * 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001345280A (ja) * 2000-03-28 2001-12-14 Hideki Matsumura 化学蒸着方法及び化学蒸着装置
JP2002212732A (ja) * 2001-01-22 2002-07-31 Anelva Corp Cvd装置のクリーニング方法
US8105440B2 (en) 2001-01-22 2012-01-31 Canon Anelva Corporation Method of cleaning a CVD device
KR100721504B1 (ko) * 2001-08-02 2007-05-23 에이에스엠지니텍코리아 주식회사 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법
KR100798352B1 (ko) 2006-05-24 2008-01-28 주식회사 뉴파워 프라즈마 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
KR20130062937A (ko) * 2010-04-28 2013-06-13 어플라이드 머티어리얼스, 인코포레이티드 수명이 짧은 종들을 위한 빌트-인 플라즈마 소스를 구비한 프로세스 챔버 리드 설계
JP2013527319A (ja) * 2010-04-28 2013-06-27 アプライド マテリアルズ インコーポレイテッド 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
US20120269968A1 (en) * 2011-04-21 2012-10-25 Kurt J. Lesker Company Atomic Layer Deposition Apparatus and Process
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process

Also Published As

Publication number Publication date
KR19990072926A (ko) 1999-09-27
JP4151862B2 (ja) 2008-09-17
TW476807B (en) 2002-02-21
US6245396B1 (en) 2001-06-12
KR100319075B1 (ko) 2001-12-29

Similar Documents

Publication Publication Date Title
JPH11312674A (ja) Cvd装置
JP4791637B2 (ja) Cvd装置とこれを用いた処理方法
JP4231417B2 (ja) 基板処理装置及びそのクリーニング方法
US6892669B2 (en) CVD apparatus
JP2000345349A (ja) Cvd装置
KR100767294B1 (ko) Cvd장치
JP4418027B2 (ja) 真空処理装置
JP5019726B2 (ja) 化学気相成長用の加熱基板支持
JP2938361B2 (ja) 薄膜トランジスタのための多段階cvd法
JP2003197620A (ja) シリコン酸化膜作製方法
JPS61232613A (ja) プラズマ気相反応装置
JP2002246381A (ja) Cvd方法
JP2008283198A (ja) プラズマ処理装置
JP2007184528A (ja) 半導体素子のトランジスタ形成方法
JP3837718B2 (ja) Cvd装置及びcvd装置における成膜後の後処理工程を行う方法
JP2002080968A (ja) Cvd装置
JPH0443642A (ja) ゲート絶縁膜の形成方法
JP4713747B2 (ja) 薄膜形成装置
JP2004111506A (ja) シリコン酸化膜作製方法
JP3100702B2 (ja) 減圧化学反応方法及びその装置
JPH01298169A (ja) 膜形成方法
JP2009091666A (ja) Cvd装置
JP2001345315A (ja) 薄膜製造方法
JP5078656B2 (ja) 原子層成長装置
JPH0936047A (ja) 半導体処理装置のガス供給制御方法及び半導体素子

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060119

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080404

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080409

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080603

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080625

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080627

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120711

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120711

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130711

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees