KR19990072926A - Cvd성막장치 - Google Patents

Cvd성막장치 Download PDF

Info

Publication number
KR19990072926A
KR19990072926A KR1019990006256A KR19990006256A KR19990072926A KR 19990072926 A KR19990072926 A KR 19990072926A KR 1019990006256 A KR1019990006256 A KR 1019990006256A KR 19990006256 A KR19990006256 A KR 19990006256A KR 19990072926 A KR19990072926 A KR 19990072926A
Authority
KR
South Korea
Prior art keywords
plasma
space
film formation
partition plate
film
Prior art date
Application number
KR1019990006256A
Other languages
English (en)
Other versions
KR100319075B1 (ko
Inventor
노가미히로시
Original Assignee
니시히라 순지
아네르바 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 니시히라 순지, 아네르바 가부시키가이샤 filed Critical 니시히라 순지
Publication of KR19990072926A publication Critical patent/KR19990072926A/ko
Application granted granted Critical
Publication of KR100319075B1 publication Critical patent/KR100319075B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Abstract

대면적 기판에 CVD에 의해 TEOS등을 이용하여 실리콘 산화막을 성막하는 경우, 먼지 입자의 발생을 억제하고, 기판으로의 이온 입사를 방지하고, 기판 근방에서의 플라즈마 분포를 양호하게 한다.
진공 용기(12)내에 플라즈마를 생성하여 활성종(래디컬(radical))을 발생시키고, 이 활성종과 재료 가스로 기판(11)에 성막 처리를 행하는 장치이고, 복수의 구멍(22)이 형성된 격벽판(15)을 설치하여 진공 용기의 내부를 플라즈마 생성 공간(16)과 성막 처리 공간(17)으로 분리하고, 재료 가스는 플라즈마 생성공간과 격벽판을 관통하면서 분산하여 설치된 복수의 통로를 통하여 성막 처리공간에 직접 도입되고, 플라즈마 생성 공간에서 생성된 활성종은 격벽판에 형성된 복수의 구멍을 통하여 성막 처리 공간에 도입된다.

Description

CVD 성막 장치{CVD DEPOSITION APPARATUS}
본 발명은 CVD 성막 장치에 관한 것이고, 더 상세하게, 플라즈마를 이용한 CVD 장치이고, 대형의 플랫 패널(flat panel)기판으로의 성막에 알맞은 CVD 성막 장치에 관한 것이다.
대형 액정 디스플레이의 제조 방법으로서, 종래에는, 고온 폴리 실리콘형 TFT(박막 트랜지스터)을 이용하는 것과 저온 폴리 실리콘형 TFT을 이용하는 것이 알려져 있다. 고온 폴리 실리콘형 TFT을 이용한 제조 방법에 있어서는, 고품질의 산화막 및 폴리 실리콘과의 산화막 계면을 얻기 위해서, 1000℃이상의 고온에 견디는 석영 기판이 사용되었다. 이것에 대하여, 저온 폴리 실리콘형 TFT의 제조에 있어서는, 통상의 TFT용 유리 기판을 이용하기 위해서, 저온 환경(예를 들어 400℃)에서 성막할 필요가 있다. 저온 폴리 실리콘형 TFT을 이용하여 액정 디스플레이를제조하는 방법은 특별한 기판을 사용할 필요가 없기 때문에, 근래에 실용화되어 그 생산량은 확대되고 있다.
저온 폴리 실리콘형 TFT을 이용하는 액정 디스플레이의 제조에서, 저온에서 게이트 절연막으로서 적당한 실리콘 산화막을 성막하는 경우, CVD가 사용된다. 이 CVD로 실리콘 산화막을 성막할 때, 대표적인 재료 가스로서는 실란, 테트라에톡시실란(이하, TEOS)이 사용된다.
재료 가스로서 TEOS을 이용하여 CVD로 실리콘 산화막을 성막하는 경우, 종래의 플라즈마 처리 장치에 의하면, 재료 가스를 플라즈마 처리 장치내에 생성된 플라즈마중에서 직접 공급하도록 되어 있었다. 재료 가스와 산소가 격렬하게 반응하여 기상중에서 반응물이 생성한다. 이 반응물이 TFT 소자에 결함이 생기게 하는 원인이 되는 먼지 입자이다. 먼지 입자의 발생에 의해 보류가 저하하는 문제가 있었다. 또, 플라즈마가 기판에 접하여 존재하기 때문에, 고에너지의 이온이 입사하여, 이 이온이 실리콘 산화막에 입사함으로써, 막특성이 악화되는 문제가 있었다.
종래에는, 상기 문제를 해결하기 위해서, 원격 플라즈마 방식을 이용한 플라즈마 처리장치가 제안되었다. 원격 플라즈마 방식에서는, 플라즈마 처리 장치 내에서, 플라즈마를 생성하여 래디컬과 같은 활성종이 생성되는 영역을 기판에서 분리하고, 또한 재료 가스가 기판의 배치 영역 가깝이 제공되도록 하고 있다. 플라즈마 영역에서 생성된 래디컬은 기판이 배치된 영역의 방향으로 확산하여, 기판 처리면의 전면 공간에 공급된다. 원격 플라즈마 방식의 플라즈마 처리 장치에 의하여, 플라즈마와 재료 가스의 격렬한 반응이 억제되어, 먼지 입자의 발생량이 저감되고, 또한 기판으로의 이온 입사도 제한하는 잇점이 있다.
그러나, 원격 플라즈마 방식의 플라즈마 처리 장치의 경우에는, 플라즈마 생성 영역과 기판 배치 영역이 접속 공간을 통해 분리되어 형성된다. 기판에서 분리된 곳에서 생성된 래디컬을 접속 공간을 통하여 확산작용으로 기판에 공급한다.
원격 플라즈마 방식은 성막 속도가 저하되고, 기판의 표면 근방에서의 분포가 나쁜 문제가 있었다. 특히, 기판의 표면 근방에서의 분포가 나쁘기 때문에, 대형 액정 디스플레이에 사용하는 대면적의 기판에 대응할 수 없는 문제가 제기되었다.
본 발명의 목적은 상기 문제를 해결하는 것에 있고, 저온 폴리 실리콘형 TFT을 이용한 대형 액정 디스플레이의 제조에서, 대면적 기판에 CVD에 의해 TEOS와 같은 재료 가스를 이용하여 실리콘 산화막을 성막하는 경우에, 먼지 입자의 발생을 억제하고, 기판의 이온 입사를 방지하여, 기판 근방에서의 플라즈마 분포를 양호하게 하고, 대면적 기판으로의 성막에 유효하게 이용할 수 있는 CVD 성막 장치를 제공하는 것에 있다.
도 1은 본 발명의 제 1 실시예를 도시하는 요부 종단면도,
도 2는 격벽판에 형성된 구멍의 확대 단면도,
도 3은 도 1에서 방전용 전극을 A-A에서 본 부분 단면도,
도 4는 본 발명의 제 2 실시예를 도시하는 요부 종단면도.
부호의 설명
11 유리 기판 12 진공 용기
15 격 벽 판 16 플라즈마 생성 공간
17 성막 처리 공간 22 구 멍
23 플라즈마 25 파이프 부재
26 전극 33 균일판
본 발명에 관한 CVD 성막 장치는 상기 목적을 달성하기 위해 다음과 같이 구성되어 있다.
본 발명의 CVD 성막 장치는 진공 용기내에 플라즈마를 생성하여 확성종(래디컬)을 발생시키고, 이 활성종과 재료 가스(precursor gas)로 기판에 성막 처리하는 장치이다. 본 발명의 CVD 성막 장치는 복수의 구멍이 형성된 격벽판을 설치하여 진공 용기의 내부를 플라즈마 생성 공간과 성막 처리 공간으로 분리한다. 진공 용기에 제공된 재료 가스는 플라즈마 생성 공간과 격벽판을 통하여 분산하여 설치된 복수의 도전성의 통로를 통하여 성막 처리 공간에 직접 도입되고, 플라즈마 생성 공간에서 생성된 활성종은 격벽판에 생성된 복수의 구멍을 통하여 성막 처리 공간에 도입된다. 본 발명의 플라즈마를 이용한 CVD 성막 장치는 재료 가스를 플라즈마가 생성되는 영역을 피하여, 기판 전면의 성막 처리 공간에 직접 도입하도록 되어 있다. 이것에 의해, 재료 가스와 플라즈마 가스사이에 격렬한 화학 반응이 일어나는 것을 막고, 먼지 입자의 발생을 억제한다.
격벽판에 형성된 구멍은 구멍내에서의 반응 가스(산소 가스)의 가스 유속을 u, 실질적인 구멍의 길이를 L, 상호 가스 확산 계수(즉, 산소 가스와 재료 가스의 상호 확산 계수)를 D로 할 때, u L / D > 1의 조건을 충족시킨다. 이 조건은 구멍을 통과시키고, 반응 가스(산소 가스)가 대류로서, 재료 가스가 확산에 의해 각각 반대측으로 이동하는 것을 상정할 때, 재료 가스의 확산에 의한 이동량이 억제되도록 설정되어 있다.
격벽판은 클리닝용 고주파 전력을 공급하는 고주파 급전부에 접속되어 있다. 격벽판에 적시에 고주파 전력을 제공하여 성막 처리 공간에 클리닝용 플라즈마를 생성한다.
복수의 통로의 입구측에 균일판을 구비한 가스 탱크(receiver)를 설치하였다. 성막 처리 공간에 도입되는 재료 가스를 분산시켜, 대면적 기판을 성막할 수 있도록 균일화한다.
플라즈마 생성 공간의 중간 위치에 방전용 전극을 설치한 것으로, 이 전극과 플라즈마 생성 공간을 형성하는 격벽판 및 상벽판사이에서 플라즈마를 생성한다.
플라즈마 생성 공간의 상측 위치에 방전용 전극을 설치한 것으로, 이 전극과 격벽판사이에서 플라즈마를 생성한다.
상기 CVD 성막 장치에서 실행되는 성막 방법은 플라즈마에 의해 생성된 활성종과 재료 가스로 기판에 성막 처리하는 방법이다. 복수의 구멍이 형성된 격벽판에서 진공 용기내를 플라즈마 생성 공간과 성막 처리 공간으로 분리한다. 진공 용기에 제공된 재료 가스를 성막 처리 공간에 직접 도입한다. 플라즈마 생성 공간에서 반응 가스로부터 생성된 활성종을 격벽판에 형성된 복수의 구멍을 통하여 성막 처리 공간에 도입한다.
격벽판에 형성된 복수의 구멍은 구멍내에서의 가스 유속을 u, 실질적인 구명의 길이를 L, 상호 가스 확산 계수를 D로 할 때, u L /D > 1의 조건을 충족시킨다.
바람직하게, 격벽판에 고주파 전력을 공급하여 성막 처리 공간에 플라즈마를 생성하여, 성막 처리 공간을 적시에 클리닝한다.
다음에, 본 발명의 적합한 실시형태를 첨부 도면에 따라서 설명한다.
도 1-도 3을 참조하여 본 발명에 관한 CVD 성막 장치의 제 1 실시형태를 설명한다. 도 1에서, CVD 성막 장치에서는 TEOS을 재료 가스로서 사용하고, 통상의 TFT용 유리 기판(11)의 상면에 실리콘 산화막을 게이트 절연막으로 하여 퇴적한다. CVD 성막 장치의 용기(12)는 성막 처리할 때, 배기 기구(13)에 의해 그 내부가 소망의 진공 상태로 유지되는 진공 용기이다. 배기 기구(13)는 진공 용기(12)에 형성된 배기 포트(14)에 접속되어 있다. 진공 용기(12)의 내부 공간은 도전성 부재로 만들어진 격벽판(15)에 의해, 위쪽의 플라즈마 생성 공간(16)과 아래쪽의 성막 처리 공간(17)으로 분리된다. 유리 기판(11)은 성막 처리 공간(17)에 설치된 기판 유지 기구(18) 위에 배치되어 있다. 유리 기판(11)은 격벽판(11)에 실질적으로 평행하고, 그 성막면이 격벽판(15)의 하면에 대향하도록 설치되어 있다. 기판 유지 기구(18)는 진공 용기(12)와 동일한 전위이고, 접지 전위로 유지된다. 기판 유지 기구(18)의 내부에는 히터(20)가 설치되어 있다. 히터(20)에 의해 유리 기판(11)의 온도는 일정한 온도로 유지된다.
도시된 바와 같이, 진공 용기(12)의 내부는 격벽판(15)에 의해 영역적으로 플라즈마 생성 공간(16)과 성막 처리 공간(17)으로 분리된다. 격벽판(15)에는 관통하는 복수의 구멍(22)이 분산되어 설치되어 있다. 복수의 구멍(22)을 통하여 플라즈마 생성 공간(16)과 성막 처리 공간(17)은 연결되어 있다. 구멍(22)의 단면을 확대하여 도 2에 도시하고 있다. 구멍(22)이 충족되는 조건에 관하여 후술되어 있다.
진공 용기(12)의 구조를 상세히 서술한다. 진공 용기(12)는 조립하기 쉬운 관점에서, 플라즈마 생성 공간(16)을 형성하는 상부 용기(12a)와 성막 처리 공간(16)을 형성하는 하부 용기(12b)로 구성되어 있다. 상부 용기(12a)와 하부 용기(12b)를 조립하여 진공 용기(12)를 만들 때, 양자 사이에 격벽판(15) 및 관련 부재를 끼운다. 이들 부품을 조립하여, 플라즈마 생성 공간(16)과 성막 처리 공간(17)이 형성된다. 한편, 격벽판(15), 그 관련 부재, 및 상기 상부 용기(12a)에 의해서 플라즈마 생성 공간(16)이 생성된다. 도시된 바와 같이, 플라즈마(23)를 생성하는 영역은 격벽판(15), 도전성 부재로 만들어진 상벽판(24), 이들을 잇는 복수의 파이프 부재(25), 중앙 위치에 배치된 전극(26)으로 형성되어 있다. 격벽판(15)와 상벽판(24)은 평행한 위치에 있고, 복수의 파이프 부재(25)로 결합되어 일체화되어 있다. 격벽판(15)과 상벽판(24)을 잇는 복수의 파이프 부재(25)는 재료 가스가 통하는 통로로서 작용한다. 상벽판(24)의 상측 공간과 격벽판(15)의 하측 공간 즉, 성막 처리 공간(17)을 연통시킨다. 파이프 부재(25)는 도전성 부재로 형성되어 있고, 바깥면은 세라믹 커버(27)로 덮어져 있다. 격벽판(15), 전극(26), 및 상벽판(24)은 상부 용기(12a)의 측부 내면에 따라 설치된 2개의 환형 절연 부재(28,29)에 의해 지지되어 있다. 환형 절연 부재(28)는 바깥측에서 플라즈마 생성 공간(17)에 산소 가스를 도입하는 도입 파이프(30)이 설치되어 있다. 도입 파이프(30)는 유량 제어를 하는 질량 유량 제어기(31)를 통하여 산소 가스 제공원(32)에 접속되어 있다.
도 3는 전극 부분의 요부 평면도를 나타낸다. 전극(26)은 다수의 구멍(26a)이 형성되어 있다. 구멍(26a)에 파이프 부재(25)가 배치되어 있다.
상벽판(24)과 상부 용기(12a)의 천정부사이에는 균일판(33)을 구비하고 있는 가스 탱크가 설치되어 있다. 균일판(33)은 복수의 구멍이 균일하게 형성된 판재이다. 상부 용기(12a)의 천정부에는 재료 가스가 도입되는 도입 파이프(34)가 설치되어 있다. 도입 파이프(34)에 의해 진공 용기(12)의 가스 탱크에 재료 가스가 도입된다. 또한, 상부 용기(12a)의 천정부에는 전극(26)에 접속된 전력 도입봉(35)과 격벽판(15)에 접속된 전력 도입봉(36)이 설치되어 있다. 전력 도입봉(36)에 의해 격벽판(15)에 클리닝용 고주파 전력이 급전된다. 전력 도입봉(35,36)은 모두 절연물(37,38)로 피복되어 있고, 다른 금속부와 절연되어 있다.
상기와 같은 CVD 성막 장치에 의한 성막 방법을 설명한다. 도시하지 않은 반송 로봇에 의해 유리 기판(11)이 진공 용기(12)의 내부에 반입되고, 기판 유지 기구(18)위에 배치된다. 진공 용기(12)의 내부는 배기 기구(13)에 의해서 배기되고, 감압되어 원하는 진공 상태로 유지된다. 다음에, 도입 파이프(30)를 통해서 산소 가스가 진공 용기(12)의 플라즈마 생성 공간(16)에 도입된다. 이 때, 산소 가스의 유량은 외부의 질량 유량 제어기(31)에 의해 제어된다. 식 (1), (2)를 사용하여, 산소 가스 유량(QO2)과 성막 처리 공간측의 압력(PO2), 및 격벽의 온도(T)로 산소의 유량(u)을 구할 수 있다.
QO2= ρO2u A (1)
PO2= ρO2RT/M (2)
여기서, ρO2: 산소 가스의 밀도 (㎏/m3)
M : 산소 가스의 분자량 (O2= 32)
T : 절대 온도 (k)
A : 격벽판(15)에 형성된 구멍(22)의 총 단면적 (m2)
u : 구멍(22)을 흐르는 산소 가스의 유속 (m/s)
R : 기체 정수 (8.314J/mol·k×10-3)
재료 가스인 TEOS가 도입 파이프(34)를 통하여 진공 용기(12)의 내부에 도입된다. TEOS는 최초에 가스 탱크에 도입되어, 균일판(33)에서 균일화되고, 복수의 파이프 부재(25)를 통하여 성막 처리 공간(17)에 직접 도입된다. 성막 처리 공간(17)에 설치된 기판 유지 기구(18)는 히터(20)에 통전이 행해지기 때문에, 미리 일정 온도로 유지되어 있다.
전극(26)에 대하여 전극 도입봉(35)을 통하여 고주파 전력이 제공된다. 고주파 전력에 의해서 방전이 생기고, 플라즈마 생성 공간(16)내에서 전극(26)의 주위에 산소 플라즈마(23)가 생성된다. 산소 플라즈마(23)를 생성하여, 중성의 여기(勵起)종인 래디컬(여기 활성종)이 생성된다. TEOS를 진공 용기(12)내에 도입할 때, TEOS가 직접 산소 플라즈마(23)에 접촉하지 않는다. 도입된 TEOS는 산소 플라즈마와 격렬하게 반응하지 않는다.
본 실시형태의 CVD 성막 장치는 진공 용기(12)의 내부 공간을 격벽판(15)으로 플라즈마 생성 공간(16)과 성막 처리 공간(17)으로 분리한다. CVD 성막 장치는 플라즈마 생성 공간(16)에 산소 가스를 도입하고, 또한 전극(26)에 고주파 전력을 제공하여 산소 플라즈마(23)를 생성한다. 한편, CVD 성막 장치는 성막 처리 공간(17)에 직접 TEOS을 도입한다.
격벽판(15)에 관통 상태로 형성된 복수의 구멍(22)의 형태는 플라즈마 생성 공간(16)에서의 산소 가스와 성막 처리 공간(17)에서의 TEOS가 각각 구멍(22)을 통하여 반대측 공간에 물질 이동 흐름 및 확산 이동하는 것을 상정할 때, 그 이동량을 원하는 범위로 제한하도록 정해져 있다. 즉, 격벽의 온도(T) 및 성막 처리 공간측의 압력이 PO2일 때의 가스 상호 확산 계수를 D로 하고, 관련된 도 2에 도시된 바와 같이, 구멍(22)의 최소 지름 부분의 길이(구멍(22)의 특징적 길이)를 L로 할 때, 상기 산소 가스의 유속을 이용하여, u L / D > 1 의 관계가 충족되도록 정해져 있다.
상기 u L > 1의 관계는 다음과 같이 도출된다. 예를 들어, 관통 구멍(25)을 이동하는 산소와 TEOS의 관계에 관한 TEOS 가스 밀도(ρTEOS)와 확산유속(uTEOS)과 상호가스 확산계수 (DTEOS-O2)를 사용하여 아래 식(3)이 성립한다. 관통 구멍의 특징적 길이를 L로 하면, 식(3)이 식(4)에 유사할 수 있다. 식(4)의 양변을 비교한 결과, TEOS의 확산유속(uTEOS)이 -DTEOS­O2/L로 나타내게 된다. 따라서, 상기의 식(1)과 (2)에서 얻어지는 산소 유속을 u로 하고, TEOS의 확산유속을 -DTEOS­O2/L 로 한 경우에, 이들 2개의 유속의 절대값의 비(比), 즉 |-u/(-DTEOS­O2/L)|= uL/DTEOS­O2의 값은 산소물질 이동속도와 TEOS 확산속도의 비이고, 비 uL/DTEOS­O2를 1 이상으로 하는 것은 확산 유량에 비교하여 대류에 의한 유량이 큰 것을 의미한다. 즉, uL / DTEOS­O2의 값을 1 이상으로 하는 것은 TEOS의 확산영향이 적은 것을 의미하고 있다.
ρTEOSuTEOS= -DTEOS­O2grad ρTEOS…… (3)
ρTEOSuTEOS≒ -DTEOS-O2ρTEOS/ L …… (4)
플라즈마 생성공간(16)과 성막 처리 공간(17)은 상기 조건을 가진 구멍(22)이 다수 형성된 격벽판(15)으로 구획되어 있다. 상기 조건을 가진 구멍(22)은 성막 처리 공간(17)에 직접 도입된 TEOS와 산소 플라즈마가 접촉하는 것을 적게 한다. 상기 조건을 가진 구멍(22)이 종래 장치와 같이 TEOS와 산소 플라즈마가 격렬하게 반응하는 것을 방지한다.
플라즈마 생성공간(16)에서 생긴 래디컬에 관해서는, 유리 기판(11)의 CVD 성막에 필요한 적량의 래디컬이 격벽판(15)에 형성된 구멍(22)을 통하여 성막 처리 공간(17)내에 확산하여 이동한다. 이것에 의해 TEOS는 래디컬로 활성화되어, 유리 기판(11)의 표면에 산화막(SiO2)이 성막된다.
다음에 구체적인 예를 설명한다. 격벽판(15)의 구멍(22)의 지름을 0.5mm, 구멍(22)의 총수를 1800개, 산소가스의 가스유량을 500sccm(0℃, 1기압당 부피유속 (cc/min)을 나타낸다. Qo₂= 1.19 × 10-5(kg/s)), 격벽의 온도를 약 200℃, 성막 처리 공간(17)에서의 압력 100Pa, 지름 0.5mm 부분의 길이(L)를 3mm, 상호 가스 확산 계수 D = 0.0225㎡/s 로 하면, ρo₂= 8.14 × 10-4(kg/㎥), A = 3.53 × 10-4(㎡)에서 u = 41.3(m/s)가 되고, 그리고 uL/DTEOS-O2의 값은 5.5가 된다. 이러한 경우에는, TEOS의 확산이동에 비교하여 산소가스의 대류 이동이 지배적이다. 산소 플라즈마(23)가 충만되어 있는 플라즈마 생성공간(16)에 TEOS가 확산하는 것은 작고, 그 결과 먼지 입자의 발생은 적어진다.
다음에 성막 처리 공간(17)의 클리닝에 관해서 설명한다. 본 실시형태의 CVD 성막 장치에 의하면, 성막 처리 공간(17)내에 플라즈마가 충분히 확산되지 않기 때문에, 성막 처리 공간(17)에 대하여 클리닝을 하는 것이 곤란한 문제가 생긴다. 전력 도입봉(36)을 격벽판(15)에 전기적으로 접속한다. 격벽판(15)에 고주파 전력을 공급함으로써 성막 처리 공간(17)내에 예컨대 NF3 플라즈마를 생성하도록 하였다. 생성된 플라즈마로 성막 처리 공간(17)의 내부를 클리닝한다. 전력 도입봉(36)에 고주파 전력을 공급하여 클리닝하는 타이밍은 소정의 일정시간마다 또는 일정한 기판 매수마다에 따라서 적시에 행해진다.
다음에 도 4를 참조하여 본 발명에 관한 CVD 성막 장치의 제 2 실시형태를 설명한다. 도 4에 있어서, 도 1에서 설명한 요소와 실질적으로 동일한 요소에는 동일 부호를 붙이고, 여기서 상세한 설명을 반복하는 것은 생략한다. 본 실시형태의 특징적 구성은 상기 상벽판(24)을 없애고, 상부에 원판 형상 절연부재(41)를 설치하고, 또한 아래쪽에 전극(26)을 배치하도록 하였다. 전극(26)과 격벽판(15)에 의해서 평행 평판 타입의 플라즈마 생성 공간(16)을 형성한다. 재료 가스가 흐르는 통로를 형성하는 다수의 파이프 부재(25)는 절연 부재(41)와 격벽판(15)사이에 설치되어 있다. 그외 구성은 제 l 실시형태의 구성과 실질적으로 같다. 또, 제 2 실시형태에 의한 CVD 성막장치에 의한 작용, 효과도 상기 제 l 실시형태와 같다.
상기 실시형태에서는, 재료 가스로서 TEOS의 예를 설명하였지만, 이것에 한정되지 않고, 다른 재료가스를 사용할 수 있다. 본 발명의 원리적 생각은 플라즈마에 재료가스가 접함으로써 먼지 입자가 발생하는 것, 기판에 이온이 입사하는 것이 문제가 되는 모든 처리에 응용할 수 있고, 성막, 표면처리, 등방(等方) 에칭에 응용할 수 있다.
이상의 설명에서 알 수 있듯이, 본 발명에 의하면, 대류 이동이 지배적인 조건을 충족시키는 관통 구멍이 형성된 격벽판으로 플라즈마 생성 공간과 성막 처리 공간을 구획하였다. 재료 가스는 플라즈마에 접촉하지 않고 직접 성막 처리 공간에 도입하도록 하였다. 재료 가스와 플라즈마사이의 격렬한 화학반응을 방지할 수 있고, 그 결과, 먼지 입자의 발생을 억제하여, 기판으로의 이온입사를 방지할 수 있다.
성막 처리 공간에 재료가스를 직접 도입하기 위한 통로가 복수개 설치되어 있고, 또한 통로의 상류측에는 균일판을 구비한 가스 탱크를 설치하였다. 성막 처리 공간에서 재료가스를 균일하게 도입할 수 있고, 또한 격벽판에 형성된 다수의 구멍에 의해서 래디컬도 성막처리공간에 균일하게 공급할 수 있다. 이것에 의해서 기판의 표면근방에서의 플라즈마분포를 양호하게 하여, 큰 면적기판으로의 성막을 유효하게 할 수 있다.
격벽판에 클리닝용 전력 도입봉을 설치하였다. 적시의 타이밍으로 전력을 공급하여 클리닝을 할 수 있도록 하였다. 격벽판으로 구획하여 플라즈마 생성 공간과 성막 처리 공간을 형성하였다고 해도, 성막 처리 공간의 청정도(淸淨度)를 적절하게 유지할 수 있다.

Claims (6)

  1. 진공 용기내에 플라즈마를 생성하여 활성종을 발생시키고, 그 활성종과 재료 가스로 기판에 성막 처리하는 CVD 성막 장치에 있어서,
    복수의 구멍이 형성된 격벽판을 설치하여 상기 진공 용기의 내부를 플라즈마 생성 공간과 성막 처리 공간으로 분리하고,
    상기 진공 용기에 제공된 상기 재료 가스는 상기 플라즈마 생성 공간과 상기 격벽판을 관통하고 또한 분산되어 설치된 복수의 도전성 통로를 통해 상기 성막 처리 공간에 직접 도입되고,
    상기 플라즈마 생성 공간에서 생성된 상기 활성종은 상기 격벽판에 형성된 상기 복수의 구멍을 통하여 상기 성막 처리 공간에 도입되는 것을 특징으로 하는 CVD 성막 장치.
  2. 제 1 항에 있어서, 상기 격벽판에 형성된 상기 복수의 구멍 각각은 구멍내에서의 가스 유속을 u, 실질적인 구멍의 길이를 L, 상호 가스 확산 계수를 D로 할 때, u L / D > 1의 조건을 충족시키는 상태에서, 상기 활성종을 상기 성막 처리 공간에 도입하는 것을 특징으로 하는 CVD 성막 장치.
  3. 제 1 항에 있어서, 상기 격벽부는 클리닝용 고주파 전력을 공급하는 고주파 급전부에 접속되고, 상기 격벽부에 적시에 고주파 전력을 공급하여 상기 성막 처리 공간에서 클리닝용 플라즈마를 생성하는 것을 특징으로 하는 CVD 성막 장치.
  4. 제 1 항에 있어서, 상기 복수의 통로의 입구측에 균일판을 구비한 가스 탱크를 설치한 것을 특징으로 하는 CVD 성막 장치.
  5. 제 1 항에 있어서, 상기 플라즈마 생성 공간의 중간 위치에 방전용 전극을 설치하고, 그 전극과 상기 플라즈마 생성 공간을 형성하는 상기 격벽판 및 상벽판사이에 플라즈마를 생성하는 것을 특징으로 하는 CVD 성막 장치.
  6. 제 1 항에 있어서, 상기 플라즈마 생성 공간의 상측 위치에 방전용 전극을 설치하고, 그 전극과 상기 격벽판사이에 플라즈마를 생성하는 것을 특징으로 하는 CVD 성막 장치.
KR1019990006256A 1998-02-26 1999-02-25 Cvd 성막 장치 KR100319075B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP6206698 1998-02-26
JP98-62066 1998-02-26
JP99-23887 1999-02-01
JP02388799A JP4151862B2 (ja) 1998-02-26 1999-02-01 Cvd装置

Publications (2)

Publication Number Publication Date
KR19990072926A true KR19990072926A (ko) 1999-09-27
KR100319075B1 KR100319075B1 (ko) 2001-12-29

Family

ID=26361321

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990006256A KR100319075B1 (ko) 1998-02-26 1999-02-25 Cvd 성막 장치

Country Status (4)

Country Link
US (1) US6245396B1 (ko)
JP (1) JP4151862B2 (ko)
KR (1) KR100319075B1 (ko)
TW (1) TW476807B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100440632B1 (ko) * 2001-01-22 2004-07-21 아넬바 가부시기가이샤 Cvd 장치의 클리닝 방법
KR100757853B1 (ko) * 2006-08-30 2007-09-11 세메스 주식회사 플라즈마 생성 장치 및 이를 이용한 기판 처리 장치

Families Citing this family (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP3595190B2 (ja) * 1999-04-16 2004-12-02 株式会社日立製作所 半導体の製造方法及び半導体製造装置
JP2001345280A (ja) * 2000-03-28 2001-12-14 Hideki Matsumura 化学蒸着方法及び化学蒸着装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
TW527436B (en) 2000-06-23 2003-04-11 Anelva Corp Chemical vapor deposition system
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US6602800B2 (en) * 2001-05-09 2003-08-05 Asm Japan K.K. Apparatus for forming thin film on semiconductor substrate by plasma reaction
KR100721504B1 (ko) * 2001-08-02 2007-05-23 에이에스엠지니텍코리아 주식회사 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
GB0211354D0 (en) * 2002-05-17 2002-06-26 Surface Innovations Ltd Atomisation of a precursor into an excitation medium for coating a remote substrate
GB0212848D0 (en) * 2002-06-01 2002-07-17 Surface Innovations Ltd Introduction of liquid/solid slurry into an exciting medium
US7299657B2 (en) * 2002-07-12 2007-11-27 Corning Incorporated Method of making high strain point glass
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
CN1313867C (zh) * 2002-09-17 2007-05-02 统宝光电股份有限公司 制造薄膜晶体管液晶显示器的绝缘薄膜的组合设备
EP1475824A4 (en) * 2002-10-07 2006-11-15 Sekisui Chemical Co Ltd PLASMA FILM FORMATION SYSTEM
US6893978B1 (en) 2002-12-03 2005-05-17 Silicon Magnetic Systems Method for oxidizing a metal layer
JP4430548B2 (ja) * 2003-02-06 2010-03-10 ユージン テクノロジー カンパニー リミテッド 薄膜を製造するための化学的気相蒸着装置のヒータ
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
JP2006049544A (ja) * 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法
JP4570659B2 (ja) * 2004-08-04 2010-10-27 インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ Dcバイアスを利用したリモートプラズマ原子層蒸着装置及び方法
US20060054279A1 (en) * 2004-09-10 2006-03-16 Yunsang Kim Apparatus for the optimization of atmospheric plasma in a processing system
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US20070221129A1 (en) * 2006-03-21 2007-09-27 Atto Co., Ltd Apparatus for depositing atomic layer using gas separation type showerhead
KR100798352B1 (ko) 2006-05-24 2008-01-28 주식회사 뉴파워 프라즈마 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
WO2008123060A1 (ja) * 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
WO2009018827A1 (en) 2007-08-03 2009-02-12 Vkr Holding A/S A method for making a pane module and a window comprising such a pane module
PL3103954T3 (pl) 2007-08-03 2018-08-31 Vkr Holding A/S Podwójny moduł szybowy zawierający wstępnie naprężoną linkę w swoim formowanym elemencie brzegowym
ES2538675T3 (es) 2007-08-03 2015-06-23 Vkr Holding A/S Método de montaje de un acristalamiento aislante a un marco de ventana mediante un herraje integrado en su borde extruido
CN101451237B (zh) 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
EP3299566B1 (en) 2009-02-03 2020-03-25 VKR Holding A/S A window having a sash and means for alleviation of condensation
EP2451991B1 (en) 2009-07-08 2019-07-03 Aixtron SE Method for plasma processing
WO2011052463A1 (ja) * 2009-11-02 2011-05-05 東レ株式会社 プラズマcvd装置、および、シリコン薄膜の製造方法
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
WO2011139775A2 (en) * 2010-04-28 2011-11-10 Applied Materials, Inc. Process chamber lid design with built-in plasma source for short lifetime species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
TWI427183B (zh) * 2010-11-25 2014-02-21 Ind Tech Res Inst 電漿處理裝置
US8765232B2 (en) 2011-01-10 2014-07-01 Plasmasi, Inc. Apparatus and method for dielectric deposition
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
JP5694543B2 (ja) * 2011-09-08 2015-04-01 東芝三菱電機産業システム株式会社 プラズマ発生装置、cvd装置およびプラズマ処理粒子生成装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9299956B2 (en) 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US10526708B2 (en) 2012-06-19 2020-01-07 Aixtron Se Methods for forming thin protective and optical layers on substrates
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR101526861B1 (ko) * 2013-08-16 2015-06-09 주식회사 테스 가스공급부 및 이를 구비한 박막증착장치
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
EP3550594B1 (en) * 2016-12-05 2021-06-23 Toshiba Mitsubishi-Electric Industrial Systems Corporation Active gas generation device
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2989063B2 (ja) * 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100440632B1 (ko) * 2001-01-22 2004-07-21 아넬바 가부시기가이샤 Cvd 장치의 클리닝 방법
KR100757853B1 (ko) * 2006-08-30 2007-09-11 세메스 주식회사 플라즈마 생성 장치 및 이를 이용한 기판 처리 장치

Also Published As

Publication number Publication date
TW476807B (en) 2002-02-21
JP4151862B2 (ja) 2008-09-17
JPH11312674A (ja) 1999-11-09
KR100319075B1 (ko) 2001-12-29
US6245396B1 (en) 2001-06-12

Similar Documents

Publication Publication Date Title
KR100319075B1 (ko) Cvd 성막 장치
US6892669B2 (en) CVD apparatus
KR100440632B1 (ko) Cvd 장치의 클리닝 방법
KR100767294B1 (ko) Cvd장치
US6955836B2 (en) Silicon oxide film formation method
KR101183486B1 (ko) 진공처리 장치
JP4418027B2 (ja) 真空処理装置
KR20040025597A (ko) 박막형성 장치 및 방법
US20050255712A1 (en) Method of cvd for forming silicon nitride film on substrate
JP2000345349A (ja) Cvd装置
JPWO2008117832A1 (ja) 真空処理装置
KR20090092257A (ko) Cvd 방법
KR20060053904A (ko) 기판 처리장치 및 이것을 사용한 기판 처리방법
JP2008283198A (ja) プラズマ処理装置
JPS61232612A (ja) 気相反応装置
JP4650919B2 (ja) Cvd装置
KR20130108803A (ko) 기판 처리 장치 및 기판 처리 방법
JP3837718B2 (ja) Cvd装置及びcvd装置における成膜後の後処理工程を行う方法
KR101987138B1 (ko) 기판 처리 장치 및 기판 처리 방법
EP0478777A1 (en) COATED DIELECTRIC MATERIAL FOR AN OZONE GENERATOR.
JP2002246386A (ja) 基板処理方法
JP5078656B2 (ja) 原子層成長装置
JP2009091666A (ja) Cvd装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
O035 Opposition [patent]: request for opposition
O074 Maintenance of registration after opposition [patent]: final registration of opposition
O132 Decision on opposition [patent]
FPAY Annual fee payment

Payment date: 20121121

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20131118

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20141120

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee