TW476807B - CVD film formation apparatus - Google Patents

CVD film formation apparatus Download PDF

Info

Publication number
TW476807B
TW476807B TW088102534A TW88102534A TW476807B TW 476807 B TW476807 B TW 476807B TW 088102534 A TW088102534 A TW 088102534A TW 88102534 A TW88102534 A TW 88102534A TW 476807 B TW476807 B TW 476807B
Authority
TW
Taiwan
Prior art keywords
plasma
space
film
partition wall
wall plate
Prior art date
Application number
TW088102534A
Other languages
English (en)
Inventor
Hiroshi Nogami
Original Assignee
Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Anelva Corp filed Critical Anelva Corp
Application granted granted Critical
Publication of TW476807B publication Critical patent/TW476807B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Liquid Crystal (AREA)

Description

476807 A7 B7 五、發明説明(1 ) 【發明所屬之技術領域】 本發明係關於一種CVD成膜裝置,尤其是一種利用電漿 的CVD裝置,且關於適合對大型之平面型基板予以成膜的 CVD成膜裝置。 【習知技術】 作為大型的液晶顯示器之製作方法,習知為人所週知者 有利用高溫多晶矽型TFT (薄膜電晶體)、及利用低溫多晶 矽(poly Si 1 icon)型TFT者。利用高溫多晶矽型TFT之製作 方法,由於可獲得高品質的氧化膜及多晶矽的氧化膜界面, 所Κ可使用耐高溫1000 °CK上的石英基板。相對於此在低 溫多晶矽型TFT之製作中,由於使用普通的TFT用玻璃基板 ,所以有在低溫環境(例如40 (TC )中進行成膜的必要。利 用低溫多晶矽型TFT製作液晶顯示器的方法,由於沒有使 用特別的基板之必要,所以近年來已被實用化,且其生產 量也日漸擴大。 利用低溫多晶矽型TFT的液晶顯示器之製作,在低溫下 將適當的氧化矽膜予K成膜而當作閘絕緣膜的情況,可使 用C V D法。在利用該C V D法將氧化矽膜予Μ成膜之際,可使 用矽烷、四甲氧基矽烷(Μ下稱為TE0S)作為代表性的材料 氣體。 在使用TE0S作為材料氣體且利用CVD法將氧化矽膜予Μ 成膜的情況,若依據習知的電漿處理裝置,則會直接將材 料氣體供給至電襲寧理裝茸1隹—成J 11生、。材料氣體與 氧因激烈反應而在氣相中生成反應物。該反應物會造成缺 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) - 4 一 ----------^衣 JI (請先閲讀背面之注意事項再填寫本頁) 、1Τ 經濟部智慧財產局員工消費合作社印製 476807 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明 2 ) 1 陷 在 TFT元件ΐ *產生塵粒子。 因塵粒子之發生而有良率降 1 1 低 的 問 題 〇 再 者 因 電 漿 與 基 板 接 觸 而 存 在 於 基 板 中 9 所 以 1 1 I 因 射 入 高 能 源 的 離 子 9 而 使 該 離 子 射 入 於 氧 化 矽 膜 中 也 會 請 先 1 1 有 膜 特 性 惡 化 的 問 題 〇 閱 讀 皆 1 I 月 面 1 [ 發 明 所 欲 解 決 之 問 題 ] 之 1 注 1 很 早 Μ 前 為 了 解 決 上 逑 問 題 5 有 提 案 一 種 利 用 遶 距 離 電 意 事 項 1 漿 方 式 的 電 漿 處 理 裝 置 0 遠 距 離 電 漿 方 式 9 係 在 電 漿 處 理 再 填 寫 Φ 裝 置 内 生 成 電 漿 Μ 將 生 成 有 如 白 由 基 般 的 活 性 種 之 區 域 脫 本 頁 離 基 板 9 而 且 材 料 氣 體 可 供 給 至 基 板 之 配 置 區 域 的 附 近 〇 1 1 在 電 漿 區 域 所 生 成 的 g 由 基 會 朝 配 置 基 板 的 區 域 之 方 1 | 向 擴 散 , 而 供 給 至 基 板 處 理 面 的 前 面 空 間 内 〇 若 依 據 遠 距 1 訂 離 電 漿 方 式 之 電 漿 處 理 裝 置 9 則 可 抑 制 電 漿 與 材 料 氣 體 之 1 激 烈 反 應 9 並 可 減 低 塵 粒 子 之 發 生 量 而 且 具 有 限 制 離 子 1 1 射 入 基 板 的 優 點 〇 1 然 而 , 在 遠 距 離 電 漿 方 式 之 電 漿 處 理 裝 置 的 情 況 時 , 電 1 艟 漿 生 成 jm» 域 和 基 板 配 置 區 域 係 透 過 連 接 空 間 而 Μ 離 形 成 〇 T I 將 離 開 基 板 之 處 所 生 成 的 白 由 基 通 趣 連 接 空 間 而 利 用 擴 散 1 1 作 用 供 給 至 基 板 上 〇 1 1 遠 距 離 電 漿 方 式 9 會 有 成 膜 速 度 變 低 9 在 基 板 之 表 面 近 1 1 旁 的 分 布 很 差 的 問 題 〇 尤 其 是 5 由 於 在 基 板 之 表 面 近 旁 的 J 分 布 很 差 9 所 以 有 無 法 對 應 大 型 液 晶 顯 示 器 中 所 使 用 之 大 1 1 面 積 基 板 的 問 題 〇 1 1 I 本 發 明 係 為 了 解 決 上 述 的 問 題 而 成 者 9 其 巨 的 係 在 於 提 1 1 供 種 Μ 利 用 低 溫 多 晶 矽 型 T F T之大型液晶顯示器的製作 1 1 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) - 5 - 476807 A7 B7 五、發明説明(3 ) ,在大面積基板上MCVD法使用如TE0S的材料氣體將氧化 矽膜予Μ成膜的情況時,可抑制塵粒子之發生,防止離子 射入基板,使基板近旁之電漿分布變佳,且在對大面積基 板進行成膜時可有效利用的CVD成膜裝置。 【解決問題之手段及作用】 有關本發明之CVD成膜裝置,係為了達成上述目的而如 Μ下所構成。 本發明之CVD成膜裝置,係在真空容器內生成電漿Μ使 活性種(自由基:radical)發生,且利用該活性種和材料 氣體(precursor gas)在基板上進行成膜處理的裝置。本 發明之CVD成膜裝置,設置形成有複數個孔之隔壁板用K 將真空容器之内部區分成電漿生成空間及成膜處理空間。 供給至真空容器內的材料氣體,係貫穿電漿生成空間和隔 壁板且通過分散而設的複數個導電性之通路而直接導入於 成膜處理空間內,而在電漿生成空間所生成的活性種,係 通過形成隔壁板上之複數個孔而導入於成膜處理空間内。 利用本發明之電漿的CVD成膜裝置,係避開電漿所生成的 區域,將材料氣體直接導入於基板前面之成膜處理空間内 。藉以防止在材料氣體與電漿之間發生激烈的化學反應, 且抑制塵粒子之發生。 形成於隔壁板上的孔,係在將孔a的反嫕氣體(氧氣)之 氣體流速設為u,將實質的孔畏度設為L,將相互氣體擴散 係數(亦即氧氣與材料氣體的相互擴散係數)設為D時,會 滿足u L / D > 1之條件。該條件係設定成當假設通過孔,使反 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 公釐) I ——I—-IΦΙΊ (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 6 476807 A7 B7 五、發明説明(4 ) 應氣體(氧氣)對流,而材料氣體因擴散而移動至各自相反 側時,就會藉由材料氣體之擴散而抑制移動量。 (請先閱讀背面之注意事項再填寫本頁) 隔壁部係連接在供給清潔用高頻電力的高頻供電部上。 適時地對隔壁部供給高頻電力K在成膜處理空間內生成清 潔用電漿。 在複數個通路之入口側,設置備有均等板的氣體貯氣筒 。在使導入於成膜處理空間内的材料氣體分散,且可將大 面積基板予K成膜下均勻化。 藉由在電漿生成空間之中間位置設置放電用電極,以在 該電極和形成電漿生成空間的隔壁板及上壁板之間生成電 漿。 藉由在電漿生成空間之上側位置設置放電用電極,以在 該電極和前述隔壁板之間生成電漿。 經濟部智慧財產局員工消費合作社印製 在上述CVD成膜裝置中所執行的成膜方法,係利用由電 漿所生成的活性種和材料氣體在基板上進行成膜處理的方 法。利用形成有複數個孔之隔壁板將真空容器之内部區分 成電漿生成空間及成膜處理空間,將供給至真空容器内的 材料氣體,直接導入於成膜處理空間内,將在電漿生成空 間由反應氣體所生成的活性種,通過形成於隔壁板上之複 數個孔而導入於成膜處理空間内。 隔壁板上所形成的複數個孔,係在將孔内的氣體流速設 為u,將實質的孔長度設為L,將相互氣體擴散係數設為D 時,會滿足u L / D > 1之條件。 較佳者為,對隔壁板供給高頻電力以在成膜處理空間内 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -7 - 476807 A7 B7 五、發明説明(5 ) 生成電漿,且適時地清潔成膜處理空間。 【發明之實施形態】 Μ下係根據附圖說明本發明之較佳的實施形態。 參照圖1至圖3說明有關本發明之CVD成膜裝置的第一實 施形態。在圖1中,該CVD成膜裝置,係使用TE0S當作材料 氣體,且在普通的TFT用玻璃基板11上面堆積氧化矽膜Μ 作為閘絕緣膜。CVD成膜裝置之容器12,係在進行成膜處 理之際,依排氣機構1 3使其內部保持於所希望之真空狀態 的真空容器。排氣機構13係連接在形成於真空容器12内的 排氣埠14上。真空容器12之內部空間,係依導電性構件所 製成的隔壁板1 5劃分成上側的電漿生成空間1 6和下側的成 膜處理空間1 7。玻璃基板1 1,係配置在設於成膜處理空間 17内的基板保持機構18上。玻璃基板11實質上與隔壁板15 平行,且將其成膜面配置成與隔壁板15之下面相對。基板 保持機構1 8係與真空容器1 2同電位,且保持於接地電位。 在基板保持機構18之內部設有加熱器20。玻璃基板11之溫 度可依該加熱器2 0而保持於一定溫度。 如圖示般,真空容器12之內部,可依隔壁板15區域性地 分成電漿生成空間16和成膜處理空間17。在隔壁板15上分 散設有貫穿隔壁板15的複數個孔22。電漿生成空間16和成 膜處理空間17係透過該等的複數孔2 2而聯繫。將孔22之截 面擴大而顯示於圖2上。有關滿足孔2 2的條件將於後述。 詳述真空容器12之構造。真空容器12從其容易組裝之觀 點來看係由形成電漿生成空間16的上容器12a、及形成電 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 一 8 一 I-----.——0J, (請先閱讀背面之注意事項再填寫本頁)
、1T 經濟部智慧財產局員工消費合作杜印製 476807 A7 B7 五、發明説明(6 ) 漿生成空間16的下容器12b所構成。當組合上容器12a和下 容器12bM製作真空容器12時,會在兩者之間夾住隔壁板 15及與之關聯的構件。藉由組裝該等的構件’就可形成電 漿生成空間16和成膜處理空間17。另一方面’可依隔壁板 15及與之關聯的構件、和上述上容器12a來形成電漿生成 空間16。如圖示般生成電漿23的區域,係由隔壁板15、由 導電性構件所製作的上壁板24、聯繫該等的複數個管構件 25、及配置於中央位置的電極26所形成。隔壁板15和上壁 板24,係位於平行的位置上,且由複數個管構件25所结合 且一體化。聯繫隔壁板15和上壁板24的複數個管構件25 ’ 係發揮用以通過材料氣體的通路功能。使上壁板2 4之上側 空間和隔壁板1 5之下側空間(即成膜處理空間1 7 )相連通。 管構件25係由導電性構件所形成,其外面係由陶瓷蓋27所 被覆。隔壁板15、電極26及上壁板24,係由沿著上容器 12a之側部內面而設的二個環狀絕緣構件28、29所支撐。 在環狀絕緣構件28上設有導入管30M將氧氣由外側導入於 成膜處理空間1 7内。導入管3 0,係透過進行流量控制的流 量控制器3 1而連接到氧氣供給源3 2上。 圖3係顯示電極部分的重要部位平面圖。在電極26上形 成有多數孔26a。在該等孔26a上配置有管構件25。 在上壁板24和上容器12a之頂部之間設有具備均等板33 的貯氣器(gas reservoir)。均等板33係均等形成複數個 孔的板材。在上容器12a之頂部設有導入材料氣體的導人 管34。可利用導入管34將材料氣體導入於真空容器12之貯 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) I--------^-I.J — (請先閲讀背面之注意事項再填寫本頁)
、1T 經濟部智慧財產局員工消費合作社印製 9 476807 A7 —^^ __^ 五、發明説明(7 ) 氣 器 内 〇 進而 在 上容器12a之頂部設有連接電極26的電力 導 入 棒 35 、和 連 接隔壁板15的電力導入 棒36 。可利用電力 導 入 棒 3 5供給 清 潔用高頻電力。電力導 入棒 35、36係各別 由 絕 緣 物 37 > 38所被覆,可謀求與其他 金屬 部分間的絕緣 說 明 如 上述 CVD成膜裝置的成膜方法、 >玻璃基板11可利 用 未 圖 示 的搬 蓮 機器人搬入於真空容器 12之 内部。並配置 於 基 板 保 持機 構 18之上。真空容器12之 内部 ,可依排氣機 構 13 進 行 排氣 及 減壓並保持於所希望的 真空 狀態。其次, 氧 氣 可 通 過導 入 管30導入於真空容器12之電 漿生成空間1 6 內 〇 此 時 氧氣 的 流量可由外部的流量控 制器 3 1來控制。使 用 式 子 ⑴ 及式 子 ⑵,從氧氣流量(Q 0 2 ) 、合成膜處理空間 側 的 壓 力 (P 0 2 :)^ .及隔壁的溫度(T)中求 出氧 流量(u )。 C 數 學式 子 1 ] C 1 0 2 :=P c 1 2 u A......W F > 0 2 :=P c ,2RT/M......(2) 其中,i Q 〇 2 :氧氣之密度(k g / m 3 ) Μ : 氧氣之分子量(0 2 = 32 ) Ί Γ : 絕對溫度(k ) i \ : 隔壁板15上所形成的孔 22之 總截面積(nf ) X J : 流經孔22的氧氣流速(m/s) R : 氣體常數(8. 314J/mol ♦ k X 10 一 3 ) 另 一 方 面, 作 為材料氣體(precursor gas ;)的T E 0 S可通 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 過導人管34導人於真空容器12之内部。TE0S ,最初係導入 於貯氣器內,且由均等板33所均等化,並通過複數個管構 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ^~Ϊ 〇 - 476807 A7 B7 ---------------- 五、發明説明(8 ) 件2 5直接導入於成膜處理空間1 7内。設於成膜處理空間1 7 内的基板保持機構18,由於可對加熱器20進行通電,所以 可預先保持於一定溫度。 可對電極26透過電力導入棒35供給高頻電力。依高頻電 力而產生放電,且在電漿生成空間16內於電極26之周圍生 成氧電漿23。藉由生成電漿23,可生成作為中性激勵種的 自由基(激勵活性種)。當將TE0S導入於真空容器12内時, TE0S不會直接接觸氧電漿23。而被導入的TE0S不會與氧電 漿起激烈反應。 本實施形態之C V D成膜裝置,係利用隔壁板1 5將真空容 器12之內部空間分成電漿生成空間16和成膜處理空間17。 CVD成膜装置,係對電漿生成空間16導入氧氣且對電極26 供給高頻電力K生成氧電漿23。另一方面,CVD成膜裝置 ,會直接對成膜處理空間17導入TE0S。 Μ貫穿狀態在隔壁板15上所形成的複數個孔22之形態, 係在假設電漿生成空間1 6中的氧氣和成膜處理空間1 7中的 TE0S,分別通過孔22而在相反側的空間內進行物質移動流 動及進行擴散移動時,可決定將其移動量限制在所希望範 圍內。亦即,將隔壁的溫度Τ及成膜處理空間側的壓力為 Ρ〇2時之氣體的相互氣體擴散係數設為D,而將如圖2所示 孔2 2之最小徑部分的長度(孔2 2之特徵長度)設為L時,使 用前述氧氣之流速,決定成滿足u L / D > 1的關係。 上述uL>l的關係可導出如下。例如關於移動管構件25的 氧與TE0S的關係而使用TE0S氣體密度(ρτ^ )和擴散流速 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -1 1 ~: I — -II — -I#JI (請先閲讀背面之注意事項再填寫本頁)
、1T 經濟部智慧財產局員工消費合作社印製 476807 A7 __B7_ . 五、發明説明(9 ) (u )和相互氣體擴散係數(D - Q 2 )成立以下的式子
(3)。當將貫穿孔之特激的長度設為L時,式子(3)就可近似 式子⑷。比較式子⑷的結果,可以-D - a2/L表示TE0S 之擴散流速(u )。因而,在將從上述之式之⑴和⑵中 所得的氧流速設為U,在將TE0S之擴散流速設為-D -02 /L的情況,該等二個流速的絕對值之比,即| -u/ (-D -02/L) I =uL/D- Q2之值係氧物質移動速度和TE0S擴 散速度之比,將該比uL/D - 02設為1以上,係意味著比 較於擴散之流量因對流所造成的流量很大之意。亦即,將 iiL/D - 02之值設為1以上,係意味著TE0S之擴散影響 很少之意。 〔數學式子2〕 P -r tr〇 U t to i —一D τΉί» — 〇2 ««···· (3) P u -re〇s ^ ~ D rt -02 P / L ......⑷ 電漿生成空間16和成膜處理空間17係由形成多數個具有 上逑條件之孔22的隔壁板15所劃分。具有上逑條件的孔22 很少接觸直接導入於成膜處理空間17内的TE0S和氧電漿。 具有上述條件的孔22係如習知裝置般用Μ防止TE0S與氧電 漿起激烈反應。 關於在電漿生成空間16中所產生的自由基,係在對玻璃 基板11進行CVD成膜中所需要的適量之自由基,利用擴散 通過於隔壁板15上所形成的孔22移動至成膜處理空間17内 。藉此TE0S可由自由基活性化,且在玻璃基板11的表面上 進行氧化膜(Si02)的成膜。 .本紙張尺度蟲窜丨板15之孔22的直徑設满 -12 - I L"I,---Φ — (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 476807 A7 B7 五、發明説明(10) -----I—-I (請先閲讀背面之注意事項再填寫本頁) 0.5πιπι,將孔22之總數表示1800個,將氧氣之氣體流量設 為500sccm(表示每Ot、1氣麗的體積流速(cc/min)。002=1 1 . 19 X 10 - 5 (kg/s)),將隔壁的溫度設為約200 °C,將成 膜處理空間1 7中的壓力設為1 0 0 P a,將直徑0 . 5 m m部分的長 度(L)設為3ιπιπ,將相互氣體擴散係數設為D = 0.0225iif/S時 ,就從 p〇2 = 8」4X1〇-4 (kg/m3 ),A = 3 . 53 x 10 ~ 4 (nf )變成u = 41.3(m/s),接著uL/DTw - Q2之值為5·5。在該 種情況時,比較於TE0S之擴散移動則氧氣之對流移動為可 支配的。TE0S很少擴散至充滿氧電漿23的電漿生成空間16 内,結果就很少發生塵粒子。 經濟部智慧財產局員工消費合作社印製 其次說明成膜處理空間m清潔。若依據本實施形態之 CVD成膜裝置,則由於不在成膜處理空間17内充分擴散電 漿,所Μ會發生很難對成膜處理空間1 7進行清潔的問題。 將電力導入棒36電氣連接隔壁板15。藉由對隔壁板15供給 高頻電力就會在成膜處理空間17內生成例如NF3電漿。利 用被生成的電漿清潔成膜處理空間1 7的内部。對電力導入 棒3 6供給高頻電力而進行清潔的時間,係根據每一預先決 定的一定時間或是每一定的基板片數適時進行者。 其次參照圖4說明本發明之CVD成膜裝置的第二實施形態 。圖4中,與圖1中所說明之要素實質上相同的要素上附上 相同的元件編號,而在此省略反覆詳细說明。本實施形態 之特徵的構成,係減去前述之上壁板24,而在上部設置圓 板狀絕緣構件41,且在其下側配置電極26。依電極26和隔 壁板1 5形成平行平板型的電漿生成空間1 6。形成流過材料 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) -13 - 476807 A7 B7 五、發明説明(11) (請先閲讀背面之注意事項再填寫本頁) 氣體之通路的多數管構件25,係設在絕緣構件41和隔壁板 1 5之間。其他的構成實質上與第一實施形態的構成相同。 再者,依第二實施形態之CVD成膜裝置所達成的作用、效 果也與前逑第一實施形態相同。 在前逑之實施形態中,雖說明使用TE0S作為材料氣體之 例子,但是並不限定此,當然也可使用其他的材料氣體。 本發明之原理思想,係可應用於藉由在電漿上接il材料氣 體K使塵粒子發生之情形、及朝基板射入離子之情形所造 成問題的全部處理上,且可應用於成膜、表面處理、等方 性蝕刻上。 【發明之效果】 從K上之說明中可明白若依據本發明,則可利用形成對 流移動滿足支配性條件的貫通孔之隔壁板劃分成電漿生成 空間和成膜處理空間。材料氣體並不會接觸電漿而會直接 導入於成膜處理空間內。可防止材料氣體和電漿之間的激 烈化學反應,结果,可抑制塵粒子之發生,且可防止對基 板射入離子。 經濟部智慧財產局員工消費合作社印製 對成膜處理空間直接導入材料氣體用的通路設有複數個 ,且在通路之上游側設有具備均等板的貯氣器。可在成膜 處理空間内均等導入材料氣體,且自由基也可利用隔壁板 上所形成的多數孔均等導入於成膜處理空間内。藉此使基 板之表面近旁的電漿分布良好,且可有效對大面積基板進 行成膜。 在隔壁板上附設清潔用電力導入棒。在適當的時間上供 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -14- 476807 A7 B7 五、發明説明(12) 給電力Μ進行清潔作業。即使利用隔壁板來劃分形成電獎 生成空間和成膜處理空間,亦可適切地維持成膜處理空間 的清潔度。 【圖式之簡單說明】 圖1顯示本發明之第一實施形態的重要部位縱截面圖。 圖2為隔壁板上所形成的孔之放大截面圖。 圖3為從圖ΙΑ-Α中觀看放電用電極的部分截面圖。 圖4顯示本發明之第二實施形態的重要部位縱截面圔。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 【元件 編號 之 說 明 1 L 實 質 的 孔 長 度 11 玻 璃 基 板 12 真 空 容 器 12a 上 容 器 12b 下 容 器 13 排 氣 纖 m 構 14 排 氣 璋 15 隔 壁 板 16 電 漿 生 成 空 間 17 成 膜 處 理 空 間 18 基 板 保 持 機 構 20 加 熱 器 22 孔 23 電 漿 24 上 壁 板 15 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 476807 A7 B7 五、發明説明(13) 25 管 構 件 26 電 極 26a 多 數 孔 28 環 狀 絕 緣 構 件 29 環 狀 絕 緣 構 件 30 導 入 管 31 流 量 控 制 器 32 氧 氣 供 給 源 33 均 等 板 34 導 入 管 35 電 力 導 入 棒 36 電 力 導 入 棒 37 絕 緣 物 38 絕 緣 物 41 絕 緣 物
严- li J -------:------ (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 16

Claims (1)

  1. 經濟部智慧財t,?! * 476807 I 90. 3. 2% _§_修乒本 六、申請專利範圍 1. 一種CVD成膜裝置,係在真空容器內生成電漿以使活 性種(自由基:r ad i c a 1 )發生,且在基板上利用該活性種和 材料氣體進行成膜處理,其特徵爲: 將形成有複數個小徑孔之隔壁板設於前述真空容器內, 用以將該真空容器之內部區分成電漿生成空間及成膜處理 空間; 將形成有複數個大徑孔之放電用電極板設於前述電漿生 成空間之中間位置,用以在形成前述放電用電極板與前述 電漿生成空間的前述隔壁板及上壁板之間生成電漿; 供給至前述真空容器內的前述材料氣體,係通過貫穿及 分散前述電漿生成空間、前述放電用電極板的大徑孔和前 述隔壁板而設的複數個導電性之管道構件而直接導入於前 述成膜處理空間內; 在前述電漿生成空間所生成的前述活性種,係通過形成 於前述隔壁板之小徑孔或前述放電用電極板的大徑孔而導 入於前述成膜處理空間內。 2 .如申請專利範圍第1項之CVD成膜裝置,其中,形成 於前述隔壁板上的前述複數個小徑孔之各個,係在將孔內 的氣體流速設爲u,將實質的孔長度設爲L,將相互氣體擴 散係數設爲D時,在滿足uL/D>l之條件的狀態下,將前述 活性種導入於前述成膜處理空間內。 3 ·如申請專利範圍第1項之CVD成膜裝置,其中,前述 隔壁板係連接在供給淸潔用高頻電力的高頻供電部上,並 適時地對前述隔壁板供給高頻電力以在前述成膜處理空間 仁紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) V®讀背面之注意事項再填寫本頁) * I— n n mm— n I n ϋ n an n mtmmm «ϋ 1_1 «1··* *1 i 476807 A8B8C8D8 六、申請專利範圍 內生成淸潔用電漿。 4 ·如申請專利範圍第1項之CVD成膜裝置,其中,在前 述複數個管道構件之入口側,設置具備均等板的氣體貯氣 筒。 5 .如申請專利範圍第1項之CVD成膜裝置,其中,在前 述電漿生成空間之上側位置設置前述放電用電極,且在該 放電用電極和前述隔壁板之間生成電漿,使前述隔壁板的 小徑孔與前述電極板的大徑孔的直徑爲大致相同。 (請先閱讀背面之注咅?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 和口广· Ti >1 n n ϋ ϋ ϋ I I ϋ ϋ ϋ ^1 1 n ϋ 1 n n ϋ ϋ n H ϋ ϋ ϋ. I I ϋ 1
TW088102534A 1998-02-26 1999-02-22 CVD film formation apparatus TW476807B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP6206698 1998-02-26
JP02388799A JP4151862B2 (ja) 1998-02-26 1999-02-01 Cvd装置

Publications (1)

Publication Number Publication Date
TW476807B true TW476807B (en) 2002-02-21

Family

ID=26361321

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088102534A TW476807B (en) 1998-02-26 1999-02-22 CVD film formation apparatus

Country Status (4)

Country Link
US (1) US6245396B1 (zh)
JP (1) JP4151862B2 (zh)
KR (1) KR100319075B1 (zh)
TW (1) TW476807B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104561934A (zh) * 2013-10-24 2015-04-29 朗姆研究公司 含硅碳膜的化学气相沉积的基态氢自由基源
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP3595190B2 (ja) * 1999-04-16 2004-12-02 株式会社日立製作所 半導体の製造方法及び半導体製造装置
JP2001345280A (ja) * 2000-03-28 2001-12-14 Hideki Matsumura 化学蒸着方法及び化学蒸着装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6427623B2 (en) 2000-06-23 2002-08-06 Anelva Corporation Chemical vapor deposition system
JP4791637B2 (ja) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US6602800B2 (en) * 2001-05-09 2003-08-05 Asm Japan K.K. Apparatus for forming thin film on semiconductor substrate by plasma reaction
KR100721504B1 (ko) * 2001-08-02 2007-05-23 에이에스엠지니텍코리아 주식회사 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
GB0211354D0 (en) * 2002-05-17 2002-06-26 Surface Innovations Ltd Atomisation of a precursor into an excitation medium for coating a remote substrate
GB0212848D0 (en) * 2002-06-01 2002-07-17 Surface Innovations Ltd Introduction of liquid/solid slurry into an exciting medium
US7299657B2 (en) * 2002-07-12 2007-11-27 Corning Incorporated Method of making high strain point glass
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
CN1313867C (zh) * 2002-09-17 2007-05-02 统宝光电股份有限公司 制造薄膜晶体管液晶显示器的绝缘薄膜的组合设备
CA2471987C (en) * 2002-10-07 2008-09-02 Sekisui Chemical Co., Ltd. Plasma surface processing apparatus
US6893978B1 (en) 2002-12-03 2005-05-17 Silicon Magnetic Systems Method for oxidizing a metal layer
AU2003208030A1 (en) * 2003-02-06 2004-08-30 Eugene Technology Co., Ltd. Heater of chemical vapor deposition apparatus for manufacturing a thin film
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
JP2006049544A (ja) * 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法
JP4570659B2 (ja) * 2004-08-04 2010-10-27 インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ Dcバイアスを利用したリモートプラズマ原子層蒸着装置及び方法
US20060054279A1 (en) * 2004-09-10 2006-03-16 Yunsang Kim Apparatus for the optimization of atmospheric plasma in a processing system
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US20070221129A1 (en) * 2006-03-21 2007-09-27 Atto Co., Ltd Apparatus for depositing atomic layer using gas separation type showerhead
KR100798352B1 (ko) 2006-05-24 2008-01-28 주식회사 뉴파워 프라즈마 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
KR100757853B1 (ko) * 2006-08-30 2007-09-11 세메스 주식회사 플라즈마 생성 장치 및 이를 이용한 기판 처리 장치
JP4418027B2 (ja) * 2007-03-28 2010-02-17 キヤノンアネルバ株式会社 真空処理装置
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
EP3124733B1 (en) 2007-08-03 2018-05-02 VKR Holding A/S Multiple glazing unit having a variable thickness and an extruded border element
US8943769B2 (en) 2007-08-03 2015-02-03 Vkr Holding A/S Pane module for use in a window
EA021992B1 (ru) 2007-08-03 2015-10-30 Вкр Холдинг А/С Окно, содержащее стеклопакет с краевым элементом
CN101451237B (zh) 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
HUE053896T2 (hu) 2009-02-03 2021-07-28 Vkr Holding As Ablak, amely ablakszárnnyal és továbbfejlesztett zsanér-csatlakozással rendelkezik
CN103597119B (zh) 2009-07-08 2017-03-08 艾克斯特朗欧洲公司 用于等离子体处理的装置和方法
EP2498278A4 (en) * 2009-11-02 2016-02-17 Toray Industries PLASMA CHEMICAL VAPOR DEPOSITION DEVICE, AND METHOD FOR MANUFACTURING SILICON THIN FILM
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
CN102934203B (zh) * 2010-04-28 2015-09-23 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
TWI427183B (zh) * 2010-11-25 2014-02-21 Ind Tech Res Inst 電漿處理裝置
US8765232B2 (en) 2011-01-10 2014-07-01 Plasmasi, Inc. Apparatus and method for dielectric deposition
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN103782663B (zh) * 2011-09-08 2016-05-11 东芝三菱电机产业系统株式会社 等离子体产生装置、cvd装置及等离子体处理粒子生成装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9299956B2 (en) 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US10526708B2 (en) 2012-06-19 2020-01-07 Aixtron Se Methods for forming thin protective and optical layers on substrates
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR101526861B1 (ko) * 2013-08-16 2015-06-09 주식회사 테스 가스공급부 및 이를 구비한 박막증착장치
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6651652B2 (ja) * 2016-12-05 2020-02-19 東芝三菱電機産業システム株式会社 活性ガス生成装置
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2989063B2 (ja) * 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11732350B2 (en) 2013-05-31 2023-08-22 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
TWI638062B (zh) * 2013-10-24 2018-10-11 蘭姆研究公司 用於含矽碳膜之化學氣相沉積之基態氫自由基來源
CN104561934A (zh) * 2013-10-24 2015-04-29 朗姆研究公司 含硅碳膜的化学气相沉积的基态氢自由基源
CN104561934B (zh) * 2013-10-24 2017-06-06 朗姆研究公司 含硅碳膜的化学气相沉积的基态氢自由基源
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US10580690B2 (en) 2016-11-23 2020-03-03 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Also Published As

Publication number Publication date
KR19990072926A (ko) 1999-09-27
JP4151862B2 (ja) 2008-09-17
US6245396B1 (en) 2001-06-12
KR100319075B1 (ko) 2001-12-29
JPH11312674A (ja) 1999-11-09

Similar Documents

Publication Publication Date Title
TW476807B (en) CVD film formation apparatus
TWI230985B (en) Film-forming system and film-forming method
CN100568463C (zh) 薄膜形成方法
JP6484478B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US8664127B2 (en) Two silicon-containing precursors for gapfill enhancing dielectric liner
US7779785B2 (en) Production method for semiconductor device and substrate processing apparatus
US20210198785A1 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
TWI550706B (zh) 清潔方法,製造半導體裝置的方法,基板處理設備,以及記錄媒體
TW565628B (en) Silicon oxide film formation method
CN101310039B (zh) 使用含硅前驱物和原子氧进行高质量流体状硅氧化物的化学气相沉积
US6892669B2 (en) CVD apparatus
JP4791637B2 (ja) Cvd装置とこれを用いた処理方法
CN105296963B (zh) 半导体器件的制造方法及衬底处理装置
US20090075490A1 (en) Method of forming silicon-containing films
JP2002016056A (ja) リモートプラズマcvd装置及び膜形成方法
TW201310529A (zh) 減少脫氣所用的表面處理及沉積
CN102144281A (zh) 原位腔室处理与沉积工艺
JP2006294816A (ja) 成膜方法、成膜装置及びコンピュータプログラム
KR20160103952A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20020067647A (ko) Cvd 방법
JP2017183392A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
US10340134B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and recording medium
JP2008283198A (ja) プラズマ処理装置
WO2021044504A1 (ja) 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム
JP2009091666A (ja) Cvd装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees