JPH0666307B2 - プラズマ装置 - Google Patents

プラズマ装置

Info

Publication number
JPH0666307B2
JPH0666307B2 JP61214238A JP21423886A JPH0666307B2 JP H0666307 B2 JPH0666307 B2 JP H0666307B2 JP 61214238 A JP61214238 A JP 61214238A JP 21423886 A JP21423886 A JP 21423886A JP H0666307 B2 JPH0666307 B2 JP H0666307B2
Authority
JP
Japan
Prior art keywords
plasma
target
laser
glow
photon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP61214238A
Other languages
English (en)
Other versions
JPS62102533A (ja
Inventor
ジエローム・ジヨン・クオモ
チヤールズ・リチヤード・ガーニエリ
Original Assignee
インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション filed Critical インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション
Publication of JPS62102533A publication Critical patent/JPS62102533A/ja
Publication of JPH0666307B2 publication Critical patent/JPH0666307B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21BFUSION REACTORS
    • G21B1/00Thermonuclear fusion reactors
    • G21B1/11Details
    • G21B1/23Optical systems, e.g. for irradiating targets, for heating plasma or for plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E30/00Energy generation of nuclear origin
    • Y02E30/10Nuclear fusion reactors

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • ing And Chemical Polishing (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】 A.産業上の利用分野 この発明は、グロー放電システムおよび方法に関するも
のであり、特に、グローの強さを増大させエツチングま
たは付着工程を改善するため、レーザ光線の使用などの
光子放射によつて、グロー放電システムのプラズマ放電
領域における電子の量を増大させることに関するもので
ある。
B.従来技術 従来技術には、各種の異なる目的のため、レーザ光線等
の放射を、プラズマと組合わせて使用するものである。
1973年3月27日にLubinに与えられた「小滴生成手段お
よびレーザ・プリパルス手段を有するプラズマ生成装置
(Plasma Production Apparatus Having Droplet
Production Means and Laser Pre-pulse Mean
s)」と題する米国特許第3723246号明細書には、調整レ
ーザ・パルスによるレーザ光線を照射した高密度ターゲ
ツトから、自由に拡がる高温プラズマを生成させる装置
および方法が開示されている。レーザとターゲツトの相
互作用を導出するための調整レーザ光線パルスと、調整
ターゲツトを形成する手段が述べられている。
1974年7月30日にJaegleらに与えられた「X線および紫
外線スペクトル領域における負の吸収係数を有する媒体
を得る方法および前記の方法の実用のためレーザ(Meth
od of Obtaining a Medium Having a Negativ
e Absorption Coefficient in the X-Ray and U
ltraviolet Spectral Range and a Laser for
Practical Application of Said Method)」と題す
る米国特許題3826996号明細書には、プラズマの自由電
子が密集する連続体のエネルギ状態と相互作用する、離
散的準安定エネルギ状態をイオンが有する材料から形成
したプラズマが開示されている。密度の逆転は、多くの
これらの準安定状態と、それより低いエネルギ状態との
間で行われ、次に、準安定状態と、前記より低いエネル
ギー状態とを結合する転移が起こる場合に、負の吸収が
生じる。
プラズマを生成するために、巨大パルスのレーザ光線
が、真空中で、前記の材料から形成された固体ターゲッ
トと相互作用し、ターゲット内部の光線が通過する表面
の近くに集められる。光線の強さは、材料のイオンが十
分に密な、準安定状態となるようなものである。
1979年5月1日にConradに与えられた「連続波レーザに
よるプラズマ発生および閉込め(Plasma Generation
and Confinement with Continuous Wave Lase
r)」と題する米国特許第4152625号明細書には、安定な
プラズマを発生させ、そのプラズマを安定に保つための
方法および装置が開示されている。プラズマを発生さ
せ、維持させるために高出力の連続波が用いられ、磁気
トラツプによりプラズマを拘束する。
1980年2月19日にBrauらに与えられた「自由電子と気体
レーザとの組合わせ(Combination Free Electron a
nd Gaseous Laser)」と題する米国特許第4189686号
明細書には、1つ以上の気体レーザ状態と、1つ以上の
自由電子状態を有する多重レーザが開示されている。自
由電子レーザ状態はそれぞれマイクロ波直線アクセレレ
ータにより連続的に励起される。次に、電子線のエネル
ギ準位を下げて1つ以上の気体レーザを励起するため、
1つの実施例では気体レーザを通し、別の実施例ではマ
イクロ波アクセレレータを通して電子線が方向ずけられ
る。結合レーザは高いパルス繰返し周波数(1kHz以
上)、高い出力、高い効率、およびコヒーレント光学放
射の多重光線を同期発生する際の同調能力を与える。
Philip J. Hargins, Jr. およびJames M. GeeがS
olid State Technology,1984年11月、P. 127〜133に
発表した「薄膜材料の付着およびエツチングのためのレ
ーザとプラズマの相互作用(Laser-Plasma Interactio
n for the Deposition Etching of Thin-Film M
aterials)」には、レーザ・プラズマ化学処理は、付着
または、エツチングのために紫外線レーザ放射およびグ
ロー放電の両方を必要とする新しい材料加工法であると
述べている。この融通性のある材料処理技術は、シリコ
ンの付着および、各種の絶縁材料のエツチングに用いら
れた。この方法はまた、単結晶シリコン・ウエーハの上
に、エピタキシヤル・シリコン皮膜を付着させるにも用
いられた。付着およびエツチングを行つた皮膜は、レー
ザ・ラマン分光光度計、透過型電子顕微鏡、走査型電子
顕微鏡によつて特性が決定された。これまでに得られた
結果は、入射紫外線レーザ放射と、基板上にプラズマ付
着させた吸着層との相互作用を伴う機構として解釈され
ている。
J. M. Gee、P. J. Hargis Jr. ,M. J. Carr,D.
R.TallantおよびR. W. Lightが、Elsevier Scienc
e Publishing Co.,Inc.発行のMat. Res. Soc. Sym
p.第29巻(1984年)に発表した「プラズマで発生させた
レーザによる多結晶および単結晶皮膜の付着(Plasma-I
nitiated Laser Deposition of Polycrystalline
and Monocrystalline Silicon Filmes)」で、著者
らは、パルス紫外線エクサイマ・レーザからの放射と、
シラン(SiH4)中のグロー放電で発生するプラズマ種と
の相互作用を用いてシリコンを付着させる新しい方法を
報告している。付着した皮膜を、レーザ・ラマン分光光
度計および透過型電子顕微鏡で検査すると、0.13〜0.17
J/cm2のレーザの作用による多結晶シリコンから0.4〜
0.6J/cm2のレーザの作用によるエピタキシヤル・シリ
コンまでの範囲の形態が存在することが判明した。多結
晶シリコンの成長速度は毎分100nm、単結晶シリコンの
成長速度は毎分30nmであった。
前述のHargisとGeeの発表、およびGeeらの発表は、この
発明を予期したものではない。これらの論文はいずれ
も、光学的に強化した化学蒸着に関する同一の研究であ
る。これらの研究ではレーザ放射がプラズマにより付着
した吸収層と、相互作用をすることを示している。これ
らはまた、レーザ放射がプラズマと相互作用しないこと
も示している。これらの報文で論じられた電子−正孔型
プラズマは、付着層のみに存在する。これは、化学反応
を進める固体の電子分布の変化である。
最近の報分である「一次イオン付着またはエピタキシヤ
ルGeおよびSi皮膜のためのレーザ誘導プラズマ(Laser-
Induced Plasmas for Primary Ion Deposition)
と題する論文(J. Vac. Sci. Technol. B.,1985年
7、8月、P. 968〜974)も興味ある論文であるが、こ
の発明を予期したものではない。Lubbinらは、皮膜を付
着させるために、レーザ放射により切除した材料を使用
している。彼らは、レーザ放射と切除された材料との相
互作用によつて生じる中性プラズマを発生を論じてい
る。本発明は、紫外線を用いた光電子の発生によるプラ
ズマの強化に関するものである。
Gail A. MasseyがIEEE Journal of Quantum Elec
tronices,Vol. QE20、No.2(1984年2月)に発表した
「高喜怒レーザ光電子源(Laser Photoelectron Sour
ces of High Apparent Brightness)」と題する論
文で、筆者は紫外線レーザ光線を適当な形状の陰極の小
さな点に集束すると、輝度を増した光電子線が得られる
と述べている。このような接続電子源は、電子線リソグ
ラフイその他の応用分野で有用である。
C.発明が解決しようとする問題点 この発明の目的は、プロズマ・グロー領域におけるプラ
ズマ密度を増強する方法および構造を提供することにあ
る。
この発明の他の目的は、ターゲツトの表面から光電子を
発生させることにより、プラズマ・グロー領域における
プラズマ密度を増強する方法および構造を提供すること
にある。
この発明の他の目的は、光子をターゲツトに当てて光電
子を放出させることにより、グローに電子を加えて、プ
ラズマ・グロー領域におけるプラズマ密度を増強する方
法および構造を提供することにある。
この発明の他の目的は、プラズマ・グロー中に電子を放
出させるために、ターゲツトの表面に当てる光子エネル
ギを発生させる方法および装置を提供することにある。
さらにこの発明の他の目的は、電子をプラズマ・グロー
中に放出するため、ターゲツトにレーザ放射を当てるレ
ーザ装置を提供することにある。
D.問題点を解決するための手段 この発明によれば、放射線源を使つて、システム中のタ
ーゲツトの表面から光電子を発生させて、プラズマ・グ
ロー中の光電子の数を増加させることにより、プラズマ
・グロー・システム中のプラズマの増強が行われる。も
つと具体的にいえば、これは、プラズマ密度を高めるた
めに放射された光電子がプラズマに射出されるプラズマ
工程中に紫外レーザ光線をターゲツトの表面に充満する
ことによつて達成される。
プラズマ増強は、陰極、ターゲツト、基板を含む基板プ
ラツトフオームおよびポンプ含むチエンバを備えたスパ
ツタ・エツチング/付着システムで用いられる。紫外線
レーザ等の紫外線光源、および、紫外線を、紫外線透過
性のウインドウを通してターゲツト上に集束させる光学
装置も設けられる。チエンバ内のプラズマ領域は、ター
ゲツトに当たり、プラズマ密度を高めるためにプラズマ
中に射出される光電子を発生させるレーザからの光子に
よつて増強される。
E.実施例 この発明の特長は、ターゲツトの表面にエネルギーを与
える手段を設けることによつて、グロー領域に於けるプ
ラズマ密度を高めるための新しい方法が達成されること
である。このように導入されたエネルギは、ターゲツト
材料へ移送され、電子を放出してプラズマ・グロー中に
自由に入り、そこでイオンを発生させる。
グロー放電ダイオード・システムは、その一次電子源
を、ターゲツト表面のイオン、光子および電子の衝突に
よる二次電子から得る。これらの電子は、グロー領域に
送られ、ここで加速されてイオンを発生させ、このイオ
ンがさらに電子の数を増大させる。プラズマ・グロー領
域に電子を加える方法はどれでもグローの強さを増強す
る。たとえば、二次電子放出比の大きな表面は、二次電
子放出比の小さな材料よりはるかに高いプラズマ密度を
生成する。プラズマへの電子の射出は、独立した電子源
を形成し、またプラズマ密度を高める(すなわちトライ
オード・スパツタリング)。
本発明では、プラズマの増強は、衝突する表面の仕事関
数よりそのエネルギーが大きい光子源を使つて、ターゲ
ツトの表面からの光電子の数を増大させることによつて
達成される。電子の数は、光源の強さの関数に比例する
強さの関数である。したがつて、光子の強さが強いほ
ど、表面から多数の電子が放出される。他の源も適用で
きるが、レーザはその強度および指向性のため、理想的
な光子源である。このような理由で、波長が深紫外域に
ある紫外レーザが使用される。これは、光誘導電子がプ
ラズマに射出されるプラズマ工程中に、ターゲツトの表
面に紫外線を充満させることによつて達成される。これ
を第1図に示す。
第1図で、カソード2、ターゲツト3、カソード2の絶
縁体4、基板6を含む基板プラツトフオーム5、ポンプ
7、UVレーザ等の紫外線光源8、紫外線透過性ウインド
ウ10を通してターゲツトの表面に紫外線を集速させる光
学系、プラズマ領域11、代表的なスパツタリング・イオ
ン12、代表的なイオン誘導二次電子13、代表的な紫外光
子14、および代表的な光電子15を含む、スパツタリング
・システム1が示されている。
このスパツタリング・システムは、所定の材料の特性を
得るための周知の通常の方法で操作される。基板のバイ
アスは、材料を考慮して必要な場合用いられる。スパツ
タリング・ガスは、材料を考慮して、例えばAr、または
酸素等の反応性気体、または混合気体(すべての気体を
含む)が必要に応じて用いられる。陰極電源は直流電源
でも高周波電源もよい。絶縁体のターゲツトの場合は、
高周波電源でなければならない。プラズマを増強させる
ため、光源8からの光子のエネルギは、ターゲツト3の
材料の仕事関数より大きくなければならない。特定の例
には、下記のものがある。
ターゲツト材料 光子エネルギ Al 4.4eV以上 Cu 4.9eV以上 Cu<110> 5.6eV以上 Pt 5.5eV以上 Ti 4.5eV以上 Ti酸化物 3.7eV以上 Zr酸化物 3.9eV以上 この発明は、上記の材料およびエネルギに限定されるも
のではない。
予想される電子は、10nsのパルス当たり、約1mA/cm2
ある。これはプラズマを非常に増強させる。計算値は、
推定効率を6.5eVの入射光子1個当り、電子10-4個とし
た場合である。
これにより、ターゲツトに衝突する、増強されたパルス
・イオン・ビームおよび、基板に付着する材料のパルス
束も発生することに注目しなければならない。隠イオン
を発生させる材料の場合、強いパルス粒子線も予想され
る。このように、パターンをもつ光子線は、パルス型の
パターン付着またはエツチングを形成する。
尚、計算値をはるかに超えた電子バーストが得られる。
たとえば、約2A/cm2の電流密度が実現する。第2図
は、直流ダイオード・スパツタリング・システムにおけ
る、陰極電流の大きさと光子の強さ(作用)の関係を示
す。プラズマを用いた場合と用いない場合についての測
定値が示されている。いずれの場合も、電流は飽和(お
そらく空間電荷による)に達するまで、直線的に増大す
る。実験値と計算値の違いは、推定した光電子効率の誤
差、または、プラズマ増強による増幅効果が支配的であ
るためと考えられる。高強度の連続紫外光源を使用する
ことにより、増強効果は著しく高められる。第2図の曲
線における光電流は、低電圧時には一定となるが、高電
圧時には一定とならないことに注目しなければならな
い。発生した光電流を時間とともにプロツトすると、最
初は光子線の影響は見られないが、一定時間後に影響が
現れることがわかる。この期間における曲線の形状は、
プラズマ中の光電子の影響を示すので、有用である。
第1図のシステムには、UVレーザ光線が使用されたが、
これは使用できる唯一の実施例ではないことに注目する
のは重要なことである。たとえば、ターゲツト3の表面
上に照射される光子を発生させる手段は、システム1の
外部に配置する必要はない。光子源は、外部光源であつ
ても、内部に配置した紫外線源であつてもよい。また、
光子源は連続(紫外線等)光源であつても、パルス光源
であつてもよい。どの実施例を選択するかは、システム
の適用分野によつて異なる。このシステムは、付着およ
びエツチングに広く用いることができる。また、ターゲ
ツト3から光電子が放出されると、1000eVの電位を有
し、したがつて、拡散または分散することなく、直接ア
ース電位のプラズマ・グローに移動する。
したがつて、光子が特定パターンをなして表面3に、た
とえばレーザ・マスクを通して照射され、パターンもつ
光子線を形成すると、形成された光電子はターゲツトの
表面から離れた時と同一形状を有するため、このシステ
ムは、パターン形成にも使用することができるであろ
う。
この発明の他の特長は、非直線性の光子効果が得られる
ことである。これは、放射線のエネルギを選定するこ
と、たとえば、第1図のレーザ8から紫外線を、第1図
のターゲツト3等の照射されたターゲツトの仕事関数よ
り小さく選定することによつて達成される。
F.発明の効果 以上のように、この発明によれば、光子をターゲツトに
当てて光電子を放出させて電子をグローに加えることに
より、グロー領域のプラズマ密度を増大させることがで
きる。
【図面の簡単な説明】
第1図は、この発明の原理による構造の実施例の略図、
第2図は、この発明を利用した、プラズマ・グロー内の
光電子電流と、グローの光子強度との関係を示す曲線で
ある。 1……スパツタリング・システム、2……陰極、3……
ターゲツト、6……基板、8……紫外光源。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/31

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】ターゲット、被処理基板およびスパッタリ
    ング・ガスを内蔵するプラズマ・グロー放電チェンバ
    と、 上記ターゲット表面に向けて該ターゲット材料の仕事関
    数より大なるエネルギを持つ光子を放射して上記ターゲ
    ットから光子により誘起された光電子をプラズマ中に放
    出させるための放射源と、 より成るプラズマ装置。
  2. 【請求項2】上記放射源が紫外線の連続光源であること
    を特徴とする、特許請求の範囲第(1)項記載のプラズ
    マ装置。
  3. 【請求項3】上記放射源が紫外線のパルス光源であるこ
    とを特徴とする、特許請求の範囲第(1)項記載のプラ
    ズマ装置。
  4. 【請求項4】上記放射源が紫外線レーザ光源であること
    を特徴とする、特許請求の範囲第(3)項記載のプラズ
    マ装置。
JP61214238A 1985-10-28 1986-09-12 プラズマ装置 Expired - Lifetime JPH0666307B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US791666 1985-10-28
US06/791,666 US4664769A (en) 1985-10-28 1985-10-28 Photoelectric enhanced plasma glow discharge system and method including radiation means

Publications (2)

Publication Number Publication Date
JPS62102533A JPS62102533A (ja) 1987-05-13
JPH0666307B2 true JPH0666307B2 (ja) 1994-08-24

Family

ID=25154418

Family Applications (1)

Application Number Title Priority Date Filing Date
JP61214238A Expired - Lifetime JPH0666307B2 (ja) 1985-10-28 1986-09-12 プラズマ装置

Country Status (4)

Country Link
US (1) US4664769A (ja)
EP (1) EP0220481B1 (ja)
JP (1) JPH0666307B2 (ja)
DE (1) DE3673749D1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997031391A1 (fr) * 1996-02-23 1997-08-28 Ebara Corporation Dispositif et procede de depot chimique en phase vapeur

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5780313A (en) * 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US4855596A (en) * 1986-06-04 1989-08-08 Arch Development Corp. Photo ion spectrometer
JPS63270458A (ja) * 1987-04-27 1988-11-08 Mitsubishi Electric Corp 化合物薄膜形成装置
US4846920A (en) * 1987-12-09 1989-07-11 International Business Machine Corporation Plasma amplified photoelectron process endpoint detection apparatus
US4952294A (en) * 1988-03-15 1990-08-28 Collins George J Apparatus and method for in-situ generation of dangerous polyatomic gases, including polyatomic radicals
US5411797A (en) * 1988-04-18 1995-05-02 Board Of Regents, The University Of Texas System Nanophase diamond films
US4987007A (en) * 1988-04-18 1991-01-22 Board Of Regents, The University Of Texas System Method and apparatus for producing a layer of material from a laser ion source
US5017277A (en) * 1988-07-07 1991-05-21 Matsushita Electric Industrial Co., Ltd. Laser sputtering apparatus
JPH02163368A (ja) * 1988-12-15 1990-06-22 Matsushita Electric Ind Co Ltd スパッタリング装置
US5064520A (en) * 1989-02-15 1991-11-12 Hitachi, Ltd. Method and apparatus for forming a film
US5059292A (en) * 1989-02-28 1991-10-22 Collins George J Single-chamber apparatus for in-situ generation of dangerous polyatomic gases and radicals from a source material contained within a porous foamed structure
JPH02272815A (ja) * 1989-04-13 1990-11-07 Matsushita Electric Ind Co Ltd 圧電振動子の周波数微調整装置
JPH0826451B2 (ja) * 1989-04-13 1996-03-13 松下電器産業株式会社 スパッタリング方法
DE4117005C2 (de) * 1990-06-11 2003-07-24 Tokyo Electron Ltd Verfahren und Anordnung zum Einwirken mit einem Lichtstrahl in einen Entladungsraum
JP3004699B2 (ja) * 1990-09-07 2000-01-31 東京エレクトロン株式会社 プラズマ処理方法
JP2598730B2 (ja) * 1991-09-11 1997-04-09 株式会社荏原総合研究所 微粒子の荷電方法及び装置
US5334264A (en) * 1992-06-30 1994-08-02 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Titanium plasma nitriding intensified by thermionic emission source
GB2303379B (en) * 1992-11-30 1997-05-28 Mitsubishi Electric Corp Thin film forming apparatus using laser
JP3255469B2 (ja) * 1992-11-30 2002-02-12 三菱電機株式会社 レーザ薄膜形成装置
US5418182A (en) * 1993-03-26 1995-05-23 Honeywell Inc. Method of fabricating diode lasers using ion beam deposition
US6265033B1 (en) 1998-09-11 2001-07-24 Donald Bennett Hilliard Method for optically coupled vapor deposition
US7424764B2 (en) * 1999-09-01 2008-09-16 Hagleitner Hygiene International Gmbh Brush with locking and detaching structure for disposable head
US6852985B2 (en) * 2002-02-05 2005-02-08 Thomas E. Cowan Method and apparatus for nanometer-scale focusing and patterning of ultra-low emittance, multi-MeV proton and ion beams from a laser ion diode
JP3911625B2 (ja) * 2002-02-14 2007-05-09 独立行政法人科学技術振興機構 レーザー光照射による環境汚染ガスの処理方法
US7931787B2 (en) * 2002-02-26 2011-04-26 Donald Bennett Hilliard Electron-assisted deposition process and apparatus
US7148613B2 (en) 2004-04-13 2006-12-12 Valence Corporation Source for energetic electrons
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7656236B2 (en) 2007-05-15 2010-02-02 Teledyne Wireless, Llc Noise canceling technique for frequency synthesizer
US8179045B2 (en) 2008-04-22 2012-05-15 Teledyne Wireless, Llc Slow wave structure having offset projections comprised of a metal-dielectric composite stack
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2013503974A (ja) * 2009-09-05 2013-02-04 ジェネラル・プラズマ・インコーポレーテッド プラズマ化学気相成長装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9919959B2 (en) * 2012-05-31 2018-03-20 Guardian Glass, LLC Window with UV-treated low-E coating and method of making same
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9202660B2 (en) 2013-03-13 2015-12-01 Teledyne Wireless, Llc Asymmetrical slow wave structures to eliminate backward wave oscillations in wideband traveling wave tubes
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10806016B2 (en) * 2017-07-25 2020-10-13 Kla Corporation High power broadband illumination source
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11274360B2 (en) * 2017-12-13 2022-03-15 Plasmionique Inc. Thin film coating and method of fabrication thereof
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10822270B2 (en) 2018-08-01 2020-11-03 Guardian Glass, LLC Coated article including ultra-fast laser treated silver-inclusive layer in low-emissivity thin film coating, and/or method of making the same
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3464907A (en) * 1967-02-23 1969-09-02 Victory Eng Corp Triode sputtering apparatus and method using synchronized pulsating current
US3625848A (en) * 1968-12-26 1971-12-07 Alvin A Snaper Arc deposition process and apparatus
US3723246A (en) * 1971-05-27 1973-03-27 Atomic Energy Commission Plasma production apparatus having droplet production means and laserpre-pulse means
FR2139635B1 (ja) * 1971-05-28 1973-05-25 Anvar
US3898496A (en) * 1974-08-12 1975-08-05 Us Energy Means for obtaining a metal ion beam from a heavy-ion cyclotron source
US4189686A (en) * 1977-09-27 1980-02-19 The United States Of America As Represented By The United States Department Of Energy Combination free electron and gaseous laser
DE2819711C2 (de) * 1978-05-05 1984-02-16 Gesellschaft für Strahlen- und Umweltforschung mbH, 8000 München Verfahren und Vorrichtung zur Analyse einer Probe mit Hilfe gepulster Laserstrahlung
US4152625A (en) * 1978-05-08 1979-05-01 The United States Of America As Represented By The Secretary Of The Army Plasma generation and confinement with continuous wave lasers
US4250009A (en) * 1979-05-18 1981-02-10 International Business Machines Corporation Energetic particle beam deposition system
US4442354A (en) * 1982-01-22 1984-04-10 Atom Sciences, Inc. Sputter initiated resonance ionization spectrometry
US4419203A (en) * 1982-03-05 1983-12-06 International Business Machines Corporation Apparatus and method for neutralizing ion beams
JPS6037733A (ja) * 1983-08-11 1985-02-27 Toshiba Corp ドライエツチング装置
US4490229A (en) * 1984-07-09 1984-12-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Deposition of diamondlike carbon films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997031391A1 (fr) * 1996-02-23 1997-08-28 Ebara Corporation Dispositif et procede de depot chimique en phase vapeur

Also Published As

Publication number Publication date
JPS62102533A (ja) 1987-05-13
EP0220481A3 (en) 1988-09-28
EP0220481B1 (en) 1990-08-29
US4664769A (en) 1987-05-12
EP0220481A2 (en) 1987-05-06
DE3673749D1 (de) 1990-10-04

Similar Documents

Publication Publication Date Title
JPH0666307B2 (ja) プラズマ装置
EP0200651B1 (fr) Source d'ions du type triode à une seule chambre d'ionisation à excitation haute fréquence et à confinement magnétique du type multipolaire
US20120241651A1 (en) Deep-ultraviolet light source capable of stopping leakage of harmful x-rays
GB2111299A (en) High current density photoelectron generators
US5024724A (en) Dry-etching method
JP2004047610A (ja) 基板の表面処理方法
US5089289A (en) Method of forming thin films
US4842679A (en) Method for the production of semiconductor devices
Turcu et al. 100-Hz KrF laser plasma x-ray source
Cultrera Cathodes for photoemission guns
JP3119285B2 (ja) 光陰極とこれを用いた電子銃並びに加速器
JP3778664B2 (ja) マイクロチャネルプレートを用いたイオン源
Sanford et al. Electron optical characteristics of negative electron affinity cathodes
JPS6176665A (ja) 蒸着膜形成装置
RU2183040C1 (ru) Устройство проекционной электронно-лучевой литографии
US20080169064A1 (en) Surface-treating apparatus
JPS644307B2 (ja)
Van Loy et al. Measurements of barium photocathode quantum yields at four excimer laser wavelengths
Dickinson et al. Synergistic effects of exposure of surfaces of ionic crystals to radiation and water
JP2529220B2 (ja) 硫化物蛍光体膜の製造方法
JPH01137543A (ja) レーザ励起x線発生装置
JPS59163741A (ja) X線発生装置
Kühne et al. Soft x-ray emission from a laser-produced carbon plasma
JPH06248439A (ja) レーザーアブレーション装置およびそれを用いた半導体形成法
JPS62243315A (ja) 光反応方法および装置