JPH0344419B2 - - Google Patents

Info

Publication number
JPH0344419B2
JPH0344419B2 JP61193004A JP19300486A JPH0344419B2 JP H0344419 B2 JPH0344419 B2 JP H0344419B2 JP 61193004 A JP61193004 A JP 61193004A JP 19300486 A JP19300486 A JP 19300486A JP H0344419 B2 JPH0344419 B2 JP H0344419B2
Authority
JP
Japan
Prior art keywords
trench
groove
substrate
layer
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP61193004A
Other languages
English (en)
Other versions
JPS62105445A (ja
Inventor
Deiitoritsuhi Beiyaa Kurausu
Josefu Shirubesutori Bikutaa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPS62105445A publication Critical patent/JPS62105445A/ja
Publication of JPH0344419B2 publication Critical patent/JPH0344419B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/763Polycrystalline semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Element Separation (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【発明の詳細な説明】 A 産業上の利用分野 この発明は半導体集積回路の製造に関するもの
であり、特に、絶縁層分離領域と、接点領域と
を、半導体材料中に同時に形成させる方法に関す
るものである。
B 従来技術 集積回路、特に集積度の高い、絶縁層分離装置
を製造する際、分離を行うために細い溝が形成さ
れる。また、基板接点を設けたい点を、非常に小
さい区域内に作成しなければならない。ドーピン
グしない多結晶シリコン、およびエピタキシヤ
ル・シリコンはそれぞれ電気抵抗が極めて高いこ
とが知られている。それゆえ、溝の側壁、または
シリコンを充填した溝の上の表面保護層の欠陥
は、第2の欠陥が第1の欠陥から数μm離れてい
れば、電気回路の性質に影響を与えることはな
い。したがつて、一般に周知のRAMチツプに用
いられるような、ドーピングしない多結晶シリコ
ンの溝分離を用いることは、リスクの非常に少い
分離法であると考えられている。すなわち、欠陥
が十分離れている限り、特定の溝の側壁で欠陥を
起こす電気的な短絡が存在してもその装置の動作
に有害とはならない。
ドーピングしない多結晶シリコンの溝分離を用
いることはまた、溝の底部の表面保護層を除去す
る工程を必要としない。よつて、その溝の側壁
は、反応性イオン・エツチング(RIE)などのエ
ツチングによつて食刻されず、したがつて、後の
熱処理工程で、溝の近傍における転位の形成によ
る問題の原因ともなるSi3N4等の保護層を必要と
しない。
ドーピングしない多結晶シリコンを用いた溝に
よる分離は、利点があるが、シリコン基板との接
点を形成する方法が容易でないため、不利である
と考えられている。たとえばドーピングした多結
晶シリコン、またはエピタキシヤル成長させたシ
リコンを使用すると、そのエピタキシヤル成長さ
せたシリコンに一箇所でも欠陥があると装置また
は回路が不良となる。これまで、装置の加工中に
基板との接点を形成し、しかも同じ工程で、溝分
離の目的でドーピングしない多結晶シリコンを使
用するのに必要な、ドーピングしたシリコンの溝
の領域を形成する技術はなかつた。
従来技術には、多結晶シリコンを溝を充填する
材料として使用した例が多い。米国特許第
4473598号、第4140558号、および第4252579号明
細書にこれらの例が開示されている。シリコンに
よる分離のための溝の充填技術については、米国
特許第4526631号明細書、ならびに、IBMテクニ
カル・デイスクロジヤ・ブレテイン第25巻第2号
(1982年7月)p.558、第25巻第6号(1982年11
月)p.3105、第27巻第2号(1984年7月)p.1313
以後、および第27巻第3号(第1984年8月)
p.1481にも開示されている。これらの参考文献に
よれば、従来技術においては、シリコンによる溝
の充填のための多くの方法が与えられているが、
ドーピングしたエピタキシヤル・シリコン充填材
と、ドーピングしないエピタキシヤル・シリコン
充填材を同時に形成する方法は開示されていな
い。従来技術による装置のあるものは、装置の溝
分離にドーピングしないシリコン充填材を使用し
ているが、ドーピングしたシリコンが必要な他の
領域に、基板との接点を設けるという問題が残つ
ている。ドーピングしたシリコンを全般的に使用
するこれらの技術では、欠陥の近接による、装置
の完全な不良の問題は解決されていない。さら
に、装置によつては、必要なシリコン基板接点形
成の簡単な方法がない。ある装置では、ウエーハ
の裏面が、付随的な構造の存在のため、シリコン
基板との接点として使用することができない。こ
れは、ウエーハの裏面を、熱放散等に使用する熱
伝導モジユールに見られる。
C 発明が解決しようとする問題点 この発明の主目的は、ドーピングしたエピタキ
シヤル・シリコン充填材と、ドーピングしないエ
ピタキシヤル・シリコン充填材とを、同時に形成
する方法を提供することにある。
D 問題点を解決するための手段 従来技術における欠点を除去するべく、この発
明は、基板接点のため、ドーピングしない多結晶
シリコンの溝分離と、ドーピングした、エピタキ
シヤル成長させたシリコンとを、同時に使用する
方法を与える。この発明の方法によれば、非装置
領域の溝の側壁に、典型的にはホウケイ酸塩ガラ
スのライニングを形成し、次に、溝中で、シリコ
ンをエピタキシヤル成長させる。次に加熱するこ
とによつて、エピタキシヤル成長させたシリコン
が、ホウケイ酸塩中のホウ素によつてドーピング
される。充填材の温度も、ドーパントの移動に影
響を与える。
さらに、この発明の他の実施例によれば、ドー
ピングしないシリコンを充填した後、多結晶シリ
コンのドーピングを行い、さらに周知の化学的・
機械的研摩により平坦化を行う。このように、最
初のエピタキシヤル充填材は、基板接点に用いら
れ、一方後の多結晶シリコン(ドーピングされて
いない)は、装置の分離に用いられる。
このように、この発明の第1の実施例では、単
結晶半導体基板に、基板の主表面から基板材料の
内部へ向つて伸びる、実質的に垂直な側壁のパタ
ーンを有する溝が設けられる。絶縁層が、溝の側
壁に形成される。次にホウ素イオンを注入して、
溝の底部にチヤネル・ストツプ層を形成する。次
に、分離層の側壁上にホウケイ酸塩ガラス層を形
成させる。絶縁層の一部は、ホウケイ酸ガラス層
の一部とともに、エツチングによつて底部から除
去される。1つの方法は、異方性エツチングであ
る。次に、リングラフイおよびエツチング技術に
より、電気的接点を設けたい場所以外の、溝のす
べての部分から、ホウケイ酸塩ガラス層を除去す
る。次に溝をシリコンで充填して、基板への電気
的接点をもたらすように、シリコンをドーピング
するために、ホウケイ酸塩層が存在する場所を除
いて、溝内にドーピングしないシリコンを充填し
た分離溝のパターンを生成する。
この発明のもう一つの実施例によれば、単結晶
半導体基板に、基板の主表面から基板材料の内部
へ向つて伸びる、実質的に垂直な側壁を有する形
状の溝が形成される。基板と溝にSiO2でライニ
ングが行われ、P型にドーピングしたチヤネル・
ストツプを形成するため、溝の底部にホウ素イオ
ンが注入される。次に、フオトレジストを塗布し
た後RIE工程を行い、溝の底部のシリコン基板接
点を形成すべき場所から酸化物を除去する。接点
が無い溝では、フオトレジストが溝に充填されて
残り、これにより、その溝の底部のSiO2ライニ
ングがRIEエツチングされないように保護する。
フオトレジストの除去後、周知の方法を用いて、
p型にドーピングしたエピタキシヤル・シリコン
を基板接点領域に指定された溝中で成長させて、
これらの溝を、わずかに過充填する。エピタキシ
ヤル成長の1例は、米国特許第4526631号明細書
に開示されている。次に、ドーピングしない多結
晶シリコンを、すべての溝および基板表面上に付
着させる。その後、P型にドーピングした、選択
性エピタキシヤル・シリコン充填の、シリコン基
板接点溝と、ドーピングしない多結晶シリコン分
離溝の両方を、周知の化学・機械的研摩技術で平
坦化させる。
E 実施例 第1図および第2図を参照して、この発明の第
1の実施例につき説明する。この説明および図面
において、この発明を説明するのに不必要な半導
体構造の部分、またはこの発明に余分な工程につ
いては除外してある。これらは周知のものであ
る。この発明の第1の実施例では、溝の側壁層構
造の内側をライニングするために、ホウケイ酸塩
の化最蒸着(CVD)を使用する。これは第1図
に示す。
第1図はこの発明の中間開始点を示し、通常、
基板10は3層構造である。P型の基板材料は、
通常エピタキシヤル層の下にある、低抵抗接点ま
たはコレクタ領域として働く、N+領域を有す
る。第3の層は、N+領域上に形成されたN型エ
ピタキシヤル層である。この層は、デバイスの活
動領域として働く。これらの層の部分の電気的絶
縁の問題が生じるのは、この3層構造である。こ
の特定の問題はこの発明により解決される。
熱酸化したSiO2ライニング22が、溝の内壁
中の基板表面を覆う。熱酸化SiO2層の上に、
Si3N4の層24を付着させた後、SiO2のCVDによ
り、層26を付着させる。周知の方法により、溝
18および20を基板中に形成させる。
この発明によれば、溝の側壁内側のライニング
として、CVDによりホウケイ酸塩を形成させる。
この層28は、溝18および20中に付着させ
る。RIE等の技術を用いて、溝の底部30を開口
させる。すなわち、溝の底部から層22,24お
よび28を除去し、チヤネル・ストツプ層32を
形成させる。
チヤネル・ストツプは、周知の各種の方法で形
成することができる。たとえば、底部表面30に
イオン注入して形成させるか、内壁をホウケイ酸
塩でライニングした後、熱処理してホウ素を拡散
させるか、またはこれらの組合せにより、形成す
ることができる。
次に第2図を参照して、後の工程を説明する。
第2図に示すように、溝20は最終的に基板接点
となり、一方溝18は分離溝となる。図には2つ
の溝が示されているが、基板10の上には、この
ような溝の列があつてもよい。また、溝の寸法は
変つてもよく、接触技術と非接触技術とで異つて
もよい。このように、基板接点領域を除くホウケ
イ酸塩の溝側壁のすべての部分を除去するため、
選択性エツチングを行う。第2図に示すように、
溝20にはホウケイ酸塩が残り、溝18の側壁か
らは除去される。これは、選択性エツチングによ
るフオトアラインメントによつて行なわれ、ホウ
ケイ酸塩の溝ライニング材が除去される。このよ
うなエツチング方法は周知のものである。次に、
溝18および20が、エピタキシヤル・シリコン
の選択的付着によつて完全に充填される。このド
ーピングしない充填材は、溝18および20を完
全に充填する。
充填工程中に、ホウ素が前に付着させたホウケ
イ酸塩のライニングから、基板接点領域32中の
ドーピングしないエピタキシヤル・シリコン中に
拡散して、エピタキシヤル・シリコンをドーピン
グする。しかし、ドーピングしない多結晶シリコ
ンまたはエピタキシヤル・シリコン充填材を充填
する前に、ホウケイ酸塩が除去された溝中では、
溝チヤネル・ストツプのホウ素拡散32が、溝の
底部に近いエピタキシヤル・シリコン中に拡散す
ることによつてのみドーピングが起る。したがつ
て、第2図に示すように、エピタキシヤル・シリ
コンがドーピングされるため、溝20中に基板接
点領域36が形成される。分離溝18中では、チ
ヤネル・ストツプのホウ素の拡散は、溝底部近く
のエピタキシヤル・シリコン中にのみ起る。これ
は、第2図の浅い部分38で示される。したがつ
て、この技術により、一連の分離溝と、一連の基
板接点溝が同時に形成される。この構造は、溝1
8上にSiO2絶縁材19を、接触溝20上に金属
接点23を設けることにより完成する。
上述の実施例によれば、熱形成SiO2/Si3N4
CVDホウケイ酸塩が、ドーピングしないエピタ
キシヤル充填材をドーピングするための、溝の側
壁として使用される。これらの材料は、後の熱処
理により、従来の側壁構造に見られるような変位
の可能性の少い永久側壁構造を形成する。たとえ
ば、この構造は、熱形成SiO2の厚みが約500オン
グストローム、Si3N4が約500オングストローム、
CVDホウケイ酸塩が約4000オングストロームで
ある。これ以外の相対的厚みも、この発明の範囲
内である。また、この構造の代りに、他の分離層
を使用することもできる。
次に第3〜6図を参照して、この発明の第2の
実施例について説明する。この実施例で同じ構造
を用いる限り、同じ番号を使用している。すなわ
ち、P層12、N+層14、N層16からなる同
じ3層構造の基板に溝18および20を設ける。
熱形成SiO222、Si3N4層24、およびCVD
SiO2層26は、第1の実施例と共通の開始点で
ある。他の分離層を使用することもできる。ま
た、P型にドーピングしたチヤネル・ストツプ3
2の形成のため、溝の底部にホウ素イオンを注入
する。
この実施例が第1の実施例と異なる点は、次の
工程で、フオトレジスト40で基板表面を完全に
被覆し、各溝を充填することである。周知の方法
を使用して、接点を設けたい溝の上のレジスト4
0を開口させる。第4図は、RIEにより溝の底部
を開口させ、酸化物層の上部の一部を除去した後
の構造を示す。典型的には、“将来の”シリコン
基板接点を形成するため、溝20から酸化物を除
去するのにRIEが用いられる。すなわち、第4図
は、溝が接点のため開口するよう酸化物を除去し
た溝20と、フオトレジストが充填されたままの
溝18を示す。このエツチングはまた、CVD酸
化物も選択的に除去し、溝20上の領域を開口さ
せる。次にフオトレジスト40を除去する。
次に第5図を参照して、次の工程と、装置の次
の中間段階について説明する。第5図において、
選択的にP型ドーピングしたエピタキシヤル・シ
リコン域44を、基板接点溝20中に付着させ、
成長させる。このエピタキシヤル・シリコンによ
る再充填は、図に示すように、溝20をわずかに
過度に充填する。エピタキシヤル・シリコンは上
方および外方へ成長させ、溝20を完全に封入す
る。これを第5図(構造46)に示す。この選択
的成長は、後で溝の底部に基板接点を開口させる
溝だけに起る。選択的エピタキシヤル再充填の
後、ドーピングしない多結晶シリコンのブランケ
ツト成長を行う。この層48は、溝18を完全に
充填し、CVD酸化物層26を被覆する。この層
は、ドーム46およびエピタキシヤル成長させ
た、P型ドーピングしたシリコン層も被覆する。
第5図は、ドーピングしない多結晶シリコン4
8を付着させると、溝の充填が行われる領域で、
平坦性の不連続が生じることを示す。キヤツプ4
6の近くには隆起も生じる。装置の平坦化のた
め、P型にドーピングしたエピタキシヤル・ドー
ム46と、溝18を充填する多結晶シリコンのド
ーピングしない材料48の両方を平坦化する。化
学・機械研摩技術により、CVD酸化物層が除去
され、ドーム型構造46は、基板の上面50が平
坦化されるように除去される。これを第6図に示
す。構造は、SiO2層19を溝18上に、金属接
点23を溝20上に設けて完成させる。
上述のように、この技術により、ドーピングし
ない多結晶シリコンを充填した分離溝18が形成
され、一方、同時加工により、P型にドーピング
したエピタキシヤル・シリコンを付着させ、成長
させた基板接点溝20が形成される。
次に第7A図および第7B図を参照して、重な
り接点の例を説明する。前述の実施例では分離溝
18上のSiO2被覆19は、その溝の上に集中さ
れた。同様に、基板接点溝20上の接点23は、
その溝と心合わせされた。この発明によれば、接
点を溝充填材と、溝側壁の上に重ねることができ
る。
第7A図は、多結晶シリコンで再充填した溝4
8を有する分離溝を示す。絶縁構造22は、開口
部25が溝18、その側壁、および基板の表面を
包囲するように開かれる。この開口部に多結晶シ
リコン、エピタキシヤル・シリコン、またはこれ
らの組合わせを選択的に付着させる。溝の充填材
および基板材料を過度に充填することにより、開
口部中に接点が形成される。この構造は、
CMOSダイナミツクRAM技術におけると同様
に、溝コンデンサ・セルへの接点を形成するのに
用いることができる。
第7B図は、選択的エピタキシヤル成長により
再充填した溝20を有する基板接点溝を示す。第
7A図に示す実施例と同様、絶縁構造は溝20お
よび基板の一部を部分的に覆うよう開口してい
る。開口部25は、ドーピングしたエピタキシヤ
ル・シリコンを再充填した溝20、絶縁溝の側壁
および基板のエピタキシヤル表面に重なつてい
る。この区域は、エピタキシヤル・シリコン、多
結晶シリコン、またはこれらの組合せたものによ
り、選択的に充填される。シリコンを充填した溝
と、基板材料上で過度に成長させることにより、
開口部に接点が形成される。
この接点構造の利点は、基板表面上の面積を節
約し、隣接装置との間隔を狭くすることである。
この技術により、加工工程が節減され、接点の位
置が正確になる。すなわち、接点が必要な位置
に、高度にドーピングされた材料を付着させるこ
とができる。この技術により、過度に成長した部
分は、被覆酸化物とほとんど同一面にあるため、
平坦な表面が得られる。
この接点形成技術は、第1〜6図の絶縁構造
(SiO2、Si3N4)に限定されるものではない。絶
縁物は酸化物でも、窒化物でも、またはそれらを
組合わせたものでもよい。さらに、接点は溝の上
部を横切るように示されているが、側壁に沿つて
埋め込んだものでもよい。
F 発明の効果 以上説明したように、この発明によれば、ドー
ピングしない多結晶シリコンによる溝分離と、ド
ーピングした、エピタキシヤル成長させたシリコ
ンによる基板接点を、同時に得ることができる。
【図面の簡単な説明】
第1図および第2図は、この発明の1実施例に
よる集積回路製造の各工程における半導体ウエー
ハの一部の断面図、第3〜6図は、この発明の第
2の実施例による集積回路製造の各工程における
半導体ウエーハの一部の断面図、第7A図および
第7B図は、半導体の一部および重なり接点を示
す断面図である。 10……基板、12……P−層、14……N+
層、16……N層、18……分離溝、20……基
板接点溝、22……SiO2層、24……Si3N4層、
26……CVD SiO2層。

Claims (1)

  1. 【特許請求の範囲】 1 絶縁領域と接点領域をもつ半導体デバイス構
    造の形成方法において、 (a) 主平面から下方に延びる、実質的に垂直な側
    壁を有する溝をもつ半導体基板を用意する工程
    と、 (b) 上記溝の側壁上に、絶縁層を形成する工程
    と、 (c) 上記絶縁層上に、ホウケイ酸ガラス層を形成
    する工程と、 (d) 上記溝の底部から、異方性エツチングによ
    り、上記絶縁層と上記ホウケイ酸ガラス層を除
    去する工程と、 (e) 上記溝の、絶縁すべき全ての箇所から上記ホ
    ウケイ酸ガラス層を除去するとともに、上記溝
    の、上記基板との電気的接触を形成すべき箇所
    では上記ホウケイ酸ガラス層を残すようにする
    工程と、 (f) 上記溝を、ドープされていないシリコンで充
    填する工程と、 (g) 上記ホウケイ酸ガラス層が残されている上記
    溝の箇所において、上記ホウケイ酸ガラス層か
    ら、上記充填されたシリコンへのホウ素の移動
    が生じるように加熱する工程とを有する、 半導体デバイス構造の形成方法。 2 絶縁領域と接点領域をもつ半導体デバイス構
    造の形成方法において、 (a) 主平面から下方に延びる、実質的に垂直な側
    壁を有する溝をもつ半導体基板を用意する工程
    と、 (b) 上記溝の側壁上に、絶縁層を形成する工程
    と、 (c) 上記溝の底部に、チヤネル・ストツプを形成
    する工程と、 (d) 上記溝をフオトレジストで充填し、上記溝
    の、上記基板との電気的接点を形成すべき箇所
    からは該フオトレジストをエツチングするとと
    もにその底部の絶縁層を除去する工程と、 (e) 上記溝の、上記基板との電気的接点を形成す
    べき箇所から残りのフオトレジストを除去して
    p型にドーピングされたシリコンをそこに成長
    させて充填する工程と、 (f) 上記溝のうち、上記基板との電気的接点を形
    成すべき箇所以外の箇所から上記フオトレジス
    トを除去してそこにドープされていないシリコ
    ンを充填する工程と、 (g) 上記基板の主平面を平坦化する工程を有す
    る、 半導体デバイス構造の形成方法。
JP61193004A 1985-10-31 1986-08-20 半導体デバイス構造の形成方法 Granted JPS62105445A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/793,518 US4745081A (en) 1985-10-31 1985-10-31 Method of trench filling
US793518 1985-10-31

Publications (2)

Publication Number Publication Date
JPS62105445A JPS62105445A (ja) 1987-05-15
JPH0344419B2 true JPH0344419B2 (ja) 1991-07-05

Family

ID=25160098

Family Applications (1)

Application Number Title Priority Date Filing Date
JP61193004A Granted JPS62105445A (ja) 1985-10-31 1986-08-20 半導体デバイス構造の形成方法

Country Status (4)

Country Link
US (2) US4745081A (ja)
EP (1) EP0221394B1 (ja)
JP (1) JPS62105445A (ja)
DE (1) DE3686125T2 (ja)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63122261A (ja) * 1986-11-12 1988-05-26 Mitsubishi Electric Corp 半導体装置の製造方法
US4845051A (en) * 1987-10-29 1989-07-04 Siliconix Incorporated Buried gate JFET
US4835115A (en) * 1987-12-07 1989-05-30 Texas Instruments Incorporated Method for forming oxide-capped trench isolation
US4873205A (en) * 1987-12-21 1989-10-10 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
JPH01173714A (ja) * 1987-12-21 1989-07-10 Internatl Business Mach Corp <Ibm> ブリツジ接点の形成方法
JPH0656865B2 (ja) * 1988-10-13 1994-07-27 株式会社東芝 高耐圧素子用接着基板
IT1225625B (it) * 1988-11-03 1990-11-22 Sgs Thomson Microelectronics Procedimento per la realizzazione di strutture di isolamento incassate nel substrato di silicio per dispositivi cmos ed nmos.
JPH02271535A (ja) * 1988-12-28 1990-11-06 Synergy Semiconductor Corp バイポーラ構造における基板タップ及びこの製造方法
US5105253A (en) * 1988-12-28 1992-04-14 Synergy Semiconductor Corporation Structure for a substrate tap in a bipolar structure
US5108946A (en) * 1989-05-19 1992-04-28 Motorola, Inc. Method of forming planar isolation regions
JPH0358484A (ja) * 1989-07-27 1991-03-13 Toshiba Corp 半導体装置とその製造方法
US5223736A (en) * 1989-09-27 1993-06-29 Texas Instruments Incorporated Trench isolation process with reduced topography
US5077228A (en) * 1989-12-01 1991-12-31 Texas Instruments Incorporated Process for simultaneous formation of trench contact and vertical transistor gate and structure
US5159429A (en) * 1990-01-23 1992-10-27 International Business Machines Corporation Semiconductor device structure employing a multi-level epitaxial structure and method of manufacturing same
US5061652A (en) * 1990-01-23 1991-10-29 International Business Machines Corporation Method of manufacturing a semiconductor device structure employing a multi-level epitaxial structure
JP2641781B2 (ja) * 1990-02-23 1997-08-20 シャープ株式会社 半導体素子分離領域の形成方法
US5296392A (en) * 1990-03-06 1994-03-22 Digital Equipment Corporation Method of forming trench isolated regions with sidewall doping
US5139966A (en) * 1990-04-02 1992-08-18 National Semiconductor Corporation Low resistance silicided substrate contact
JP2757927B2 (ja) * 1990-06-28 1998-05-25 インターナショナル・ビジネス・マシーンズ・コーポレイション 半導体基板上の隔置されたシリコン領域の相互接続方法
US5413966A (en) * 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
US5290396A (en) * 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
US5192708A (en) * 1991-04-29 1993-03-09 International Business Machines Corporation Sub-layer contact technique using in situ doped amorphous silicon and solid phase recrystallization
US5250461A (en) * 1991-05-17 1993-10-05 Delco Electronics Corporation Method for dielectrically isolating integrated circuits using doped oxide sidewalls
US5225358A (en) * 1991-06-06 1993-07-06 Lsi Logic Corporation Method of forming late isolation with polishing
US5252503A (en) * 1991-06-06 1993-10-12 Lsi Logic Corporation Techniques for forming isolation structures
US5248625A (en) * 1991-06-06 1993-09-28 Lsi Logic Corporation Techniques for forming isolation structures
JPH0513566A (ja) * 1991-07-01 1993-01-22 Toshiba Corp 半導体装置の製造方法
JPH05211239A (ja) * 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
US5185294A (en) * 1991-11-22 1993-02-09 International Business Machines Corporation Boron out-diffused surface strap process
JP2890380B2 (ja) 1991-11-27 1999-05-10 三菱電機株式会社 半導体装置およびその製造方法
US5236863A (en) * 1992-06-01 1993-08-17 National Semiconductor Corporation Isolation process for VLSI
US5217920A (en) * 1992-06-18 1993-06-08 Motorola, Inc. Method of forming substrate contact trenches and isolation trenches using anodization for isolation
US5494857A (en) * 1993-07-28 1996-02-27 Digital Equipment Corporation Chemical mechanical planarization of shallow trenches in semiconductor substrates
US5346584A (en) * 1993-07-28 1994-09-13 Digital Equipment Corporation Planarization process for IC trench isolation using oxidized polysilicon filler
US5479048A (en) * 1994-02-04 1995-12-26 Analog Devices, Inc. Integrated circuit chip supported by a handle wafer and provided with means to maintain the handle wafer potential at a desired level
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5872044A (en) * 1994-06-15 1999-02-16 Harris Corporation Late process method for trench isolation
JPH08195433A (ja) * 1995-01-19 1996-07-30 Toshiba Corp 半導体装置及びその製造方法
JP3360970B2 (ja) * 1995-05-22 2003-01-07 株式会社東芝 半導体装置の製造方法
US5920108A (en) * 1995-06-05 1999-07-06 Harris Corporation Late process method and apparatus for trench isolation
US5859466A (en) * 1995-06-07 1999-01-12 Nippon Steel Semiconductor Corporation Semiconductor device having a field-shield device isolation structure and method for making thereof
KR100192178B1 (ko) * 1996-01-11 1999-06-15 김영환 반도체 소자의 아이솔레이션 방법
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
GB2322736B (en) * 1997-02-28 2002-06-26 Int Rectifier Corp Integrated photovoltaic switch with integrated power device
US5851900A (en) * 1997-04-28 1998-12-22 Mosel Vitelic Inc. Method of manufacturing a shallow trench isolation for a semiconductor device
AT2173U1 (de) * 1997-06-19 1998-05-25 Austria Mikrosysteme Int Verfahren zur herstellung von begrenzten, dotierten teilgebieten in einem substratmaterial aus monokristallinem silizium
CA2295990A1 (en) 1997-07-11 1999-01-21 Telefonaktiebolaget Lm Ericsson A process for manufacturing ic-components to be used at radio frequencies
US6251734B1 (en) 1998-07-01 2001-06-26 Motorola, Inc. Method for fabricating trench isolation and trench substrate contact
US6251769B1 (en) * 1999-07-02 2001-06-26 United Microelectronics Corp Method of manufacturing contact pad
US6388305B1 (en) * 1999-12-17 2002-05-14 International Business Machines Corporation Electrically programmable antifuses and methods for forming the same
US6627949B2 (en) * 2000-06-02 2003-09-30 General Semiconductor, Inc. High voltage power MOSFET having low on-resistance
KR100389923B1 (ko) 2001-01-16 2003-07-04 삼성전자주식회사 트렌치 소자 분리구조를 가지는 반도체 소자 및 트렌치소자 분리 방법
DE10110974C2 (de) * 2001-03-07 2003-07-24 Infineon Technologies Ag Verfahren zum Verbreitern eines aktiven Halbleitergebiets auf einem Halbleitersubstrat
JP2002359290A (ja) 2001-03-27 2002-12-13 Matsushita Electric Ind Co Ltd 半導体集積装置
US6621136B2 (en) 2001-09-28 2003-09-16 Semiconductor Components Industries Llc Semiconductor device having regions of low substrate capacitance
US6696349B2 (en) * 2001-11-13 2004-02-24 Infineon Technologies Richmond Lp STI leakage reduction
KR100400254B1 (ko) * 2001-12-18 2003-10-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
US6724798B2 (en) 2001-12-31 2004-04-20 Honeywell International Inc. Optoelectronic devices and method of production
US6994903B2 (en) * 2002-01-03 2006-02-07 International Business Machines Corp. Hybrid substrate and method for fabricating the same
CN100405598C (zh) * 2002-11-12 2008-07-23 微米技术有限公司 用于减少cmos图像传感器中的暗电流的接地栅极和隔离技术
US6646320B1 (en) * 2002-11-21 2003-11-11 National Semiconductor Corporation Method of forming contact to poly-filled trench isolation region
DE10320414A1 (de) * 2003-05-07 2004-12-23 Infineon Technologies Ag Halbleiteranordnung mit Schutzanordnung zur Verhinderung einer Diffusion von Minoritätsladungsträgern
US6818950B1 (en) * 2003-05-13 2004-11-16 Micrel, Inc. Increasing switching speed of geometric construction gate MOSFET structures
US7410864B2 (en) * 2004-04-23 2008-08-12 Infineon Technologies Ag Trench and a trench capacitor and method for forming the same
DE102004028679A1 (de) * 2004-06-14 2006-01-05 Infineon Technologies Ag Isolationsgrabenanordnung
US7468307B2 (en) 2005-06-29 2008-12-23 Infineon Technologies Ag Semiconductor structure and method
US7982284B2 (en) * 2006-06-28 2011-07-19 Infineon Technologies Ag Semiconductor component including an isolation structure and a contact to the substrate
DE102006029701B4 (de) * 2006-06-28 2017-06-01 Infineon Technologies Ag Halbleiterbauteil sowie Verfahren zur Herstellung eines Halbleiterbauteils
DE102006046377A1 (de) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Isoliergräben, die unterschiedliche Arten an Verformung hervorrufen
DE102007018098B4 (de) * 2007-04-17 2016-06-16 Austriamicrosystems Ag Verfahren zum Herstellen eines Halbleiterkörpers mit einem Graben und Halbleiterkörper mit einem Graben
US8064224B2 (en) * 2008-03-31 2011-11-22 Intel Corporation Microelectronic package containing silicon patches for high density interconnects, and method of manufacturing same
DE102010006996B4 (de) 2010-02-05 2017-08-24 Austriamicrosystems Ag Verfahren zur Herstellung eines Halbleiterbauelements
US8492260B2 (en) * 2010-08-30 2013-07-23 Semionductor Components Industries, LLC Processes of forming an electronic device including a feature in a trench
US8647945B2 (en) 2010-12-03 2014-02-11 International Business Machines Corporation Method of forming substrate contact for semiconductor on insulator (SOI) substrate
US8673737B2 (en) * 2011-10-17 2014-03-18 International Business Machines Corporation Array and moat isolation structures and method of manufacture
US20160043218A1 (en) * 2014-08-05 2016-02-11 Semiconductor Components Industries, Llc Semiconductor component and method of manufacture
US9812354B2 (en) 2015-05-15 2017-11-07 Semiconductor Components Industries, Llc Process of forming an electronic device including a material defining a void
CN115332153A (zh) 2017-12-29 2022-11-11 联华电子股份有限公司 半导体元件及其制作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58220445A (ja) * 1982-06-16 1983-12-22 Toshiba Corp 半導体集積回路の製造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4256514A (en) * 1978-11-03 1981-03-17 International Business Machines Corporation Method for forming a narrow dimensioned region on a body
US4462040A (en) * 1979-05-07 1984-07-24 International Business Machines Corporation Single electrode U-MOSFET random access memory
CA1142261A (en) * 1979-06-29 1983-03-01 Siegfried K. Wiedmann Interconnection of opposite conductivity type semiconductor regions
US4470062A (en) * 1979-08-31 1984-09-04 Hitachi, Ltd. Semiconductor device having isolation regions
JPS56137647A (en) * 1980-03-31 1981-10-27 Chiyou Lsi Gijutsu Kenkyu Kumiai Semiconductor and its manufacture
FR2480501A1 (fr) * 1980-04-14 1981-10-16 Thomson Csf Dispositif semi-conducteur a grille profonde accessible par la surface et procede de fabrication
JPS57201070A (en) * 1981-06-05 1982-12-09 Seiko Epson Corp Semiconductor device
US4446476A (en) * 1981-06-30 1984-05-01 International Business Machines Corporation Integrated circuit having a sublayer electrical contact and fabrication thereof
JPS5850752A (ja) * 1981-09-21 1983-03-25 Fujitsu Ltd 半導体装置の製造方法
US4375124A (en) * 1981-11-12 1983-03-01 Gte Laboratories Incorporated Power static induction transistor fabrication
JPS58168233A (ja) * 1982-03-30 1983-10-04 Fujitsu Ltd 半導体装置の製造方法
US4473598A (en) * 1982-06-30 1984-09-25 International Business Machines Corporation Method of filling trenches with silicon and structures
JPS5982761A (ja) * 1982-11-04 1984-05-12 Hitachi Ltd 半導体メモリ
JPS59119848A (ja) * 1982-12-27 1984-07-11 Fujitsu Ltd 半導体装置の製造方法
JPS59208750A (ja) * 1983-05-12 1984-11-27 Sanyo Electric Co Ltd 半導体装置の配線構造
US4522662A (en) * 1983-08-12 1985-06-11 Hewlett-Packard Company CVD lateral epitaxial growth of silicon over insulators
US4661202A (en) * 1984-02-14 1987-04-28 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques
US4554728A (en) * 1984-06-27 1985-11-26 International Business Machines Corporation Simplified planarization process for polysilicon filled trenches
US4688063A (en) * 1984-06-29 1987-08-18 International Business Machines Corporation Dynamic ram cell with MOS trench capacitor in CMOS
US4801989A (en) * 1986-02-20 1989-01-31 Fujitsu Limited Dynamic random access memory having trench capacitor with polysilicon lined lower electrode
EP0236089B1 (en) * 1986-03-03 1992-08-05 Fujitsu Limited Dynamic random access memory having trench capacitor

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58220445A (ja) * 1982-06-16 1983-12-22 Toshiba Corp 半導体集積回路の製造方法

Also Published As

Publication number Publication date
EP0221394A2 (en) 1987-05-13
DE3686125D1 (de) 1992-08-27
EP0221394A3 (en) 1989-04-26
DE3686125T2 (de) 1993-03-11
EP0221394B1 (en) 1992-07-22
US4745081A (en) 1988-05-17
US4924284A (en) 1990-05-08
JPS62105445A (ja) 1987-05-15

Similar Documents

Publication Publication Date Title
JPH0344419B2 (ja)
EP0036111B1 (en) Method for making fine deep dielectric isolation
JP2994239B2 (ja) Soiトレンチ構造およびその製造方法
AU594200B2 (en) A fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures employing the method
US5902127A (en) Methods for forming isolation trenches including doped silicon oxide
US6069058A (en) Shallow trench isolation for semiconductor devices
US5937292A (en) Nitride cap formation in a DRAM trench capacitor
US6737330B2 (en) Isolation structure and fabricating method therefor
JPS62269335A (ja) 半導体デバイスの製造方法
JPH05102296A (ja) 集積回路において平坦化した浅いトレンチ分離を製造する方法及びそれにより製造された構成体
US5106777A (en) Trench isolation process with reduced topography
US20010006839A1 (en) Method for manufacturing shallow trench isolation in semiconductor device
JPH0834261B2 (ja) Bicmos集積回路用のsoi構造体およびその製造方法
US8304322B2 (en) Methods of filling isolation trenches for semiconductor devices and resulting structures
US5453395A (en) Isolation technology using liquid phase deposition
US6355974B1 (en) Method to prevent the formation of a thinner portion of insulating layer at the junction between the side walls and the bottom insulator
KR100251280B1 (ko) 샐로우 트랜치 아이솔레이션 방법
US6358785B1 (en) Method for forming shallow trench isolation structures
JP4197576B2 (ja) 半導体装置の製造方法
US6479328B1 (en) Method of fabricating SOI wafer
KR100355870B1 (ko) 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
KR950014114B1 (ko) 소자분리용 절연막 형성방법
US6251769B1 (en) Method of manufacturing contact pad
KR100379524B1 (ko) 반도체 소자의 격리막 형성 방법
KR0140734B1 (ko) 반도체 소자의 제조방법