JPH05102296A - 集積回路において平坦化した浅いトレンチ分離を製造する方法及びそれにより製造された構成体 - Google Patents

集積回路において平坦化した浅いトレンチ分離を製造する方法及びそれにより製造された構成体

Info

Publication number
JPH05102296A
JPH05102296A JP4081753A JP8175392A JPH05102296A JP H05102296 A JPH05102296 A JP H05102296A JP 4081753 A JP4081753 A JP 4081753A JP 8175392 A JP8175392 A JP 8175392A JP H05102296 A JPH05102296 A JP H05102296A
Authority
JP
Japan
Prior art keywords
recess
silicon
deposited
integrated circuit
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP4081753A
Other languages
English (en)
Inventor
Fu-Tai Liou
リヨウ フ−タイ
Fusen Chen
チエン フーセン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
STMicroelectronics lnc USA
Original Assignee
SGS Thomson Microelectronics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SGS Thomson Microelectronics Inc filed Critical SGS Thomson Microelectronics Inc
Publication of JPH05102296A publication Critical patent/JPH05102296A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76227Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals

Abstract

(57)【要約】 (修正有) 【目的】隣接する活性領域の表面と実質的に同一面状で
ある表面を持った分離構成体及びその製造方法を提供す
る。 【構成】集積回路において分離構成体を製造する方法及
びその様にして製造された構成体が提供される。マスキ
ング層を設けることにより表面の活性領域の画定を行な
った後に、露出された位置に凹所を、絶縁性分離構成体
の最終的厚さの程度の深さへエッチング形成する。二酸
化シリコン又はその他の絶縁性アモルファス物質からな
る側壁スペーサ12を該凹所の側壁に沿って配設し、該
凹所の底部においてシリコンを露出させる。次いで、シ
リコンの選択的エピタキシャル成長により、該凹所内
に、好適には該凹所の深さの約半分の程度の厚さにシリ
コン層14を形成する。該エピタキシャルシリコンを熱
的に酸化して、該凹所を熱二酸化シリコンで充填し、そ
の上部表面を該表面の活性領域と実質的に同一面状とさ
せる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、大略、集積回路及びそ
の製造技術に関するものであって、更に詳細には、集積
回路の表面における活性領域の電気的分離技術に関する
ものである。
【0002】
【従来の技術】集積回路設計、レイアウト及び製造技術
の分野において公知の如く、ある集積回路の製造コスト
は大方所望の機能を実現するために必要とされるチップ
面積に依存する。勿論、例えば金属−酸化物−半導体
(MOS)技術におけるゲート電極などのような且つM
OSソース及びドレイン領域及びバイポーラエミッタ及
びベース領域などのような拡散領域としての活性コンポ
ーネントの幾何学的形状及び寸法は、ある集積回路に対
してのチップ面積を画定する上で重要な要因である。こ
れらの幾何学的形状及び寸法は、しばしば、特定の製造
装置に対して使用可能なホトリソグラフィ分解能に依存
するものである。
【0003】ある集積回路に対して必要とされるチップ
面積において別の重要な要因は分離技術である。なぜな
らば、活性回路要素間のリークが機能的又は仕様上の欠
陥を発生することがないように活性回路要素間に十分な
電気的分離が与えられねばならないからである。分離の
重要性は、特に、最近において、極めて低いレベルのス
タンバイ電流により格納データを維持することが極めて
望ましいものとされているスタチックランダムアクセス
メモリ(SRAM)などのような回路に対して特に大き
なものである。この様な低いスタンバイ電流は良好な分
離を必要とする。なぜならば、メモリアレイ内の活性領
域間のリークが存在すると、引出されるスタンバイ電流
は著しく増加されるからである。より集積度の高いメモ
リアレイ内により小型のメモリセルを設けることの要求
と共にますます厳しくなるスタンバイ電流に対する仕様
が、その他の最近の集積回路においてのみならずSRA
M装置においても分離技術に著しい圧力を及ぼしてい
る。
【0004】公知であり且つ広く使用されている分離技
術は、通常LOCOSと呼ばれるシリコン局所酸化技術
である。LOCOSにおいては、活性装置を形成すべき
(即ち、活性領域)チップの表面の所定の位置の上に酸
化バリア(通常、窒化シリコン)を配置させる。次い
で、ウエハを酸化性雰囲気中、通常は、例えば1100
℃の高温において蒸気中に配置させる。酸化バリアによ
り被覆されていないウエハ表面の部分が酸化して、その
場所において熱二酸化シリコンを形成し、その酸化は、
酸化バリアにより活性領域からマスクされている。LO
COSフィールド酸化物は、通常、十分な厚さのものに
形成され、従ってその上に配置される導体は、最大回路
電圧にバイアスされた場合においても、その下側におい
てチャンネル反転を発生することはない。
【0005】LOCOS分離技術が当該業界において広
く使用されているが、それは公知の制限事項を有してい
る。LOCOSの第一の顕著な制限事項は、窒化物マス
クのエッジ即ち端部下側のシリコンの酸化に起因して活
性領域内に酸化物がエンクローチメント即ち侵入するこ
とである。この様なエンクローチメントの予測される距
離は集積回路のレイアウトにおいて考慮されねばなら
ず、従って、チップ面積はこのエンクローチメントの結
果として拡大される。勿論、エンクローチメントは、フ
ィールド酸化物の厚さを減少することにより減少させる
ことが可能であるが、その場合には寄生フィールド酸化
物トランジスタのスレッシュホールド電圧が減少される
という犠牲を払うものであり、従って分離の減少が発生
する。
【0006】更に、従来のLOCOS分離技術は集積回
路表面にトポグラフィ即ち地形的変化を付加する。なぜ
ならば、二酸化シリコンは、酸素との反応に起因してそ
の酸化の前にシリコンが有していたものよりも一層大き
な容積を占有せねばならないからである。その結果、従
来のLOCOSフィールド酸化物の表面は活性領域の表
面よりも上方に位置し、酸化物厚さの約半分が活性領域
表面の上方に位置される。このトポグラフィは、上側に
存在する導体がフィールド酸化物のエッジ即ち端部にお
けるステップ即ち段差部を被覆することを必要とし、そ
のことは、公知の如く、導体層(即ち、フィラメントの
存在)のエッチングにおいて問題を発生する可能性があ
り且つ導体層の信頼性において問題を発生する可能性が
ある。更に、サブミクロンホトリソグラフィに対するフ
ィールドの深さがウエハ表面のトポグラフィにより超過
される場合がある。
【0007】より最近の分離技術では分離位置において
ウエハの表面にエッチング形成したトレンチ即ち溝を使
用するものであり、そのトレンチは後に熱酸化物又は付
着形成した酸化物で充填される。この様なトレンチ分離
は、ほとんど又は全くエンクローチメントなしでウエハ
表面内に延在する極めて厚い分離酸化物を与えることが
可能であり、且つそれは隣接する活性領域と比較的同一
面状の上部表面を有することが可能である。この様なト
レンチ分離の一例は米国特許第4,958,213号に
記載されており、その場合には、比較的深いトレンチが
エッチング形成され且つその後に付着形成した酸化物と
熱酸化物の両方で充填される。しかしながら、注意すべ
きことであるが、深いトレンチのエッチングは非常にコ
ストのかかるプロセスであり、且つそれは密接した幾何
学的形状を維持しながら実施することは極めて困難なも
のである。更に、熱的に形成した二酸化シリコンは、通
常、付着形成した二酸化シリコンよりも一層高い一体性
を有するものであることが公知であるが、トレンチ内に
熱酸化物を形成する場合には、酸化前のシリコンの容積
から二酸化シリコンの容積の膨張に起因して、シリコン
内にストレスを発生させる。その結果、トレンチ分離
は、かなり付着形成される酸化物に依存する傾向があ
る。
【0008】更に別の技術的背景として、米国特許第
4,842,675号が、トレンチと結合して熱LOC
OSフィールド酸化物を形成する方法を記載している。
この方法によれば、所望の分離位置においてウエハの表
面に凹所がエッチング形成される。その上に窒化シリコ
ンからなる適合層が付着形成され、次いでシリコン酸化
物のより厚い層が付着形成される。付着形成したシリコ
ン酸化物をエッチバックして、より幅の狭い分離位置内
ではなく、より幅広の分離位置の底部において窒化シリ
コンを露出させる。露出された窒化物をエッチング除去
し、付着形成したシリコン酸化物を除去し、且つウエハ
の露出した単結晶部分を従来のLOCOSの態様で熱酸
化させる。分離位置の容積の残部を、熱酸化物を形成し
た後に、付着形成した酸化物で充填する。しかしなが
ら、注意すべきことであるが、この様な酸化に対してシ
リコンが使用可能であることは、幅の広い凹所の底部表
面におけるものに制限される。更に、このプロセスはか
なり複雑なものと思われる。
【0009】
【発明が解決しようとする課題】本発明の目的とすると
ころは、隣接する活性領域の表面と実質的に同一面状で
ある表面を持った分離構成体及びその製造方法を提供す
ることである。本発明の別の目的とするところは、分離
物質として熱二酸化シリコンを使用する方法を提供する
ことである。本発明の更に別の目的とするところは、ウ
エハの表面に比較的浅いトレンチを使用する方法を提供
することである。本発明の更に別の目的とするところ
は、分離凹所を熱二酸化シリコンで実質的に充填する方
法を提供することである。本発明の更に別の目的とする
ところは、幅広及び幅狭の両方の分離位置に対して使用
することの可能な方法を提供することである。
【0010】
【課題を解決するための手段】本発明は、集積回路の表
面にエッチング形成した比較的浅い凹所内に分離構成体
を製造する方法に組込むことが可能である。凹所を形成
した後に、例えば二酸化シリコンなどの絶縁性物質から
なる側壁フィラメントを凹所の幾つか又は全ての中に形
成し、その底部シリコン部分を露出させる。選択的エピ
タキシにより底部から上方にしかし側部に沿わないよう
にシリコン層を形成する。その選択的エピタキシャル層
を酸化し、従って該凹所は熱二酸化シリコンで実質的に
充填される。シリコンの非等方的エッチングにより形成
される垂直側壁又は一層等方的なシリコンエッチングに
より形成される傾斜側壁を有する両方の凹所を使用する
ことが可能である。
【0011】
【実施例】次に、図1a乃至図1fを参照して、本発明
の第一実施例について詳細に説明する。図1aは、ウエ
ハの一部を断面で示しており、それは、本発明の第一実
施例に基づく分離構成体が形成されるべき表面を有して
いる。図1aに示した如く、この実施例においては軽度
にドープされたP型のシリコン基板2が表面に形成され
たPウエル4とNウエル6とを有しており、その中に最
終的には集積回路の活性トランジスタが配置される。P
ウエル4及びNウエル6は、従来公知のツインウエル即
ちツインタブCMOSプロセスに基づいて形成すること
が可能である。注意すべきことであるが、基板2及びウ
エル4,6に対する図1aに示した導電型及び濃度は単
に例示的なものとして示したものに過ぎないことに注意
すべきである。なぜならば、本発明は、単一ウエルCM
OSプロセスにも適用可能であり、且つバイポーラ、N
チャンネル及びPチャンネルMOS及びBiCMOS技
術を包含するその他の技術にも適用可能なものだからで
ある。この様な技術は、それらの活性装置を直接的にモ
ノリシック基板(例えば、軽度にドープしたP型基板)
内に形成させることが可能であり、又基板の表面におけ
るエピタキシャル層内に形成することも可能である。本
発明は、この様なその他の技術にも適用可能であり且つ
その様な技術において実現される場合に有効なものであ
る。
【0012】図1aの例においては、所望のウエル特性
及び処理の流れに依存して、ウエル4及び6を1乃至5
ミクロンの程度の深さに形成することが可能である。そ
の結果、ウエル4及び6の深さは図1a乃至図1fにお
いて単に例示的に示したものであり、表面に形成される
構成の残部と同一の縮尺の場合もあればそうでない場合
もある。図1aに示した如く、マスキング層7,9,1
1が、活性装置を形成すべき位置の上に配置されてお
り、且つ本発明のこの実施例に基づいて分離構成体が形
成される位置には設けられていない。この例において
は、マスキング層7は二酸化シリコンの薄い層であり、
例えばウエル4及び6の表面におけるシリコンの熱酸化
により形成される13nmの程度の厚さである。マスキ
ング層9は、例えばCVDにより酸化物層7の上に付着
形成される50nmの程度の厚さの薄い多結晶シリコン
層である。マスキング層11は、好適には、CVDによ
りポリシリコン層9の上に付着形成される250nmの
程度の厚さの窒化シリコンである。注意すべきことであ
るが、マスキング層7,9,11の相対的な厚さは添付
の図面においては縮尺通りに示されているものではな
く、薄い層7,9が存在することを明確にするように示
されている。マスキング層7,9,11は、従来のホト
リソグラフィ及びこれらの層のエッチングにより、ウエ
ル4,6の表面の選択した位置から除去されている。
【0013】マスキング層7,9,11は、爾後のシリ
コンエッチング及び熱酸化からウエル4,6の活性領域
を保護する。従って、本発明のこの実施例に基づくマス
キング層7,9,11の組成は、LOCOS熱酸化から
シリコンウエハの一部をマスキングする場合に使用され
るものと類似のものとすることが可能である。尚、所定
の物質からなる単一の層及びその他の複数個の層からな
るシステムを包含して、本発明に基づいて、この様なマ
スキング層のその他の形態のもの及び組成のものを使用
することも可能である。
【0014】上述した如く、マスキング層7,9,11
は、分離構成体が形成されるべき位置から除去されてい
る。図1aに示した如く、これらの位置は、例えばPウ
エル4などのような単一のドープ領域内とすることが可
能であり、且つ例えばそれらの間の分離のためのPウエ
ル4とNウエル6との間などのような反対導電型のドー
プ領域の間の境界に設けることも可能である。従って、
本発明のこの実施例に基づいて形成されるべき分離構成
体は、同一のウエル内の同一の導電型のトランジスタを
電気的に分離するべく作用することが可能であり、且つ
隣接するウエル内の反対導電型のトランジスタの分離を
与えるべく作用することも可能である。マスキング層
7,9,11を付着形成したウエル4,6の活性領域を
画定した後に、ウエハに対してシリコンエッチングを行
なう。本発明のこの実施例によれば、ウエル4及び6の
表面の露出された位置が実質的に非等方的な態様でエッ
チングされ、図1bに示した如く、凹所10が形成され
る。この様な比較的非等方性エッチングの一つの例は、
公知の如く、非等方性を与える従来のプラズマエッチン
グ条件の下で、活性種としてSiCl4 及びSiF4
結合させたプラズマエッチングである。本発明のこの実
施例に基づく凹所10は比較的浅く、それらの深さは約
そこに形成されるべき熱分離酸化物の厚さと同じであ
る。例えば、本発明のこの実施例に基づく凹所10は1
/3乃至1ミクロンの程度の深さであり、好適には0.
5ミクロンの程度の深さである。その結果、凹所10が
ウエル4,6を介して基板2まで延在することは基本的
なことではないし又必要なことでもない。
【0015】凹所10のエッチングに続いて、本構成体
を一つ又はそれ以上のチャンネルストップ注入に露呈さ
せ、従来の態様で、寄生スレッシュホールド電圧を増加
させることにより電気的分離を向上させる。従来の回路
形態の場合には、上側に存在する導体がPウエル4及び
基板2のバイアスに対して反対極性の電圧を担持してお
り、Pウエル4内へのチャンネルストップ注入は、好適
には、例えばボロンなどのようなP型ドーパントであ
り、ボロンチャンネルストップ注入のドーズ及びエネル
ギの一例は35keVのエネルギにおいて5×1013
cm2 のドーズである。Nウエル6内への好適なチャン
ネルストップ注入は、例えば60keVのエネルギにお
いて2.8×1012/cm2 の程度のドーズにおいての
31などのようなN型ドーパントによるものである。ツ
インウエルが使用されている本発明のこの実施例によれ
ば、Nウエル6がP型チャンネルストップ注入を受ける
ことがなく且つその逆も真であるように二つのN型及び
P型チャンネルストップ注入の付加的なマスキングを行
なうことが望ましい。これらのチャンネルストップ注入
は、マスキング層7,9,11を浸透することなしに分
離構成体が形成されるウエル4,6の部分の適切なドー
ピングを与える。凹所10の側部をチャンネルストップ
で注入することにより分離が改善されるので、凹所及び
トレンチの側部のイオン注入に対して従来公知の如く、
回転角度注入を使用することが望ましい。
【0016】本発明のこの実施例によれば、側壁スペー
サ12が凹所10の側部上に形成され且つその上にエピ
タキシャルシリコンが付着することのない十分にアモル
ファス即ち非晶質物質から構成されている。熱応力を最
小とさせるために、本発明のこの実施例に基づく側壁ス
ペーサ12に対する好適な物質は二酸化シリコンであ
る。なぜならば、凹所10の残部は二酸化シリコンで充
填されるからである。一方、側壁スペーサ12を窒化シ
リコンから形成することも可能であり、又その上にエピ
タキシャルシリコンの付着を禁止する性質を持ったその
他の絶縁性物質から形成することも可能である。側壁ス
ペーサ12はこの様なスペーサを形成するために従来の
態様で凹所10の側部上に形成され、即ち二酸化シリコ
ンの適合層を付着形成し、次いで非等方性エッチングを
行なって平坦な表面上の適合層の部分を除去し且つ、図
1cに示した如く、凹所10の側部上に側壁スペーサ1
2を残存させることにより形成される。
【0017】側壁スペーサ12の形成に続いて、選択的
エピタキシャル成長により凹所10内にシリコンを付着
形成させる。シリコンの選択的エピタキシャル成長は、
露出されたシリコンから単結晶シリコンを形成するため
の公知の方法であり、例えば、本発明のこの実施例の凹
所10の底部から形成されるものであるが、例えば二酸
化シリコンや窒化シリコンなどのようなシリコンと同様
な結晶構造を欠如する物質から形成されるものではな
い。その結果、図1cの構成体を選択性シリコンエピタ
キシのプロセスに露呈させることにより、シリコン層1
4が凹所10内に形成され、その他の箇所、例えば窒化
シリコンマスキング層11の上又は二酸化シリコン側壁
スペーサ12の上にシリコン層が形成されることはな
い。本発明のこの実施例に基づいて層14を形成するた
めにシリコンの選択性エピタキシャル成長に対しての好
適な条件は、約50トールの圧力における800℃乃至
950℃の範囲内の温度を包含している。シリコンのソ
ースガスは、これらの条件下においてウエハの雰囲気内
に導入されるシラン(SiH4 )又はジクロロシラン
(SiCl22 )ガスとすることが可能である。
【0018】この選択性エピタキシャル成長の期間は、
シリコン層14が、マスキング層7,9,11を包含す
ることなしに凹所10の深さの約半分を充填するように
選択される。このシリコン層14の厚さは、酸化された
単結晶シリコンの体積膨張を考慮に入れるべく選択され
ている。なぜならば、熱二酸化シリコンの厚さは酸化さ
れたシリコンの厚さの約2.17倍だからである。凹所
10の上部下側の適切の深さにおいて該選択性エピタキ
シャル成長を停止させることにより、熱分離酸化物の厚
さは最大とされ、一方エッチバックを必要とすることな
しに、活性領域の表面とほぼ同一面状の上部表面を与え
る。この実施例によれば、0.5ミクロンの凹所10の
場合、約4時間の間の上述した条件に基づくシリコンの
選択的エピタキシャル成長の結果、シリコン層14は
0.25ミクロンの程度の厚さとなる。
【0019】次いで、シリコン層14の形成に続いて、
それらの熱酸化が行なわれる。この様な酸化に対する好
適な条件は、従来のLOCOS熱酸化において使用され
ているものと同様のものであり、例えば、ウエハを高温
の蒸気に露呈させることである。次に、図1eを参照す
ると、この酸化操作の結果が示されており、分離酸化物
構成体16は実質的に凹所10を充填している。シリコ
ン層14の厚さが凹所10の深さの約半分であるので、
該酸化は、凹所10が酸化されたシリコン層14で充填
されるがそれが過剰なものとならないような期間とする
ことが好適である。凹所10を充填するのに必要なもの
を超える過剰な酸化、例えば凹所10の底部表面が酸化
を開始するようなものの場合には、酸化物構成体16の
表面が隣接する活性領域の表面より上方に上昇する。更
に、この様な過剰な酸化は、凹所10に隣接したウエル
4,6内に機械的応力を発生する場合があり、この様な
シリコン内の機械的応力は接合リークの発生原因として
知られている。本発明のこの実施例の場合には、シリコ
ン層14が約0.5ミクロンの深さの凹所10内に0.
25ミクロンの程度であるので、酸化の期間は950℃
の蒸気中において4時間の程度である。
【0020】注意すべきことであるが、凹所10内のシ
リコン層14の熱酸化は、活性装置を後に形成すべき表
面上の所定の位置に窒化シリコンマスキング層11を設
けて行なう。この様な窒化シリコンの酸化バリアを使用
することは、これらの位置において下側に存在するシリ
コンの熱酸化を防止する。しかしながら、注意すべきこ
とであるが、この酸化期間中に、酸素がこれらの活性領
域の各々の角部に到達する場合がある。これは、側壁ス
ペーサ12に隣接したマスキング層11のエッジ即ち端
部において図1eの位置17における不完全なシール即
ち封止状態に起因するものである。その結果、活性領域
の角部の幾分かの酸化が発生し、酸化物フィラメント1
8が形成されるものと予測される。
【0021】酸化物フィラメント18は、本発明のこの
実施例に基づく分離方法においてある種の利点を与える
ものではあるが、この様な酸化物フィラメント18は好
ましいものではない。ウエル4,6内の活性領域の一部
が通常の動作状態でバイアスされると、寄生トランジス
タ(即ち、酸化物構成体16が「ゲート」絶縁膜として
作用するようなもの)のドレインの最大電界は酸化物構
成体16に隣接した表面位置においてである。該電界が
バイアスされた領域の尖った角部において局所的に集中
し、且つそれが接合ブレークダウン及びフィールドリー
クを発生させる最大局所的電界であることが知られてい
る。本発明のこの実施例によれば、酸化物フィラメント
18に起因する活性領域の角部の丸みを付けることによ
り、バイアスされた場合のそこにおける局所的電界を減
少させ、且つ寄生フィールド酸化物トランジスタのリー
ク抵抗を減少させる。
【0022】熱二酸化シリコン構成体16を形成した後
に、マスキング層7,9,11を、そこにおけるウエル
4,6の表面から除去し、図1fに示した如く、活性表
面20n及び20pを露出させる。次いで、従来の態様
で、活性表面20n及び20p内に又はその近くにトラ
ンジスタを形成することが可能であり、各活性表面は、
酸化物構成体16により他のものから電気的に分離され
ている。酸化物構成体16下側のウエル4の部分を反転
させることなしに且つ寄生フィールド酸化物トランジス
タをターンオンすることなしに、最大回路電圧までの電
圧を担持するための導電性ポリシリコン又は金属電極を
酸化物構成体16の上に形成することが可能である。
【0023】図1fから明らかな如く、本発明のこの実
施例に基づく分離方法及び構成体16は、従来技術にお
けるものよりも著しい利点を与えている。第一に、酸化
物構成体16の上表面は活性表面20n及び20pと実
質的に同一面状である。その結果、例えば金属又はポリ
シリコンなどのような導体が、分離酸化物により発生さ
れるトポグラフィの上にステップ即ち段差部を形成する
ことなしに、その上において活性要素の間で接続を形成
することが可能である。上述した如く、平坦化されたウ
エハ表面は、ホトリソグラフィ装置の焦点深度内に焦点
が合わされた表面を維持することにより高分解能ホトリ
ソグラフィに対して最良の結果を与える。更に、この様
な平坦化は、例えばエッチング後の導電性フィラメント
及びステップカバレッジ(段差被覆)欠陥などのような
問題を取除く作用がある。第二に、酸化物構成体16
は、本発明のこの実施例によれば、実質的に熱酸化物か
ら形成されている(即ち、側壁スペーサ12を除いた部
分)。熱酸化物は、高い一体性を有しており、従って、
凹所及びトレンチを使用したその他の分離方法において
使用される同様の寸法の付着形成した二酸化シリコンよ
りも、欠陥が少なく且つ絶縁リークが少ない。この分離
構成体16の構造は、活性領域内への著しいエンクロー
チメントを発生することなしに本発明のこの実施例に基
づいて得られており、活性領域の角部の好ましい丸め付
けが発生することが期待される。
【0024】更に、凹所10は、本発明の第一実施例に
基づいて比較的浅く形成されており、且つ従って、高い
レベルの非等方性、高速のエッチング、又は高価なエッ
チング装置を必要とすることなしに、比較的容易にエッ
チング形成される。次に、図2a乃至図2fを参照し
て、第一実施例のものよりも更に非等方性の少ないシリ
コンエッチングを使用し、従って更に製造コストを低下
させることを可能とする本発明の別の実施例について説
明する。
【0025】本発明のこの第二実施例では、図1aに関
して上述した如く、基板2上のウエル4,6の活性表面
を保護し且つ画定するために同様のマスキング層7,
9,11を使用している。この構成体を、例えばエッチ
ャントとしてKOHを使用するウェットエッチ又は上述
した実施例におけるよりも一層等方性エッチングを好む
公知のプラズマエッチング条件下における活性種として
SiCl4 及びSiF4を組合わせて使用するプラズマ
エッチなどのような比較的等方性のシリコンエッチに露
呈させる。注意すべきことであるが、シリコンの輪郭型
プラズマエッチングに対して多数の代用技術が公知であ
り、本発明のこの実施例において使用することが可能で
あり、例えばホトレジスト腐食などのような技術を包含
する。上述した実施例における如く分離酸化物の所望の
厚さに対応する所望の深さに到達するとエッチングは停
止される。更に重要なことであるが、このエッチング
は、マスキング層7,9,11が顕著な程度にアンダー
カットされる前にこのエッチングは停止される。本発明
のこの実施例によれば、例えばそれらの深さ(例えば、
0.5乃至1.0ミクロン)の程度の幅を持った比較的
幅狭の凹所22及び比較的幅広の凹所22′の両方が形
成される。以下の説明から明らかな如く、幅狭の凹所2
2及び幅広の凹所22′は、異なった態様で充填が行な
われる。その様にして形成された凹所22及び22′を
図2aに示してある。
【0026】凹所22及び22′を画定した後に、前述
したものと同様に、且つマスキング層7,9,11が活
性領域をマスクした状態で、それらの中にチャンネルス
トップ注入が行なわれる。好適には、回転角度注入が行
なわれ、従って凹所22及び22′の側部も該チャンネ
ルストップ注入を受取る。このチャンネルストップ注入
に続いて、例えば二酸化シリコンなどの比較的アモルフ
ァス即ち非晶質の絶縁性物質からなる層24をCVDに
よりその上に適合的態様で付着形成し、その結果図2b
に示した構成が得られる。酸化物層24を付着形成する
ための好適な方法は、テトラエチルオキシシラン(TE
OS)の分解の公知技術によるものである。酸化物層2
4の厚さは、好適には、幅狭の凹所22を充填してその
上に平坦化した表面を与え、一方幅広の凹所22′を適
合的な態様で充填し、凹所22′内に沈下して、図2b
に示した如く、その表面にくぼみ25を形成する。この
例においては、凹所22が約0.5ミクロンの最大深さ
を有しており、酸化物層24の厚さは約1乃至3ミクロ
ンである。この酸化物層24の厚さの場合、幅広凹所2
2′は、この様なくぼみ25をその中に形成するために
は少なくとも約5ミクロンの幅を有するものでなければ
ならない。後述する如く、特定の凹所22内におけるく
ぼみ25の有無は、熱酸化物がその中に形成されるか否
かを決定する。
【0027】酸化物層24の付着形成に続いて、非等方
性エッチバックを行なって、幅広凹所22′の底部にお
ける位置をクリアする。このエッチングは、例えば、活
性種としてCF4 とCHF3 とを組合わせて使用するプ
ラズマエッチングとすることが可能であり、好適には、
図2cに示した如く、マスキング層11の表面をもクリ
アする。なぜならば、その上の酸化物層24の厚さは、
くぼみ25内の幅広凹所22′の底部部分の上の厚さと
ほぼ同一だからである。又、このエッチングの結果とし
て、側壁フィラメント24′が、この酸化物エッチング
の非等方的性質のために、幅広凹所22′の側部上に残
存される。幅狭凹所22上の酸化物層24の厚さはマス
キング層11及び幅広凹所22′の底部上のものと実質
的に同一であるので、幅狭凹所22は酸化物24で充填
されたままである。この酸化物24は、これらの位置に
おいて分離酸化物として作用する。
【0028】次に、図2dを参照すると、第一実施例に
関して上述したエピタキシに対する処理条件に従って、
幅広凹所22′の底部からシリコン層26を選択的にエ
ピタキシャル成長させた後の構成が示されている。先の
実施例における如く、シリコン層26は、露出されたシ
リコン上においてのみ形成され、マスキング層11、酸
化物24、又は幅広凹所22′の側壁上の酸化物フィラ
メント24′上には形成されない。先の実施例における
如く、シリコン層26の厚さは、好適には、凹所22′
の深さのほぼ半分であり、従って平坦化エッチバックの
必要性なしに且つ顕著な機械的応力の発生なしに、その
熱酸化により該凹所を実質的に充填することが可能であ
る。
【0029】次に、図2eを参照すると、好適には本発
明の第一実施例に関して前に説明したのと同一の上記酸
化条件に従って、熱酸化物層28を幅広凹所22′内に
形成するためのシリコン層26の熱酸化の後の構成が示
されている。シリコン層26の厚さは凹所22′の深さ
の約半分であるので、熱酸化物28の上表面は、マスキ
ング層7下のウエル4,6の表面とほぼ同一面状であ
る。図示していないが、前に説明した第一実施例におけ
るのと同様に、この酸化により、活性領域の角部の付加
的な丸め付けが発生する場合がある。マスキング層7,
9,11及び幅狭凹所22内の酸化物層24及び幅広凹
所22′内の酸化物側壁スペーサ24′をエッチバック
して、図2fに示した如く、活性表面30n及び30p
を露出させる。
【0030】前に説明した第一実施例における如く、例
えばトランジスタなどのような活性装置を、ウエル4及
び6の活性表面30p及び30nのそれぞれの中又は近
傍に形成することが可能である。分離酸化物構成体24
及び26上のトポグラフィは比較的滑らかなものであ
る。なぜならば、それらの表面は活性表面30p及び3
0nと実質的に同一面状だからである。本発明のこの実
施例によれば、幅広凹所22′は高度の一体性を有する
熱酸化物28で実質的に充填されている。本発明のこの
実施例に基づいて行なわれるプロセスは、凹所22のシ
リコンエッチに対するより低い拘束条件のために、本発
明の第一実施例よりも更に費用効果性の高いものであ
る。注意すべきことであるが、このコスト低下は、幅狭
凹所22内に付着形成したTEOS酸化物を使用するこ
ととの引替えに達成されている。
【0031】以上、本発明の具体的実施の態様について
詳細に説明したが、本発明は、これら具体例にのみ限定
されるべきものではなく、本発明の技術的範囲を逸脱す
ることなしに種々の変形が可能であることは勿論であ
る。
【図面の簡単な説明】
【図1a】 本発明の第一実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【図1b】 本発明の第一実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【図1c】 本発明の第一実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【図1d】 本発明の第一実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【図1e】 本発明の第一実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【図1f】 本発明の第一実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【図2a】 本発明の第二実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【図2b】 本発明の第二実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【図2c】 本発明の第二実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【図2d】 本発明の第二実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【図2e】 本発明の第二実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【図2f】 本発明の第二実施例に基づく方法を実施す
る場合の1段階における状態を示した概略断面図。
【符号の説明】
2 シリコン基板 4 Pウエル 6 Nウエル 7,9,11 マスキング層 10 凹所 12 側壁スペーサ 14 シリコン層 16 分離酸化物構成体 18 酸化物フィラメント 20n,20p 活性表面
───────────────────────────────────────────────────── フロントページの続き (72)発明者 フーセン チエン アメリカ合衆国, テキサス 75287, ダラス, ミツドウエイ ロード 18175, ナンバー 227

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 本体の半導体表面に分離構成体を製造す
    る方法において、活性領域に対応して前記表面の選択し
    た位置の上にマスキング層を形成し、前記マスキング層
    により被覆されていない位置において前記表面に凹所を
    エッチング形成し、前記凹所の底部において半導体物質
    が露出される態様で前記凹所の側部に沿って側壁スペー
    サを形成し、前記側壁スペーサに沿って前記シリコンが
    付着されないような態様で前記凹所の露出された底部に
    選択的にシリコンを付着形成し、前記付着形成したシリ
    コンを酸化する、上記各ステップを有することを特徴と
    する方法。
  2. 【請求項2】 請求項1において、前記マスキング層が
    酸化バリアを有することを特徴とする方法。
  3. 【請求項3】 請求項2において、前記マスキング層が
    窒化シリコンを有することを特徴とする方法。
  4. 【請求項4】 請求項1において、前記側壁スペーサが
    二酸化シリコンから構成されることを特徴とする方法。
  5. 【請求項5】 請求項1において、前記側壁スペーサを
    形成するステップが、絶縁性物質からなる適合層を全体
    的に付着形成し、前記絶縁物質を非等方的にエッチング
    して前記側壁スペーサを形成することを特徴とする方
    法。
  6. 【請求項6】 請求項1において、前記シリコンを選択
    的に付着形成するステップがシリコンの選択的エピタキ
    シャル成長を有することを特徴とする方法。
  7. 【請求項7】 請求項6において、前記凹所内に付着形
    成したシリコンの厚さが約前記凹所の深さの半分である
    ことを特徴とする方法。
  8. 【請求項8】 請求項1において、前記凹所内に付着形
    成したシリコンの厚さが約前記凹所の深さの半分である
    ことを特徴とする方法。
  9. 【請求項9】 請求項1において、前記凹所をエッチン
    グ形成するステップが実質的に非等方的であることを特
    徴とする方法。
  10. 【請求項10】 請求項1において、前記凹所をエッチ
    ング形成するステップが前記表面の第一位置及び第二位
    置において第一凹所及び第二凹所をエッチング形成し、
    前記第一凹所が前記第二凹所よりも幅広であることを特
    徴とする方法。
  11. 【請求項11】 請求項10において、前記側壁スペー
    サを形成するステップが、全体的に絶縁性物質からなる
    適合層を付着形成し、前記絶縁性物質を非等方的にエッ
    チングして前記第一凹所内に前記側壁スペーサを形成
    し、且つ前記絶縁性物質の層の厚さが前記非等方的エッ
    チングステップの後に前記第二凹所がそれで充填された
    ままであるようなものであることを特徴とする方法。
  12. 【請求項12】 請求項11において、前記絶縁性物質
    が二酸化シリコンを有することを特徴とする方法。
  13. 【請求項13】 請求項12において、前記シリコンを
    付着形成するステップがシリコンの選択的エピタキシャ
    ル成長を有しており、且つ前記凹所内に付着形成したシ
    リコンの厚さが約前記第一凹所の深さの半分であること
    を特徴とする方法。
  14. 【請求項14】 本体の半導体表面に形成した集積回路
    において、前記表面に複数個の活性領域が設けられてお
    り、一対の前記複数個の活性領域の間で前記表面におけ
    る凹所内に形成した第一分離構成体が設けられており、
    前記第一分離構成体が、付着形成した絶縁層からなる前
    記凹所の側壁に沿って設けられた側壁スペーサと、前記
    側壁スペーサの間で前記凹所の残部を実質的に充填する
    熱二酸化シリコンとを有しており、前記熱二酸化シリコ
    ンが、前記複数個の活性領域の前記第一及び第二のもの
    の表面と実質的に同一面状の上部表面を有することを特
    徴とする集積回路。
  15. 【請求項15】 請求項14において、前記付着形成し
    た絶縁層が二酸化シリコンを有することを特徴とする集
    積回路。
  16. 【請求項16】 請求項14において、前記熱二酸化シ
    リコンがエピタキシャル成長したシリコンの熱酸化によ
    り形成されたものであることを特徴とする集積回路。
  17. 【請求項17】 請求項14において、更に、一対の前
    記複数個の活性領域の間で前記表面における凹所内に形
    成した第二分離構成体が設けられており、前記第二分離
    構成体は付着形成した絶縁層を有することを特徴とする
    集積回路。
  18. 【請求項18】 請求項17において、前記付着形成し
    た絶縁層が二酸化シリコンを有することを特徴とする集
    積回路。
  19. 【請求項19】 請求項14において、更に、前記活性
    領域の前記表面近くに形成して活性装置が設けられてい
    ることを特徴とする集積回路。
  20. 【請求項20】 請求項14において、更に、前記第一
    分離構成体の上側に位置して導体が設けられていること
    を特徴とする集積回路。
JP4081753A 1991-04-05 1992-04-03 集積回路において平坦化した浅いトレンチ分離を製造する方法及びそれにより製造された構成体 Pending JPH05102296A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/681,080 US5130268A (en) 1991-04-05 1991-04-05 Method for forming planarized shallow trench isolation in an integrated circuit and a structure formed thereby
US681080 1991-04-05

Publications (1)

Publication Number Publication Date
JPH05102296A true JPH05102296A (ja) 1993-04-23

Family

ID=24733728

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4081753A Pending JPH05102296A (ja) 1991-04-05 1992-04-03 集積回路において平坦化した浅いトレンチ分離を製造する方法及びそれにより製造された構成体

Country Status (3)

Country Link
US (2) US5130268A (ja)
EP (1) EP0507596A3 (ja)
JP (1) JPH05102296A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6969666B2 (en) 2003-04-14 2005-11-29 Hynix Semiconductor Inc. Method for fabricating isolation layer in semiconductor device
JP2008511994A (ja) * 2004-09-01 2008-04-17 マイクロン テクノロジー、インコーポレイテッド 集積回路の製造における複数の深さを有するstiトレンチ

Families Citing this family (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6297130B1 (en) * 1991-04-30 2001-10-02 Texas Instruments Incorporated Recessed, sidewall-sealed and sandwiched poly-buffered LOCOS isolation methods
US5506440A (en) * 1991-08-30 1996-04-09 Sgs-Thomson Microelectronics, Inc. Poly-buffered LOCOS process
US5350941A (en) * 1992-09-23 1994-09-27 Texas Instruments Incorporated Trench isolation structure having a trench formed in a LOCOS structure and a channel stop region on the sidewalls of the trench
US5356828A (en) * 1993-07-01 1994-10-18 Digital Equipment Corporation Method of forming micro-trench isolation regions in the fabrication of semiconductor devices
US5346584A (en) * 1993-07-28 1994-09-13 Digital Equipment Corporation Planarization process for IC trench isolation using oxidized polysilicon filler
US5316965A (en) * 1993-07-29 1994-05-31 Digital Equipment Corporation Method of decreasing the field oxide etch rate in isolation technology
US5366925A (en) * 1993-09-27 1994-11-22 United Microelectronics Corporation Local oxidation of silicon by using aluminum spiking technology
US5372968A (en) * 1993-09-27 1994-12-13 United Microelectronics Corporation Planarized local oxidation by trench-around technology
US5294562A (en) * 1993-09-27 1994-03-15 United Microelectronics Corporation Trench isolation with global planarization using flood exposure
US5308786A (en) * 1993-09-27 1994-05-03 United Microelectronics Corporation Trench isolation for both large and small areas by means of silicon nodules after metal etching
JPH07183370A (ja) * 1993-12-24 1995-07-21 Nec Corp 半導体装置の製造方法
US5438016A (en) * 1994-03-02 1995-08-01 Micron Semiconductor, Inc. Method of semiconductor device isolation employing polysilicon layer for field oxide formation
US5472904A (en) * 1994-03-02 1995-12-05 Micron Technology, Inc. Thermal trench isolation
US5681776A (en) * 1994-03-15 1997-10-28 National Semiconductor Corporation Planar selective field oxide isolation process using SEG/ELO
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5411913A (en) * 1994-04-29 1995-05-02 National Semiconductor Corporation Simple planarized trench isolation and field oxide formation using poly-silicon
US5444007A (en) * 1994-08-03 1995-08-22 Kabushiki Kaisha Toshiba Formation of trenches having different profiles
KR0157875B1 (ko) * 1994-11-03 1999-02-01 문정환 반도체 장치의 제조방법
US5696020A (en) * 1994-11-23 1997-12-09 Electronics And Telecommunications Research Institute Method for fabricating semiconductor device isolation region using a trench mask
US5436190A (en) * 1994-11-23 1995-07-25 United Microelectronics Corporation Method for fabricating semiconductor device isolation using double oxide spacers
KR0148602B1 (ko) * 1994-11-23 1998-12-01 양승택 반도체 장치의 소자 격리방법
US5665633A (en) 1995-04-06 1997-09-09 Motorola, Inc. Process for forming a semiconductor device having field isolation
KR0147630B1 (ko) * 1995-04-21 1998-11-02 김광호 반도체 장치의 소자분리방법
JPH098020A (ja) * 1995-06-19 1997-01-10 Nippon Precision Circuits Kk 半導体装置の製造方法
US5679599A (en) * 1995-06-22 1997-10-21 Advanced Micro Devices, Inc. Isolation using self-aligned trench formation and conventional LOCOS
KR0186083B1 (ko) * 1995-08-12 1999-04-15 문정환 반도체 소자의 소자격리방법
US5753555A (en) * 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
US7071104B1 (en) * 1996-04-10 2006-07-04 Altera Corporation Laser alignment target
US5872392A (en) * 1996-04-30 1999-02-16 Nippon Steel Corporation Semiconductor device and a method of fabricating the same
US5834360A (en) * 1996-07-31 1998-11-10 Stmicroelectronics, Inc. Method of forming an improved planar isolation structure in an integrated circuit
US5753962A (en) * 1996-09-16 1998-05-19 Micron Technology, Inc. Texturized polycrystalline silicon to aid field oxide formation
US5858866A (en) * 1996-11-22 1999-01-12 International Business Machines Corportation Geometrical control of device corner threshold
TW350122B (en) * 1997-02-14 1999-01-11 Winbond Electronics Corp Method of forming a shallow groove
US5811346A (en) * 1997-04-14 1998-09-22 Vlsi Technology, Inc. Silicon corner rounding in shallow trench isolation process
US5741740A (en) * 1997-06-12 1998-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation (STI) method employing gap filling silicon oxide dielectric layer
US6013558A (en) * 1997-08-06 2000-01-11 Vlsi Technology, Inc. Silicon-enriched shallow trench oxide for reduced recess during LDD spacer etch
JPH11111710A (ja) * 1997-10-01 1999-04-23 Nec Corp 半導体装置およびその製造方法
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US5976950A (en) * 1997-11-13 1999-11-02 National Semiconductor Corporation Polysilicon coated swami (sidewall masked isolation)
US6118167A (en) * 1997-11-13 2000-09-12 National Semiconductor Corporation Polysilicon coated nitride-lined shallow trench
US6002160A (en) 1997-12-12 1999-12-14 Advanced Micro Devices, Inc. Semiconductor isolation process to minimize weak oxide problems
US5882983A (en) * 1997-12-19 1999-03-16 Advanced Micro Devices, Inc. Trench isolation structure partially bound between a pair of low K dielectric structures
US6140691A (en) * 1997-12-19 2000-10-31 Advanced Micro Devices, Inc. Trench isolation structure having a low K dielectric material isolated from a silicon-based substrate
US6022788A (en) * 1997-12-23 2000-02-08 Stmicroelectronics, Inc. Method of forming an integrated circuit having spacer after shallow trench fill and integrated circuit formed thereby
US6372521B1 (en) * 1998-01-21 2002-04-16 Globitech Incorporated Post epitaxial thermal oxidation
US6121023A (en) * 1998-01-22 2000-09-19 Akzo Nobel N.V. Isothermal transcription based assay for the detection and quantification of the chemokine rantes
US6294416B1 (en) * 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
CA2264341A1 (en) * 1998-04-14 1999-10-14 Mikohn Gaming Corporation Pachinko stand-alone and bonusing game
US6214696B1 (en) * 1998-04-22 2001-04-10 Texas Instruments - Acer Incorporated Method of fabricating deep-shallow trench isolation
US6175144B1 (en) 1998-05-15 2001-01-16 Advanced Micro Devices, Inc. Advanced isolation structure for high density semiconductor devices
US5880006A (en) * 1998-05-22 1999-03-09 Vlsi Technology, Inc. Method for fabrication of a semiconductor device
US6251734B1 (en) * 1998-07-01 2001-06-26 Motorola, Inc. Method for fabricating trench isolation and trench substrate contact
US5930646A (en) * 1998-10-09 1999-07-27 Chartered Semiconductor Manufacturing, Ltd. Method of shallow trench isolation
US5916823A (en) * 1998-10-13 1999-06-29 Worldwide Semiconductor Manufacturing Corporation Method for making dual damascene contact
US6114251A (en) * 1999-01-06 2000-09-05 Advanced Micro Devices, Inc. Method of fabrication for ultra thin nitride liner in silicon trench isolation
TW400605B (en) * 1999-01-16 2000-08-01 United Microelectronics Corp The manufacturing method of the Shallow Trench Isolation (STI)
US6080638A (en) * 1999-02-05 2000-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of thin spacer at corner of shallow trench isolation (STI)
US6271143B1 (en) * 1999-05-06 2001-08-07 Motorola, Inc. Method for preventing trench fill erosion
US6159822A (en) * 1999-06-02 2000-12-12 Vanguard International Semiconductor Corporation Self-planarized shallow trench isolation
US6140206A (en) * 1999-06-14 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolation structures
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US7253047B2 (en) * 1999-09-01 2007-08-07 Micron Technology, Inc. Semiconductor processing methods of forming transistors, semiconductor processing methods of forming dynamic random access memory circuitry, and related integrated circuitry
US6235609B1 (en) * 2000-04-03 2001-05-22 Philips Electronics North America Corp. Method for forming isolation areas with improved isolation oxide
US6420240B1 (en) * 2000-06-01 2002-07-16 Advanced Micro Devices, Inc. Method for reducing the step height of shallow trench isolation structures
US6455363B1 (en) * 2000-07-03 2002-09-24 Lsi Logic Corporation System to improve ser immunity and punchthrough
US6432798B1 (en) * 2000-08-10 2002-08-13 Intel Corporation Extension of shallow trench isolation by ion implantation
US6881645B2 (en) * 2000-08-17 2005-04-19 Samsung Electronics Co., Ltd. Method of preventing semiconductor layers from bending and semiconductor device formed thereby
KR100367741B1 (ko) * 2000-08-29 2003-01-10 주식회사 하이닉스반도체 개선된 보더리스 콘택 구조 및 그 제조방법
KR20020049807A (ko) * 2000-12-20 2002-06-26 박종섭 반도체 디바이스의 소자 분리 방법
US6897120B2 (en) * 2001-01-03 2005-05-24 Micron Technology, Inc. Method of forming integrated circuitry and method of forming shallow trench isolation in a semiconductor substrate
US6732550B2 (en) * 2001-09-06 2004-05-11 Lightwave Microsystems, Inc. Method for performing a deep trench etch for a planar lightwave circuit
US6613649B2 (en) * 2001-12-05 2003-09-02 Chartered Semiconductor Manufacturing Ltd Method for buffer STI scheme with a hard mask layer as an oxidation barrier
US6737355B2 (en) 2001-12-06 2004-05-18 Applied Materials, Inc. Thick thermal oxide layers and isolation regions in a silicon-containing substrate for high voltage applications
KR100400254B1 (ko) * 2001-12-18 2003-10-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
US6716719B2 (en) * 2002-05-29 2004-04-06 Micron Technology, Inc. Method of forming biasable isolation regions using epitaxially grown silicon between the isolation regions
US6670691B1 (en) * 2002-06-18 2003-12-30 Advanced Micro Devices, Inc. Shallow trench isolation fill process
KR100443126B1 (ko) * 2002-08-19 2004-08-04 삼성전자주식회사 트렌치 구조물 및 이의 형성 방법
US6902867B2 (en) * 2002-10-02 2005-06-07 Lexmark International, Inc. Ink jet printheads and methods therefor
JP2004153173A (ja) * 2002-10-31 2004-05-27 Sharp Corp 半導体装置の製造方法
GB0226402D0 (en) * 2002-11-12 2002-12-18 Koninkl Philips Electronics Nv Semiconductor device channel termination
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7067387B2 (en) * 2003-08-28 2006-06-27 Taiwan Semiconductor Manufacturing Company Method of manufacturing dielectric isolated silicon structure
US7056804B1 (en) * 2004-03-01 2006-06-06 Advanced Micro Devices, Inc. Shallow trench isolation polish stop layer for reduced topography
US7053010B2 (en) * 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7235459B2 (en) * 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7368760B2 (en) 2004-12-15 2008-05-06 Tower Semiconductor Ltd. Low parasitic capacitance Schottky diode
US7217634B2 (en) * 2005-02-17 2007-05-15 Micron Technology, Inc. Methods of forming integrated circuitry
US7510966B2 (en) * 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
US8012847B2 (en) * 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
WO2007023950A1 (ja) * 2005-08-26 2007-03-01 Hitachi, Ltd. 半導体装置の製造方法
US7648869B2 (en) * 2006-01-12 2010-01-19 International Business Machines Corporation Method of fabricating semiconductor structures for latch-up suppression
US20070158779A1 (en) * 2006-01-12 2007-07-12 International Business Machines Corporation Methods and semiconductor structures for latch-up suppression using a buried damage layer
US7276768B2 (en) * 2006-01-26 2007-10-02 International Business Machines Corporation Semiconductor structures for latch-up suppression and methods of forming such semiconductor structures
US7491618B2 (en) * 2006-01-26 2009-02-17 International Business Machines Corporation Methods and semiconductor structures for latch-up suppression using a conductive region
US20070194403A1 (en) * 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
US7682977B2 (en) * 2006-05-11 2010-03-23 Micron Technology, Inc. Methods of forming trench isolation and methods of forming arrays of FLASH memory cells
US7611955B2 (en) * 2006-06-15 2009-11-03 Freescale Semiconductor, Inc. Method of forming a bipolar transistor and semiconductor component thereof
DE102006046377A1 (de) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Isoliergräben, die unterschiedliche Arten an Verformung hervorrufen
US8112817B2 (en) * 2006-10-30 2012-02-07 Girish Chiruvolu User-centric authentication system and method
US7754513B2 (en) * 2007-02-28 2010-07-13 International Business Machines Corporation Latch-up resistant semiconductor structures on hybrid substrates and methods for forming such semiconductor structures
US7818702B2 (en) * 2007-02-28 2010-10-19 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
US7772097B2 (en) * 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
US20090184402A1 (en) * 2008-01-22 2009-07-23 United Microelectronics Corp. Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner.
US8105956B2 (en) 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
US8603881B1 (en) 2012-09-20 2013-12-10 International Business Machines Corporation Raised trench metal semiconductor alloy formation
US8946792B2 (en) * 2012-11-26 2015-02-03 International Business Machines Corporation Dummy fin formation by gas cluster ion beam
US10752492B2 (en) 2014-04-01 2020-08-25 Agiltron, Inc. Microelectromechanical displacement structure and method for controlling displacement
US11267696B2 (en) 2019-11-06 2022-03-08 Vanguard Iniernational Semiconductor Singapore Pte. Ltd. MEMS devices and methods of forming thereof

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4211582A (en) * 1979-06-28 1980-07-08 International Business Machines Corporation Process for making large area isolation trenches utilizing a two-step selective etching technique
US4661202A (en) * 1984-02-14 1987-04-28 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JPH0669064B2 (ja) * 1984-03-23 1994-08-31 日本電気株式会社 半導体装置の素子分離方法
US4609934A (en) * 1984-04-06 1986-09-02 Advanced Micro Devices, Inc. Semiconductor device having grooves of different depths for improved device isolation
JPS60258040A (ja) * 1984-05-31 1985-12-19 Toshiba Corp 帳票アライニング機構
JPS618945A (ja) * 1984-06-25 1986-01-16 Nec Corp 半導体集積回路装置
US4842675A (en) * 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
JPS63258040A (ja) * 1987-04-15 1988-10-25 Nec Corp 素子分離領域の形成方法
DE3715232A1 (de) * 1987-05-07 1988-11-17 Siemens Ag Verfahren zur substratkontaktierung bei der herstellung von durch isolationsgraeben getrennten bipolartransistorschaltungen
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
JPH0358484A (ja) * 1989-07-27 1991-03-13 Toshiba Corp 半導体装置とその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6969666B2 (en) 2003-04-14 2005-11-29 Hynix Semiconductor Inc. Method for fabricating isolation layer in semiconductor device
JP2008511994A (ja) * 2004-09-01 2008-04-17 マイクロン テクノロジー、インコーポレイテッド 集積回路の製造における複数の深さを有するstiトレンチ

Also Published As

Publication number Publication date
EP0507596A3 (en) 1995-09-06
US5130268A (en) 1992-07-14
EP0507596A2 (en) 1992-10-07
US5410176A (en) 1995-04-25

Similar Documents

Publication Publication Date Title
JPH05102296A (ja) 集積回路において平坦化した浅いトレンチ分離を製造する方法及びそれにより製造された構成体
US5384280A (en) Method of manufacturing a semiconductor device isolated by a trench
US6268637B1 (en) Method of making air gap isolation by making a lateral EPI bridge for low K isolation advanced CMOS fabrication
US6110787A (en) Method for fabricating a MOS device
US5436190A (en) Method for fabricating semiconductor device isolation using double oxide spacers
US4931409A (en) Method of manufacturing semiconductor device having trench isolation
CA1244559A (en) Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures employing the method
EP0098374B1 (en) Isolated dielectric structure for integrated circuits and method for fabricating such structure
US6627512B2 (en) Method of manufacturing a semiconductor device
US4729006A (en) Sidewall spacers for CMOS circuit stress relief/isolation and method for making
US6069058A (en) Shallow trench isolation for semiconductor devices
US5077228A (en) Process for simultaneous formation of trench contact and vertical transistor gate and structure
KR100227766B1 (ko) 반도체 장치 및 그 제조 방법
US6518641B2 (en) Deep slit isolation with controlled void
US4711017A (en) Formation of buried diffusion devices
US5920108A (en) Late process method and apparatus for trench isolation
JP5234886B2 (ja) 半導体装置の製造方法
US5049521A (en) Method for forming dielectrically isolated semiconductor devices with contact to the wafer substrate
US5969393A (en) Semiconductor device and method of manufacture of the same
US4661832A (en) Total dielectric isolation for integrated circuits
US6406987B1 (en) Method for making borderless contacts to active device regions and overlaying shallow trench isolation regions
US6097061A (en) Trenched gate metal oxide semiconductor device and method
US6046483A (en) Planar isolation structure in an integrated circuit
US6326272B1 (en) Method for forming self-aligned elevated transistor
US6033991A (en) Isolation scheme based on recessed locos using a sloped Si etch and dry field oxidation