JPS62105445A - 半導体デバイス構造の形成方法 - Google Patents

半導体デバイス構造の形成方法

Info

Publication number
JPS62105445A
JPS62105445A JP61193004A JP19300486A JPS62105445A JP S62105445 A JPS62105445 A JP S62105445A JP 61193004 A JP61193004 A JP 61193004A JP 19300486 A JP19300486 A JP 19300486A JP S62105445 A JPS62105445 A JP S62105445A
Authority
JP
Japan
Prior art keywords
layer
silicon
trench
substrate
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP61193004A
Other languages
English (en)
Other versions
JPH0344419B2 (ja
Inventor
クラウス・デイートリツヒ・ベイヤー
ビクター・ジヨセフ・シルベストリ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPS62105445A publication Critical patent/JPS62105445A/ja
Publication of JPH0344419B2 publication Critical patent/JPH0344419B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/763Polycrystalline semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Element Separation (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 A、産業上の利用分野 この発明は半導体集積回路の製造に関するものであり、
特に、絶縁層分離領域と、接点領域とを、半導体材料中
に同時に形成させる方法に関するものである。
B、従来技術 集積回路、特に集積度の高い、絶縁層分離装置を製造す
る際、分離を行うために細い溝が形成される。また、基
板接点を設けたい点を、非常に小さい区域内に作成しな
ければならない。ドーピングしない多結晶シリコン、お
よびエピタキシャル・シリ:jンはそれぞれ電気抵抗が
極めて高いことが知られている。それり〕え、溝の側壁
、またはシリコンを充填した溝の−1−の不働態化層の
欠陥は、第2の欠陥が第1の欠陥から数μm離れていれ
ば、電気回路の性質に影響を与えることはない6したが
って、一般に周知のRAMチップに用いられるような、
ドーピングしない多結晶シリコンの溝分離を用いること
は、リスクの非常に少い分離法であると考えられている
。すなわち、欠陥が十分前れている限り、特定の溝の側
壁で欠陥を起こす電気的な短絡が存在してもその装置の
動作に有害とはならない。
ドーピングしない多結晶シリコンの溝分離を用いること
はまた、溝の底部の部働態化層を除去する工程を必要と
しない。したがって、溝の側壁は、反応性イオン・エッ
チング(RIE)等の、エツチング操作に露出されず、
したがって、後の熱鋸理工程で、溝の近傍における転位
の形成による問題の原因ともなるSj、N、、等の保護
層を必要としない。
ドーピングしない多結晶シリコンを用いた溝による分離
は、利点があるが、シリコン基板との接点を形成する方
法が容易でないため、不利であると考えられている。た
どえげドーピングした多結晶シリコン、またはエピタキ
シャル成長させたシリコンを使用すると、1つの欠陥が
あるために装置または回路が不良となる。これまで、装
置の加工中に基板との接点を形成し、しかも同じ工程で
、溝分離の目的でドーピングしない多結晶シリコンを使
用するのに必要な、ドーピングしたシリコンの溝の領域
を形成する技術はなかった。
従来技術には、多結晶シリコンを溝を充填する材料とし
て使用した例が多い。米国特許第4473598号、第
41−40558号、および第4252579号明細書
にこれらの例が開示されている。シリコンによる分離の
ための溝の充填技術については、米国特許第45266
31号明細書、ならびに、IBMテクニカル・ディスク
ロジャ・ブレティン第25巻第2号(1982年7月)
P。
558、第25巻第6号(19,82年11月)P。
コ3105、第27巻第2号(1984年7月)P。
1313以後、および第27巻第3号(第1984年8
月)p、1481にも開示されている。これらの参考文
献によれば、従来技術においては、シリコンによる溝の
充填のための多くの方法が与えられているが、ドーピン
グしたエピタキシャル・シリコン充填材と、ドーピング
しないエピタキシャル・シリコン充填材を同時に形成す
る方法は開示されていない。従来技術による装置のある
ものは、装置の溝分離にドーピングしないシリコン充填
材を使用しているが、ドーピングしたシリコンが必要な
他の領域に、基板との接点を設けるという問題が残って
いる。ドーピングしたシリコンを全般的に使用するこれ
らの技術では、欠陥の近接による、装置の完全な不良の
問題は解決されていない。さらに、装置によっては、必
要なシリコン基板接点形成の簡単な方法がない。ある装
置では、ウェーハの裏面が、付随的な構造の存在のため
、シリコン基板との接点として使用することができない
。これは、ウェーハの裏面を、熱放散等に使用する熱伝
導モジュールに見られる。
C0発明が解決しようとする問題点 この発明の主目的は、ドーピングしたエピタキシャル・
シリコン充填材と、ドーピングしないエピタキシャル・
シリコン充填材とを、同時に形成する方法を提供するこ
とにある。
D9問題点を解決するための手段 従来技術における欠点を除去するべく、この発明は、基
板接点のため、1く−ピングしない多結晶シリコンの溝
分離と、1(−ピンクした、エピタキシャル成長させた
シリコンとを、同時に使用する方法を与える。この発明
の方法によれば、非装置領域の溝の側壁に、典型的には
ホウケイ酸塩ガラスのライニングを形成し、次に、エピ
タキシャル成長させたシリコンを、溝中で成長させる。
次に加熱することによって、エピタキシャル成長させた
シリコンが、ホウケイ酸塩中のホウ素によってドーピン
グされる。充填材の温度も、ドーパントの移動に影響を
与える。
さらに、この発明の他の実施例によれば、ドーピングし
ないシリコンを充填した後、多結晶シリコンのドーピン
グを行い、さらに周知の化学的・機械的研摩により平坦
化を行う。このように、最初のエピタキシャル充填材は
、基板接点に用いられ、一方接の多結晶シリコン(ドー
ピングされていない)は、装置の分離に用いられる。
このように、この発明の第1の実施例では、単結晶半導
体基板に、基板の主表面から基板材料の内部へ向って伸
びる、実質的に垂直な側壁のパターンを有する溝が設け
られる。絶縁層が、溝の側壁に形成される。次にホウ素
イオンを注入して、溝の底部にチャネル・ストップ層を
形成する。次に、分離層の側壁上にホウケイ酸塩ガラス
層を形成させる。絶縁層の一部は、ホウケイ酸ガラス層
の一部とともに、エツチングによって底部から除去され
る。1つの方法は、異方性エツチングである。次に、リ
ソグラフィおよびエツチング技術により、電気的接点を
設けたい場所以外の、溝のすべての部分から、ホウケイ
酸塩ガラス層を除去する。次に溝をシリコンで充填して
、基板への電気的接点をもたらすように、シリコンをド
ーピングするために、ホウケイ酸塩層が存在する場所を
除いて、溝内にドーピングしないシリコンを充填した分
離溝のパターンを生成する。
この発明のもう一つの実施例によれば、月1結晶半導体
基板に、基板の主表面から基板材料の内部へ向って伸び
る、実質的に垂直な側壁を有する形状の溝が形成される
。基板と溝にS i O2でライニングが行われ、P型
にドーピングしたチャネル・ストップを形成するため、
溝の底部にホウ素イオンが注入される。次に、フォトレ
ジストを塗布した後RIE工程を行い、溝の底部のシリ
コン基板接点を形成すべき場所から酸化物を除去する。
接点が無い溝では、フォトレジストが溝に充填されて残
り、これにより、その溝の底部の5in2ライニングが
RIEエツチングされないように保護する。フォトレジ
ストの除去後、周知の方法を用いて、P型にドーピング
したエピタキシャル・シリコンを基板接点領域に指定さ
れた溝中で成長させて、これらの溝を、わずかに過充填
する。エピタキシャル成長の19例は、米国特許第45
26631号明細書に開示されている。次に、ドーピン
グしない多結晶シリコンを、すべての溝および基板表面
1−に付着させる。その後、P型にドーピングした、選
択性エピタキシャル・シリコン充填の、シリコン基板接
点溝と、ドーピングしない多結晶シリコン分離溝の両方
を、周知の化学・機械的研摩技術で平坦化させる。
E、実施例 第1図および第2図を参照して、この発明の第1の実施
例につき説明する。この説明および図面において、この
発明を説明するのに不必要な半導体構造の部分、または
この発明に余分な工程については除外しである。これら
は周知のものである。
この発明の第1の実施例では、溝の側壁層構造の内側を
ライニングするために、ホウケイ酸塩の化最蒸着(cv
D)を使用する。これは第1図に示す。
第1図はこの発明の中間開始点を示し、通常、基板10
は3層構造である。P型の基板材料は、通常エピタキシ
ャル層のFにある、低抵抗接点またはコレクタ領域とし
て働く、N十領域を有する。
第3の層は、N十領域上に形成されたN型エピタキシャ
ル層である。この層は、デバイスの活動領域として働く
。これらの層の部分の電気的絶縁の問題が生じるのは、
この3層構造である。この特定の問題はこの発明により
解決される。
熱形成した5102ライニング22が、溝の内壁中の基
板表面を覆う。熱形成5jo2層の」二に、Si3N4
の層24を付着させた後、5iO2(7)CVr)によ
り、層26を付着させる。周知の方V;により、溝18
および2oを基板中に形成させる。
この発明によれば、溝の側壁内側のライニングとして、
CVDによりホウケイ酸塩を形成させる。
この層28は、溝18および2o中に付着させる。
RTE等の技術を用いて、溝の底部3oを開「1させる
。すなわち、溝の底部から層22.24および28を除
去し、チャネル・ストップ層32を形成させる。
チャネル・ストップは、周知の各種の方法で形成するこ
とができる。たとえば、底部表面3oにイオン沈入して
形成させるか、内壁をホウケイ酸塩でライニングした後
、熱処理してホウ素を拡散させるか、またはこれらの組
合せにより、形成することができる。
次に第2図を参照して、後の工程を説明する。
第2図に示すように、溝20は最終的に基板接点となり
、一方溝18は分離溝となる。図には2つの溝が示され
ているが、基板10の−Lには、このような溝の列があ
ってもよい。また、溝の寸法は変ってもよく、接触技術
と非接触技術とで異ってもよい。このように、基板接点
領域を除くホウケイ酸塩の溝側壁のすべての部分を除去
するため、選択性エツチングを行う。第2図に示すよう
に。
溝20にはホウケイ酸塩が残り、溝18の側壁がらは除
去される。これは、選択性エツチングによるフォトアラ
インメントによって行なわれ、ホウケイ酸塩の溝ライニ
ング材が除去される。このようなエツチング方法は周知
のものである。次に、溝18および20が、エピタキシ
ャル・シリコンの選択的付着によって完全に充填される
。このドーピングしない充填材は、1薄18および20
を完全に充填する。
充填工程中に、ホウ素が前に付着させたホウケイ酸塩の
ライニングから、基板接点領域32中のドーピングしな
いエピタキシャル・シリコン中に拡散して、エピタキシ
ャル・シリコンをドーピングする。しかし、ドーピング
しない多結晶シリコンまたはエピタキシャル・シリコン
充填材を充填する前に、ホウケイ酸塩が除去された溝中
では、溝チャネル・ストップのホウ素拡散32が、溝の
底部に近いエピタキシャル・シリコン中に拡散すること
によってのみドーピングが起る。したがって、第2図に
示すように、エピタキシャル・シリコンがドーピングさ
れるため、溝20中に基板接点領域36が形成される。
分離溝18中では、チャネル・ストップのホウ素の拡散
は、溝底部近くのエピタキシャル・シリコン中にのみ起
る。これは、第2図の浅い部分38で示される。したが
って、この技術により、一連の分離溝と、一連の基板接
点溝が同時に形成される。この構造は、溝18上に51
02絶縁材]9を、接触溝20−トに金属接点23を設
けることにより完成する。
上述の実施例によれば、熱形成S jO2/ S 1a
N4/CVDホウケイ酸塩が、ドーピングしないエピタ
キシャル充填材をドーピングするための、溝の側壁とし
て使用される。これらの材料は、後の熱処理により、従
来の側壁構造に見られるような変位の可能性の少い永久
側壁構造を形成する。たとえば、この構造は、熱形成S
iO2の厚みが約500オングストローム、Si、N4
が約500オンゲス1−ローム、CVDホウケイ酸塩が
約4. OOOオングストロームである。これ以外の相
対的厚みも、この発明の範囲内である。また、この構造
の代りに、他の分離層を使用することもできる。
次に第3〜6図を参照して、この発明の第2の実施例に
ついて説明する。この実施例で同じ構造を用いる限り、
同じ番号を使用している。すなわち、2層12、N土層
14、N層16からなる同じ3層構造の基板に溝18お
よび20を設ける。
熱形成5in2層22.5j3N4層24、およびCV
DSiO2層26は、第1の実施例と共通の開始点であ
る。他の分離層を使用することもできる。また、P型に
ドーピングしたチャネル・ストップ32の形成のため、
溝の底部にホウ素イオンを注入する。
この実施例が第1の実施例と異なる点は、次の工程で、
フォトレジスト40で1.(板表面を完全に被覆し、各
溝を充填することである。周知のJJ法を使用して、接
点を設けたい溝の1−のレジスト40を開目させる。第
4図は、RI +・;により溝の1底部を開口させ、酸
化物層の−1一部の一部を除去した後の構造を示す。典
型的には、″将来の″シリコン基板接点を形成するため
、溝20から酸化物を除去するのにRIEが用いられる
。すなわち、第4図は、溝が接点のため開口するよう酸
化物を除去した溝20と、フォトレジストが充填された
ままの溝[8を示す。このエツチングはまた、CvD酸
化物も選択的に除去し、溝20上の領域を開口させる。
次にフォトレジスト40を除去する。
次に第5図を参照して、次の工程と、装置の次の中間段
階について説明する。第5図において、選択的に■)型
ドーピングしたエピタキシャル・シリコン域44を、基
板接点溝20中に付着させ、成長させる。このエピタキ
シャル・シリコンによる再充填は、図に示すように、溝
20をわずかに過度に充填する。エピタキシャル・シリ
コンは」二方および外方へ成長させ、溝20を完全に封
入する。これを第5図(構造46)に示す。この選択的
成長は、後で溝の底部に基板接点を開[コさせる溝だけ
に起る。選択的エピタキシャル再充填の後、ドーピング
しない多結晶シリコンのブランケット成長を行う。この
層48は、溝18を完全に充填し、CVD酸化物層26
を被覆する。この層は、ドーム46およびエピタキシャ
ル成長させた、P型ドーピングしたシリコン層も被覆す
る。
第5図は、ドーピングしない多結晶シリコン48を付着
させると、溝の充填が行われる領域で、平坦性の不連続
が生じることを示す。キャップ46の近くには隆起も生
じる。装置の平坦化のため、P型にドーピングしたエピ
タキシャル・ドーム46と、溝18を充填する多結晶シ
リコンのドーピングしない材料48の両方を平坦化する
。化学・機械研摩技術により、cvn酸化物層が除去さ
れ、ドーム型構造46は、基板の上面50が平坦化され
るように除去される。これを第6図に示す。構造は、S
i、02層19を溝18上に、金属接点23を溝20上
に設けて完成させる。
」二連のように、この技術により、ドーピングしない多
結晶シリコンを充填した分離溝18が形成され、一方、
同時加工により、P型にドーピングしたエピタキシャル
・シリコンを付着させ、成長させた基板接点溝20が形
成される。
次に第7A図および第7B図を参照して、重なり接点の
例を説明する。前述の実施例では分離溝18上の5in
2被覆19は、その溝の−にに集中された。同様に、基
板接点溝20 J=−の接点23は、その溝と心合わせ
された。この発明によれば、接点を溝充填材と、溝側壁
の上に重ねることができる。
第7A図は、多結晶シリコンで再充填した溝48を有す
る分離溝を示す。絶縁構造22は、開口部25が溝18
、その側壁、および基板の表面を包囲するように開かれ
る。この開口部に多結晶シリコン、エピタキシャル・シ
リコン、またはこれらの組合わせを選択的に付着させる
。溝の充填材および基板材料を過度に充填することによ
り、開口部中に接点が形成される。この構造は、CMO
SダイナミックRA、 M技術におけると同様に、溝コ
ンデンサ・セルへの接点を形成するのに用いることがで
きる。
第7B図は、選択的エピタキシャル成長により再充填し
た溝20を有する基板接点溝を示す。第7A図に示す実
施例と同様、絶縁構造は溝20および基板の一部を部分
的に覆うよう開口している。
開口部25は、ドーピングしたエピタキシャル・シリコ
ンを再充填した溝20、絶縁溝の側壁および基板のエピ
タキシャル表面に重なっている。この区域は、エピタキ
シャル・シリコン、多結晶シリコン、またはこれらの組
合せたものにより、選択的に充填される。シリコンを充
填した溝と、11(板材料上で過度に成長させることに
より、till l’i部に接点が形成される。
この接点構造の利点は、基板表面上の面積製筒約し、隣
接装置との間隔を狭くすることである。
この技術により、加工工程が節減され、接点の位置が正
確になる。すなわち、接点が必要な位置に、高度にドー
ピングされた材料を付着させることができる。この技術
により、過度に成長した部分は、被覆酸化物とほとんど
同一面にあるため、平坦な表面が得られる。
この接点形成技術は、第1〜6図の絶縁構造(Sin2
.5i3N4)に限定されるものではない。絶縁物は酸
化物でも、窒化物でも、またはそれらを組合わせたもの
でもよい。さらに、接点は溝の上部を横切るように示さ
れているが、側壁に沿って埋め込んだものでもよい。
F0発明の詳細 な説明したように、この発明によれば、ドーピングしな
い多結晶シリコンによる溝分離と、ドーピングした、エ
ピタキシャル成長させたシリコンによる基板接点を、同
時に得ることができる。
【図面の簡単な説明】
第1図および第2図は、この発明の1実施例による集積
回路製造の各工程における半導体ウェーハの一部の断面
図、第3〜6図は、この発明の第2の実施例による集積
回路製造の各二[程における半導体ウェーハの一部の断
面図、第7A図および第7B図は、半導体の一部および
重なり接点を示す断面図である。 10・・・・基板、1−2・・・・P一層、14・・・
・N層層、16・・・・N層、18・・・・分離溝、2
0・・・・基板接点溝、22・・・・Sin2層、24
・・・・S j、3 N4層、26・・・・CvD S
iO2層。

Claims (8)

    【特許請求の範囲】
  1. (1)(a)主表面から下方に延びる、実質的に垂直な
    壁面を有する溝の形状を有する半導体基板を形成し、 (b)前記の溝の壁面上に、絶縁層を形成し、 (c)前記絶縁層上に、ホウケイ酸塩ガラス層を形成し
    、 (d)前記の溝の底部から、異方性エッチングにより、
    前記絶縁層および前記ホイケイ酸塩ガラス層の一部を除
    去し、 (e)溝の、分離が行われるべきすべての部分から前記
    ホウケイ酸塩ガラス層を除去し、前記基板と電気的接触
    が行われるべき部分にはホウケイ酸塩ガラス層を残し、 (f)前記の溝のすべてにシリコンを充填し、シリコン
    がホウケイ酸塩層によりドーピングされる溝中に、ドー
    ピングされないシリコンを充填した分離溝のパターンと
    、基板接点のパターンとを、同時に形成する、工程から
    なることを特徴とする、分離パターンおよび接点パター
    ンを有する半導体デバイス構造の形成方法。
  2. (2)異方性エッチングの後、さらに、前記の溝にホウ
    素を注入して、チャネル・ストップ層を形成する工程を
    有することを特徴とする、特許請求範囲第(1)項記載
    の方法。
  3. (3)前記の等方性エッチングが、反応性イオン・エッ
    チングであることを特徴とする、特許請求範囲第(1)
    項記載の方法。
  4. (4)さらに、前記の基板接点のパターンを有する溝の
    上に、接点を設ける工程を有することを特徴とする、特
    許請求範囲第(1)項記載の方法。
  5. (5)前記の溝に充填する前記のシリコンが、ドーピン
    グされないエピタキシャル・シリコンであることを特徴
    とする、特許請求範囲第(1)項記載の方法。
  6. (6)前記の絶縁層を形成する工程が、前記の基板およ
    び、前記の溝の主壁面上に、熱形成SiO_2層を付着
    させ、前記SiO_2層上にSi_3N_4の層を付着
    させる工程からなることを特徴とする、特許請求範囲第
    (1)項記載の方法。
  7. (7)前記ホウケイ酸塩層を、前記絶縁層上に付着させ
    ることを特徴とする、特許請求範囲第(6)項記載の方
    法。
  8. (8)前記ホウケイ酸塩層を除去する工程が、エッチン
    グによることを特徴とする、特許請求範囲第(1)項記
    載の方法。
JP61193004A 1985-10-31 1986-08-20 半導体デバイス構造の形成方法 Granted JPS62105445A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US793518 1985-10-31
US06/793,518 US4745081A (en) 1985-10-31 1985-10-31 Method of trench filling

Publications (2)

Publication Number Publication Date
JPS62105445A true JPS62105445A (ja) 1987-05-15
JPH0344419B2 JPH0344419B2 (ja) 1991-07-05

Family

ID=25160098

Family Applications (1)

Application Number Title Priority Date Filing Date
JP61193004A Granted JPS62105445A (ja) 1985-10-31 1986-08-20 半導体デバイス構造の形成方法

Country Status (4)

Country Link
US (2) US4745081A (ja)
EP (1) EP0221394B1 (ja)
JP (1) JPS62105445A (ja)
DE (1) DE3686125T2 (ja)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63122261A (ja) * 1986-11-12 1988-05-26 Mitsubishi Electric Corp 半導体装置の製造方法
US4845051A (en) * 1987-10-29 1989-07-04 Siliconix Incorporated Buried gate JFET
US4835115A (en) * 1987-12-07 1989-05-30 Texas Instruments Incorporated Method for forming oxide-capped trench isolation
US4873205A (en) * 1987-12-21 1989-10-10 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
JPH01173714A (ja) * 1987-12-21 1989-07-10 Internatl Business Mach Corp <Ibm> ブリツジ接点の形成方法
JPH0656865B2 (ja) * 1988-10-13 1994-07-27 株式会社東芝 高耐圧素子用接着基板
IT1225625B (it) * 1988-11-03 1990-11-22 Sgs Thomson Microelectronics Procedimento per la realizzazione di strutture di isolamento incassate nel substrato di silicio per dispositivi cmos ed nmos.
US5105253A (en) * 1988-12-28 1992-04-14 Synergy Semiconductor Corporation Structure for a substrate tap in a bipolar structure
JPH02271535A (ja) * 1988-12-28 1990-11-06 Synergy Semiconductor Corp バイポーラ構造における基板タップ及びこの製造方法
US5108946A (en) * 1989-05-19 1992-04-28 Motorola, Inc. Method of forming planar isolation regions
JPH0358484A (ja) * 1989-07-27 1991-03-13 Toshiba Corp 半導体装置とその製造方法
US5223736A (en) * 1989-09-27 1993-06-29 Texas Instruments Incorporated Trench isolation process with reduced topography
US5077228A (en) * 1989-12-01 1991-12-31 Texas Instruments Incorporated Process for simultaneous formation of trench contact and vertical transistor gate and structure
US5159429A (en) * 1990-01-23 1992-10-27 International Business Machines Corporation Semiconductor device structure employing a multi-level epitaxial structure and method of manufacturing same
US5061652A (en) * 1990-01-23 1991-10-29 International Business Machines Corporation Method of manufacturing a semiconductor device structure employing a multi-level epitaxial structure
JP2641781B2 (ja) * 1990-02-23 1997-08-20 シャープ株式会社 半導体素子分離領域の形成方法
US5296392A (en) * 1990-03-06 1994-03-22 Digital Equipment Corporation Method of forming trench isolated regions with sidewall doping
US5139966A (en) * 1990-04-02 1992-08-18 National Semiconductor Corporation Low resistance silicided substrate contact
JP2757927B2 (ja) * 1990-06-28 1998-05-25 インターナショナル・ビジネス・マシーンズ・コーポレイション 半導体基板上の隔置されたシリコン領域の相互接続方法
US5290396A (en) * 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
US5413966A (en) * 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
US5192708A (en) * 1991-04-29 1993-03-09 International Business Machines Corporation Sub-layer contact technique using in situ doped amorphous silicon and solid phase recrystallization
US5250461A (en) * 1991-05-17 1993-10-05 Delco Electronics Corporation Method for dielectrically isolating integrated circuits using doped oxide sidewalls
US5225358A (en) * 1991-06-06 1993-07-06 Lsi Logic Corporation Method of forming late isolation with polishing
US5248625A (en) * 1991-06-06 1993-09-28 Lsi Logic Corporation Techniques for forming isolation structures
US5252503A (en) * 1991-06-06 1993-10-12 Lsi Logic Corporation Techniques for forming isolation structures
JPH0513566A (ja) * 1991-07-01 1993-01-22 Toshiba Corp 半導体装置の製造方法
JPH05211239A (ja) * 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
US5185294A (en) * 1991-11-22 1993-02-09 International Business Machines Corporation Boron out-diffused surface strap process
JP2890380B2 (ja) 1991-11-27 1999-05-10 三菱電機株式会社 半導体装置およびその製造方法
US5236863A (en) * 1992-06-01 1993-08-17 National Semiconductor Corporation Isolation process for VLSI
US5217920A (en) * 1992-06-18 1993-06-08 Motorola, Inc. Method of forming substrate contact trenches and isolation trenches using anodization for isolation
US5346584A (en) * 1993-07-28 1994-09-13 Digital Equipment Corporation Planarization process for IC trench isolation using oxidized polysilicon filler
US5494857A (en) * 1993-07-28 1996-02-27 Digital Equipment Corporation Chemical mechanical planarization of shallow trenches in semiconductor substrates
US5479048A (en) * 1994-02-04 1995-12-26 Analog Devices, Inc. Integrated circuit chip supported by a handle wafer and provided with means to maintain the handle wafer potential at a desired level
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5872044A (en) * 1994-06-15 1999-02-16 Harris Corporation Late process method for trench isolation
JPH08195433A (ja) * 1995-01-19 1996-07-30 Toshiba Corp 半導体装置及びその製造方法
JP3360970B2 (ja) * 1995-05-22 2003-01-07 株式会社東芝 半導体装置の製造方法
US5920108A (en) * 1995-06-05 1999-07-06 Harris Corporation Late process method and apparatus for trench isolation
US5859466A (en) * 1995-06-07 1999-01-12 Nippon Steel Semiconductor Corporation Semiconductor device having a field-shield device isolation structure and method for making thereof
KR100192178B1 (ko) * 1996-01-11 1999-06-15 김영환 반도체 소자의 아이솔레이션 방법
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
DE19808514A1 (de) * 1997-02-28 1998-09-10 Int Rectifier Corp Halbleiterbauteil sowie Verfahren zu seiner Herstellung
US5851900A (en) * 1997-04-28 1998-12-22 Mosel Vitelic Inc. Method of manufacturing a shallow trench isolation for a semiconductor device
AT2173U1 (de) 1997-06-19 1998-05-25 Austria Mikrosysteme Int Verfahren zur herstellung von begrenzten, dotierten teilgebieten in einem substratmaterial aus monokristallinem silizium
CA2295990A1 (en) 1997-07-11 1999-01-21 Telefonaktiebolaget Lm Ericsson A process for manufacturing ic-components to be used at radio frequencies
US6251734B1 (en) 1998-07-01 2001-06-26 Motorola, Inc. Method for fabricating trench isolation and trench substrate contact
US6251769B1 (en) * 1999-07-02 2001-06-26 United Microelectronics Corp Method of manufacturing contact pad
US6388305B1 (en) * 1999-12-17 2002-05-14 International Business Machines Corporation Electrically programmable antifuses and methods for forming the same
US6627949B2 (en) * 2000-06-02 2003-09-30 General Semiconductor, Inc. High voltage power MOSFET having low on-resistance
KR100389923B1 (ko) 2001-01-16 2003-07-04 삼성전자주식회사 트렌치 소자 분리구조를 가지는 반도체 소자 및 트렌치소자 분리 방법
DE10110974C2 (de) * 2001-03-07 2003-07-24 Infineon Technologies Ag Verfahren zum Verbreitern eines aktiven Halbleitergebiets auf einem Halbleitersubstrat
JP2002359290A (ja) 2001-03-27 2002-12-13 Matsushita Electric Ind Co Ltd 半導体集積装置
US6621136B2 (en) 2001-09-28 2003-09-16 Semiconductor Components Industries Llc Semiconductor device having regions of low substrate capacitance
US6696349B2 (en) * 2001-11-13 2004-02-24 Infineon Technologies Richmond Lp STI leakage reduction
KR100400254B1 (ko) * 2001-12-18 2003-10-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
US6724798B2 (en) 2001-12-31 2004-04-20 Honeywell International Inc. Optoelectronic devices and method of production
US6994903B2 (en) * 2002-01-03 2006-02-07 International Business Machines Corp. Hybrid substrate and method for fabricating the same
JP2006506813A (ja) * 2002-11-12 2006-02-23 マイクロン テクノロジー インコーポレイテッド Cmosイメージセンサにおける暗電流を減少させる接地ゲート及び分離技術
US6646320B1 (en) * 2002-11-21 2003-11-11 National Semiconductor Corporation Method of forming contact to poly-filled trench isolation region
DE10320414A1 (de) * 2003-05-07 2004-12-23 Infineon Technologies Ag Halbleiteranordnung mit Schutzanordnung zur Verhinderung einer Diffusion von Minoritätsladungsträgern
US6818950B1 (en) * 2003-05-13 2004-11-16 Micrel, Inc. Increasing switching speed of geometric construction gate MOSFET structures
US7410864B2 (en) * 2004-04-23 2008-08-12 Infineon Technologies Ag Trench and a trench capacitor and method for forming the same
DE102004028679A1 (de) * 2004-06-14 2006-01-05 Infineon Technologies Ag Isolationsgrabenanordnung
US7468307B2 (en) 2005-06-29 2008-12-23 Infineon Technologies Ag Semiconductor structure and method
US7982284B2 (en) 2006-06-28 2011-07-19 Infineon Technologies Ag Semiconductor component including an isolation structure and a contact to the substrate
DE102006029701B4 (de) * 2006-06-28 2017-06-01 Infineon Technologies Ag Halbleiterbauteil sowie Verfahren zur Herstellung eines Halbleiterbauteils
DE102006046377A1 (de) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Isoliergräben, die unterschiedliche Arten an Verformung hervorrufen
DE102007018098B4 (de) * 2007-04-17 2016-06-16 Austriamicrosystems Ag Verfahren zum Herstellen eines Halbleiterkörpers mit einem Graben und Halbleiterkörper mit einem Graben
US8064224B2 (en) * 2008-03-31 2011-11-22 Intel Corporation Microelectronic package containing silicon patches for high density interconnects, and method of manufacturing same
DE102010006996B4 (de) 2010-02-05 2017-08-24 Austriamicrosystems Ag Verfahren zur Herstellung eines Halbleiterbauelements
US8492260B2 (en) * 2010-08-30 2013-07-23 Semionductor Components Industries, LLC Processes of forming an electronic device including a feature in a trench
US8647945B2 (en) * 2010-12-03 2014-02-11 International Business Machines Corporation Method of forming substrate contact for semiconductor on insulator (SOI) substrate
US8673737B2 (en) * 2011-10-17 2014-03-18 International Business Machines Corporation Array and moat isolation structures and method of manufacture
US20160043218A1 (en) * 2014-08-05 2016-02-11 Semiconductor Components Industries, Llc Semiconductor component and method of manufacture
US9812354B2 (en) 2015-05-15 2017-11-07 Semiconductor Components Industries, Llc Process of forming an electronic device including a material defining a void
CN109994537B (zh) * 2017-12-29 2022-09-06 联华电子股份有限公司 半导体元件及其制作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58220445A (ja) * 1982-06-16 1983-12-22 Toshiba Corp 半導体集積回路の製造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4256514A (en) * 1978-11-03 1981-03-17 International Business Machines Corporation Method for forming a narrow dimensioned region on a body
US4462040A (en) * 1979-05-07 1984-07-24 International Business Machines Corporation Single electrode U-MOSFET random access memory
CA1142261A (en) * 1979-06-29 1983-03-01 Siegfried K. Wiedmann Interconnection of opposite conductivity type semiconductor regions
US4470062A (en) * 1979-08-31 1984-09-04 Hitachi, Ltd. Semiconductor device having isolation regions
JPS56137647A (en) * 1980-03-31 1981-10-27 Chiyou Lsi Gijutsu Kenkyu Kumiai Semiconductor and its manufacture
FR2480501A1 (fr) * 1980-04-14 1981-10-16 Thomson Csf Dispositif semi-conducteur a grille profonde accessible par la surface et procede de fabrication
JPS57201070A (en) * 1981-06-05 1982-12-09 Seiko Epson Corp Semiconductor device
US4446476A (en) * 1981-06-30 1984-05-01 International Business Machines Corporation Integrated circuit having a sublayer electrical contact and fabrication thereof
JPS5850752A (ja) * 1981-09-21 1983-03-25 Fujitsu Ltd 半導体装置の製造方法
US4375124A (en) * 1981-11-12 1983-03-01 Gte Laboratories Incorporated Power static induction transistor fabrication
JPS58168233A (ja) * 1982-03-30 1983-10-04 Fujitsu Ltd 半導体装置の製造方法
US4473598A (en) * 1982-06-30 1984-09-25 International Business Machines Corporation Method of filling trenches with silicon and structures
JPS5982761A (ja) * 1982-11-04 1984-05-12 Hitachi Ltd 半導体メモリ
JPS59119848A (ja) * 1982-12-27 1984-07-11 Fujitsu Ltd 半導体装置の製造方法
JPS59208750A (ja) * 1983-05-12 1984-11-27 Sanyo Electric Co Ltd 半導体装置の配線構造
US4522662A (en) * 1983-08-12 1985-06-11 Hewlett-Packard Company CVD lateral epitaxial growth of silicon over insulators
US4661202A (en) * 1984-02-14 1987-04-28 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques
US4554728A (en) * 1984-06-27 1985-11-26 International Business Machines Corporation Simplified planarization process for polysilicon filled trenches
US4688063A (en) * 1984-06-29 1987-08-18 International Business Machines Corporation Dynamic ram cell with MOS trench capacitor in CMOS
US4801989A (en) * 1986-02-20 1989-01-31 Fujitsu Limited Dynamic random access memory having trench capacitor with polysilicon lined lower electrode
EP0236089B1 (en) * 1986-03-03 1992-08-05 Fujitsu Limited Dynamic random access memory having trench capacitor

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58220445A (ja) * 1982-06-16 1983-12-22 Toshiba Corp 半導体集積回路の製造方法

Also Published As

Publication number Publication date
DE3686125T2 (de) 1993-03-11
EP0221394A3 (en) 1989-04-26
DE3686125D1 (de) 1992-08-27
US4924284A (en) 1990-05-08
US4745081A (en) 1988-05-17
JPH0344419B2 (ja) 1991-07-05
EP0221394B1 (en) 1992-07-22
EP0221394A2 (en) 1987-05-13

Similar Documents

Publication Publication Date Title
JPS62105445A (ja) 半導体デバイス構造の形成方法
JP2994239B2 (ja) Soiトレンチ構造およびその製造方法
US6809368B2 (en) TTO nitride liner for improved collar protection and TTO reliability
CN108649033B (zh) 半导体器件及其制造方法
US6064104A (en) Trench isolation structures with oxidized silicon regions and method for making the same
US6737330B2 (en) Isolation structure and fabricating method therefor
US5920108A (en) Late process method and apparatus for trench isolation
USRE45232E1 (en) Method of forming a contact plug for a semiconductor device
US6251734B1 (en) Method for fabricating trench isolation and trench substrate contact
JPH05102296A (ja) 集積回路において平坦化した浅いトレンチ分離を製造する方法及びそれにより製造された構成体
JPS62269335A (ja) 半導体デバイスの製造方法
US5453395A (en) Isolation technology using liquid phase deposition
US5565376A (en) Device isolation technology by liquid phase deposition
KR910001873B1 (ko) 반도체장치 및 그 제조방법
US6358785B1 (en) Method for forming shallow trench isolation structures
US6436791B1 (en) Method of manufacturing a very deep STI (shallow trench isolation)
US6677197B2 (en) High aspect ratio PBL SiN barrier formation
US5472902A (en) Silicon-on-insulator isolation technology using liquid phase deposition
US20190355813A1 (en) Semiconductor device including device isolation layer
JPH05849B2 (ja)
JPH09260679A (ja) 半導体装置およびその製造方法
JP2757358B2 (ja) 半導体装置の製造方法
KR950014114B1 (ko) 소자분리용 절연막 형성방법
JPH05315442A (ja) 半導体装置の製造方法
US6251769B1 (en) Method of manufacturing contact pad