JP6602751B2 - マイクロストラクチャ向上型吸収感光装置 - Google Patents

マイクロストラクチャ向上型吸収感光装置 Download PDF

Info

Publication number
JP6602751B2
JP6602751B2 JP2016515097A JP2016515097A JP6602751B2 JP 6602751 B2 JP6602751 B2 JP 6602751B2 JP 2016515097 A JP2016515097 A JP 2016515097A JP 2016515097 A JP2016515097 A JP 2016515097A JP 6602751 B2 JP6602751 B2 JP 6602751B2
Authority
JP
Japan
Prior art keywords
layer
microstructure
photodetector
silicon
absorption
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016515097A
Other languages
English (en)
Other versions
JP2016526295A (ja
Inventor
シー−ユアン ワン,
シー−ピン ワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of JP2016526295A publication Critical patent/JP2016526295A/ja
Application granted granted Critical
Publication of JP6602751B2 publication Critical patent/JP6602751B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • H01L31/035272Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions characterised by at least one potential jump barrier or surface barrier
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/02002Arrangements for conducting electric current to or from the device in operations
    • H01L31/02005Arrangements for conducting electric current to or from the device in operations for device characterised by at least one potential jump barrier or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/02016Circuit arrangements of general character for the devices
    • H01L31/02019Circuit arrangements of general character for the devices for devices characterised by at least one potential jump barrier or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022408Electrodes for devices characterised by at least one potential jump barrier or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022466Electrodes made of transparent conductive layers, e.g. TCO, ITO layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022466Electrodes made of transparent conductive layers, e.g. TCO, ITO layers
    • H01L31/022475Electrodes made of transparent conductive layers, e.g. TCO, ITO layers composed of indium tin oxide [ITO]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02327Optical elements or arrangements associated with the device the optical elements being integrated or being directly associated to the device, e.g. back reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • H01L31/02363Special surface textures of the semiconductor body itself, e.g. textured active layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/024Arrangements for cooling, heating, ventilating or temperature compensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table
    • H01L31/0284Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table comprising porous silicon as part of the active layer(s)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0304Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0304Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L31/03046Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds including ternary or quaternary compounds, e.g. GaAlAs, InGaAs, InGaAsP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0312Inorganic materials including, apart from doping materials or other impurities, only AIVBIV compounds, e.g. SiC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • H01L31/035209Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions comprising a quantum structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • H01L31/035209Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions comprising a quantum structures
    • H01L31/035227Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions comprising a quantum structures the quantum structure being quantum wires, or nanorods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • H01L31/035272Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions characterised by at least one potential jump barrier or surface barrier
    • H01L31/035281Shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/054Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/0745Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type comprising a AIVBIV heterojunction, e.g. Si/Ge, SiGe/Si or Si/SiC solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells
    • H01L31/077Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells the devices comprising monocrystalline or polycrystalline materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/105Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PIN type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/105Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PIN type
    • H01L31/1055Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PIN type the devices comprising amorphous materials of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/107Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier working in avalanche mode, e.g. avalanche photodiodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/107Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier working in avalanche mode, e.g. avalanche photodiodes
    • H01L31/1075Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier working in avalanche mode, e.g. avalanche photodiodes in which the active layers, e.g. absorption or multiplication layers, form an heterostructure, e.g. SAM structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/1808Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only Ge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/1812Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only AIVBIV alloys, e.g. SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • H01L31/1844Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP comprising ternary or quaternary compounds, e.g. Ga Al As, In Ga As P
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12097Ridge, rib or the like
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12176Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6627Waveguides, e.g. microstrip line, strip line, coplanar line
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/52PV systems with concentrators
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/548Amorphous silicon PV cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Optics & Photonics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Sustainable Energy (AREA)
  • Sustainable Development (AREA)
  • Light Receiving Elements (AREA)
  • Silicon Compounds (AREA)

Description

本発明は、大略、主に感光装置に関するものである。更に詳細には、幾つかの実施例はマイクロストラクチャ(microstructure)向上型吸収特性を具備する感光装置に関するものである。
オプチカルファイバ通信は、遠距離通信及び大型データセンター内の通信等の適用場面において広く使用されている。一層短い光学波長を使用する場合に関連する減衰損失のために、殆どのオプチカルファイバ通信は800nm及びそれより長い光学波長を使用する。一般的に使用される伝送窓が1260nmと1675nmとの間に存在している。オプチカルファイバ通信システムにおいて使用される光学受信機の主要な部品は光検知器であり、それは、通常、フォトダイオード(PD)又はアバランシェフォトダイオード(APD)の形態である。
高品質低雑音APDはシリコンから作ることが可能である。しかしながら、シリコンは可視光及び近赤外線範囲における光を吸収するが、それは一層大きな光学波長において一層トランスペアレントとなる。シリコンPD及びAPDは、該装置の吸収「I」領域の厚さを増加させることによって800nm及びそれより長い光学波長用とさせることが可能である。図2は、従来のPINフォトダイオード200の断面図であり、「d」は吸収「I」領域220の長さである。図3(A)及び(B)は、850nm光学波長において30ミクロン直径を具備する従来のフォトダイオードの帯域幅及び量子効率を示している。理解されるように、90%の量子効率を得るためには、「I」領域の厚さ「d」が30ミクロンを越える場合である。このことは最大帯域幅が2.5Gb/s未満となり、それは多くの現在の及び将来の遠距離通信及びデータセンター適用例にとっては低すぎる。
一層長い波長及び一層高い帯域幅の場合にシリコンPD及びAPDが有する本来的な問題を回避するために、その他の物質が使用される。ゲルマニウム(Ge)は1700nmの波長まで赤外線を検知するが、比較的高い増倍雑音を有している。InGaAsは1600nmより一層長いものまで検知可能であり且つ増倍雑音はGeよりも一層少ないが、シリコンと比較すると未だにかなり一層大きなノイズを有している。InGaAsはヘテロ構造ダイオードの吸収領域として使用されることが既知であるが、殆どは、典型的に、基板として及び増倍層としてInPが関与することとなる。この物質系は約900乃至1700nmの吸収窓と適合性がある。しかしながら、InGaAs及びGeの両方の装置は比較的高価であり且つシリコンと比較した場合に比較的高い増倍雑音を有している。
特許請求の範囲に記載する内容は、何らかの特定の欠点を解消するか又は上述した如き環境においてのみ動作する実施例に制限されるものではない。そうではなく、この背景は本書に記載される幾つかの実施例を実施することが可能な一つの例示的な技術分野を示すために与えているものに過ぎない。
幾つかの実施例によれば、マイクロストラクチャ向上型光吸収を具備する光検知器が記載される。該光検知器(例えば、フォトダイオード又はアバランシェフォトダイオード)は、カソード領域、アノード領域、該カソード領域が該アノード領域よりも一層正の電圧へ駆動されるように該カソード領域とアノード領域との間に電圧を印加する形態とされている逆バイアス回路、及びソース信号からのフォトンを吸収する形態とされているマイクロストラクチャ向上型フォトン吸収半導体領域、を包含している。該吸収領域は、該ソース信号の波長を含む波長範囲においてフォトンの吸収を増加させるべく寸法構成され且つ位置決めされている複数個のマイクロストラクチャを包含している。幾つかの実施例によれば、該マイクロストラクチャは、最長の信号波長と等しいか又はそれより短い少なくとも一つの寸法を有している。幾つかの実施例によれば、該マイクロストラクチャは柱体、孔、及び/又はボイドである。該マイクロストラクチャは周期的に離隔されたアレイ、非周期的に離隔されたアレイ、ランダムに離隔されたアレイ、又は多周期的に離隔されたアレイに配列させることが可能である。幾つかの実施例によれば、該マイクロストラクチャは下側に存在する基板物質の上部表面に対して垂直である主長手軸を有している。幾つかのその他の実施例によれば、該マイクロストラクチャは互いに平行ではない主長手軸を有している。幾つかの実施例によれば、該マイクロストラクチャはソース信号のいずれかの単一方向に対する感度を減少させるように配向されている。
幾つかの実施例によれば、該マイクロストラクチャは、吸収モードを、共振効果、散乱効果、近接場効果、サブ波長効果、及び/又は干渉効果を利用する高コントラストグレーチングに形成することにより少なくとも部分的に吸収を増加させる。
幾つかの実施例によれば、該吸収領域及び該マイクロストラクチャはシリコンから形成される。幾つかの実施例によれば、シリコンフォトダイオードが設けられ、それは少なくとも60%の量子効率を有する850nmの波長において5ギガビット/秒を越えるデータ帯域幅においてソース信号を検知することが可能である。幾つかの実施例によれば、シリコンフォトダイオードが設けられ、それは少なくとも60%の量子効率を有する850nmの信号波長において10ギガビット/秒を越えるデータ帯域幅においてソース信号を検知することが可能である。幾つかの実施例によれば、シリコンアバランシェフォトダイオードが設けられ、それは、2を越える利得を有すると共に、850nmの波長において5ギガビット/秒を越えるデータ帯域幅においてソース信号を検知することが可能である。幾つかの実施例によれば、シリコンAPDは、P増倍層、N増倍層、及び/又は電荷層内へ延在するマイクロストラクチャを具備する増倍領域を有している。幾つかの実施例によれば、シリコンフォトダイオードが設けられ、それは、少なくとも40%の量子効率を有する980nmの波長において1ギガビット/秒を越えるデータ帯域幅においてソース信号を検知することが可能である。幾つかの実施例によれば、シリコンフォトダイオードが設けられ、それは、少なくとも30%の量子効率を有する1000nmの波長において0.5ギガビット/秒を越えるデータ帯域幅においてソース信号を検知することが可能である。幾つかの実施例によれば、該マイクロストラクチャは、同様のフォトン吸収長を有するマイクロストラクチャ不在の装置と比較した場合に、該光検知器の容量を実効的に減少させる。
幾つかの実施例によれば、該吸収半導体領域及び該マイクロストラクチャはシリコン及びゲルマニウムから形成される。幾つかの実施例によれば、該マイクロストラクチャは、シリコンの一部をエッチング除去し且つ該エッチング除去した部分にゲルマニウムを成長させるために選択的区域成長プロセスを使用することによって形成される。幾つかの実施例によれば、該光検知器は基板側からソース信号を受け取る形態とされている。該アノード領域は、エピタキシャル横方向過成長プロセスによって形成したゲルマニウムP層を包含することが可能である。本装置は、ソース信号が1回目に吸収領域を介して通過し、表面から反射し、且つその後に2回目に該吸収領域を介して通過するような形態とさせることが可能である。本装置は、又、ソース信号を受け取る形態とし、上側及び該アノード領域をシリコンP層から形成することが可能である。幾つかの実施例によれば、アバランシェフォトダイオードが設けられ、それは、2を越える利得を有しており、且つ1750nm以下のソース信号波長において1ギガビット/秒より大きなデータ帯域幅においてソース信号を検知する。幾つかの実施例によれば、該吸収半導体領域及び該マイクロストラクチャは、InP、InGaAs、GaN、InGaN、InGaAsP、AlGaAs、AlGaN、GaP、InSb又はInAs等のIII−V群物質から形成される。
幾つかの実施例によれば、該マイクロストラクチャは該吸収半導体領域内に埋設されているボイドを包含している。幾つかの実施例によれば、ボイドは信号波長において屈折率を実効的に低下させ且つその物質層の容量を減少させるように物質層内に埋設されている。
幾つかの実施例によれば、光電変換装置が記載され、それは、内部に複数個のボイドが埋設されている半導体物質を包含している。該半導体物質は太陽放射を直流電流へ変換させる形態とされている。幾つかの実施例によれば、該ボイドはマイクロストラクチャ型のボイドであって、該半導体物質の吸収を向上させてそれにより本装置の変換効率を増加させる形態とされている。幾つかの実施例によれば、該ボイドは、3ミクロン未満に寸法形成され及び/又は離隔され、且つ、例えば、本装置からの入射太陽光の反射を減少させ及び/又は該半導体物質内での内部反射を増加させるために表面近傍の該半導体物質の屈折率を変更させる形態とされている。
幾つかの実施例によれば、ガラス物質が記載され、その場合に、該ガラスは、0.01ミクロン乃至1000ミクロンの間の寸法の複数個の埋設されたボイドを有している。幾つかの例においては、該ガラスは光電変換装置の一部を形成している。幾つかの実施例によれば、該埋設型ボイドはゲル、ポリマー、ガスなどの物質で充填されている。該埋設型ボイドは、該ガラス物質の柔軟性、及び/又は物理的損傷及び/又は損傷伝播に対する該ガラス物質の増加した耐久性を提供することが可能である。
幾つかの実施例によれば、マイクロ波伝送線路構造が記載され、それは、半導体基板物質の比誘電率を減少させるための形態とされた複数個の高密度誘電体充填型ボイドを具備している半導体基板物質、及び複数個の金属性マイクロ波伝送線路であってその内の少なくとも1個が該半導体基板物質上方に位置されている複数個の金属性マイクロ波伝送線路、を包含している。幾つかの実施例によれば、該誘電体充填型ボイドは、窒素、アルゴン、真空、空気、ヘリウム、ポリマー、金属酸化物、二酸化シリコン、窒化シリコン、フッ化カルシウム、又は亜鉛酸化物等の物質で充填されている。幾つかの実施例によれば、該ボイドは、更に、電流ループの流れ及び/又は渦電流を減少させることによって、少なくとも部分的に、分散を減少させ且つマイクロ波伝送線路と関連する損失を減少させる形態とされている。
幾つかの実施例によれば、光導波路構造が記載され、それは、光モード領域、及び該光モード領域に隣接した支持用半導体物質、を包含している。該支持用半導体物質は、マイクロストラクチャ型ボイドの寸法、形状、密度などに基づいて該支持用物質の実効屈折率を変化させる形態とされている複数個のマイクロストラクチャ型ボイドを包含している。
幾つかの実施例によれば、熱交換器システムが記載され、それは、熱発生用装置、熱を周囲の媒体へ散逸させる形態とされたヒートシンク、及び該熱発生用装置と該ヒートシンクとの間に搭載させた中間物質、を包含している。該中間物質は該中間物質の熱伝導に影響を与える形態とされた複数個の埋込型ボイドを包含している。幾つかの実施例によれば、該埋設ボイドの内の幾つかは熱伝導性物質で充填されており且つ他のものは熱絶縁性物質で充填されている。これら2つのタイプのボイドは該熱発生装置から該ヒートシンクへ熱を伝導させ且つ該中間物質上に設けられている他の熱感受性装置との熱的なクロストークを減少させるために位置決めされている。
本特許明細書の内容の上述した及びその他の利点及び特徴を更に明確化させるために、その実施例の内の特定の例が添付図面に例示されている。理解すべきことであるが、これらの図面は例示的な実施例を図示するに過ぎず、従って、本特許明細書又は特許請求の範囲の範囲を制限するものとして考慮されるべきではない。本書の内容を添付の図面を使用して付加的な特定性及び詳細と共に記載し且つ説明する。
幾つかの実施例に基づく感光装置においてバルク吸収係数を向上させる三次元マイクロストラクチャの概略側部断面図。 従来のPINフォトダイオードの典型的な構造の様相を示した断面線図。 (A)及び(B)は、バルクの従来のシリコンフォトダイオード対ミクロンでの「d」の帯域幅及び量子効率(QE)を、夫々、示しているプロット。 (A)乃至(D)は、幾つかの実施例に基づくマイクロストラクチャ型Siフォトダイオードに対しての帯域幅及びQEに対してのバルク吸収係数の向上を示したグラフ。 (A)及び(B)は、幾つかの実施例に基づく頂部照明型マイクロストラクチャ型PINシリコンフォトダイオードの様相を示した断面図。 (A)及び(B)は、幾つかの実施例に基づく底部照明型マイクロストラクチャ型NIPシリコンフォトダイオードの様相を示した断面図。 (A)及び(B)は、幾つかの実施例に基づくマイクロストラクチャ型アバランシェフォトダイオードの様相を示した断面図。 幾つかの実施例に基づく高速高効率マイクロストラクチャ型APD用のシリコンエピタキシャル構造を示した断面図。 (A)乃至(E)は、幾つかの実施例に基づく高速APD用の幾つかの基本マイクロストラクチャ処理ステップを示した一連の断面図。 (A)及び(B)は、幾つかの実施例に基づく頂部照明型マイクロストラクチャ型フォトダイオード、アバランシェフォトダイオード、及び/又はN−Si内にマイクロストラクチャ型ボイドを具備する光電池(PV)の様相を示した断面図。 (A)及び(B)は、幾つかの実施例に基づくSi上Ge(Ge on Si)のヘテロエピタキシャルAPD構造の様相を示した断面図。 幾つかの実施例に基づくマイクロストラクチャ上のGe表面に対するパッシベーション方法の様相を示した断面図。 (A)及び(B)は、幾つかの実施例に基づくSi上Geを基礎としたフォトダイオード及び/又はアバランシェフォトダイオードに対するGeバルク吸収係数のマイクロストラクチャ向上の効果を示したグラフ。 (A)及び(B)は、幾つかの実施例に基づくマイクロストラクチャ型柱体/穴を具備する頂部照明型Si上Geアバランシェフォトダイオードの様相を示した断面図。 (A)乃至(D)は、幾つかの実施例に基づくマイクロストラクチャ型柱体/穴を具備する底部照明型Si上Geアバランシェフォトダイオードの様相を示した断面図。 (A)及び(B)は、幾つかの実施例に基づくシングルパス及びダブルパスフォトダイオード及び/又はSi上Geを基礎としたアバランシェフォトダイオードを比較するグラフ。 (A)及び(B)は、幾つかの実施例に基づく700乃至1800nmの範囲の単一波長での適用のためのマイクロストラクチャ型柱体/穴を具備する頂部照明型Si上Geフォトダイオード構造の様相を示した断面図。 (A)及び(B)は、幾つかの実施例に基づく1200乃至1800nmの範囲の単一波長での適用のためのマイクロストラクチャ型柱体/穴を具備する底部照明型Si上Geフォトダイオード構造の様相を示した断面図。 (A)乃至(C)は、幾つかの実施例に基づく同一の帯域幅及びQEで一層大きな面積のフォトダイオードを製造することが可能であるようにどのようにしてマイクロストラクチャがフォトダイオードの容量を減少させるかを示した線図、(D)及び(E)は幾つかの実施例に基づいてP−I−Nシリコン増倍層上に成長させたIII−V吸収層を具備するAPD装置を製造する様相を示した断面図。 幾つかの実施例に基づいて、Siマイクロストラクチャ内に埋設又は埋込したマイクロストラクチャ型Geを具備する装置用の最終的P−Si層無しでのバルク層を示した概略図。 幾つかの実施例に基づいて、Siマイクロストラクチャ内に埋設又は埋込したマイクロストラクチャ型Geを具備する装置用のマイクロストラクチャ型柱体及び/又は穴のエッチングを示した断面図。 幾つかの実施例に基づいて、エッチした領域内にGe「I」層を成長させ且つ平坦化させた後にSiマイクロストラクチャ内に埋設又は埋込したマイクロストラクチャ型Geを具備する装置を示した断面図。 幾つかの実施例に基づいて、I−Geを再成長させ且つ平坦化の後のSiマイクロストラクチャ内に埋設又は埋込したマイクロストラクチャ型Ge及びP−Siのキャップ層を具備する装置を示した断面図。 (A)及び(B)は、幾つかの実施例に基づいて、I−Siマイクロストラクチャ内に埋設/埋込型I−Ge吸収マイクロストラクチャを具備するマイクロストラクチャ型APDの様相を示した断面図。 (A)乃至(C)は、幾つかの実施例に基づいて、Siマイクロストラクチャ型柱体及び/又は穴アレイ内に埋設/埋込型Geマイクロストラクチャを具備するフォトダイオードの様相を示した断面図、(D)及び(E)は、幾つかの実施例に基づいて、垂直キャビティ表面射出レーザ(VCSEL)等の順方向バイアス型装置の様相を示した断面図。 幾つかの実施例に基づいて、マイクロストラクチャ型ボイドを製造する開始時のエピタキシャル層を示した断面図。 幾つかの実施例に基づいて、I−Si層内にエッチ形成したマイクロストラクチャ型穴/柱体を示した断面図。 幾つかの実施例に基づいて、SiキャッピングPアノード層2826と共にSi内に埋設又は埋込したマイクロストラクチャ型ボイドを示した断面図。 幾つかの実施例に基づいて、高度に反射性のミラーを提供するためにP−Si表面上に形成したブラッグ反射器を示した断面図。 幾つかの実施例に基づいて、半導体物質上のPVの大型シートの吸収を向上させ、バルク屈折率を減少させ、容量を減少させ且つ機械的柔軟性を増加させるためのマイクロストラクチャ型ボイドを具備するPINPD/PVの1例を示した概略図。 幾つかの実施例に基づいて、埋設/埋込したボイドを具備する再成長させたI−Geを示した断面図。 幾つかの実施例に基づいて、エピタキシャル層によって被着されており且つ再成長させたI−Geと共にI−Si内に埋設したマイクロストラクチャ型ボイドを具備している装置を示した断面図。 幾つかの実施例に基づいて、PD/APDにおけるマイクロストラクチャ型柱体、穴、及び/又は埋設型ボイドの分布を示した平面図。 幾つかのその他の実施例に基づいて、PD/APDにおけるマイクロストラクチャ型柱体、穴、及び/又は埋設型ボイドの分布を示した平面図。 従来のNSi基板上のSiPV/PDダイオード用の基本的なエピタキシャル構造を示した概略図。 幾つかの実施例に基づいて、N−Si層内に組み込んだマイクロストラクチャ型ボイドを示した概略図。 幾つかの実施例に基づいて、Siを被覆する再成長させたGeと共にエッチ形成したSiマイクロストラクチャ型柱体/穴を示した断面図。 幾つかの実施例に基づいて、埋設型マイクロストラクチャ型ボイドを具備するN−Si層上にGe再成長と共にマイクロストラクチャ型Si柱体/穴を示した断面図。 幾つかの実施例に基づいて、マイクロストラクチャ型Si柱体/穴上の部分的被覆I−Geを示した断面図。 幾つかの実施例に基づいて、マイクロストラクチャ型Si−Ge柱体/穴アレイPD/PV及び/又はAPD用の基本的な部品を示した断面図。 (A)及び(B)は、幾つかの実施例に基づいて、埋設したマイクロストラクチャ型ボイドを具備するN−Si層上方のマイクロストラクチャ型柱体/穴アレイ装置の様相を示した断面図。 (A)乃至(D)は、幾つかの実施例に基づいて、埋設したマイクロストラクチャ型ボイドを具備するN−Si層上方にマイクロストラクチャ型柱体/穴アレイを具備するAPDの様相を示した断面図。 幾つかの実施例に基づいて、マイクロストラクチャ型要素用の種々の寸法、間隔及び形状を例示した平面図。 幾つかの実施例に基づいて、マイクロストラクチャ型要素用の種々の寸法、間隔及び形状を例示した平面図。 幾つかの実施例に基づいて、マイクロストラクチャ型要素用の種々の寸法、間隔及び形状を例示した平面図。 幾つかの実施例に基づいて、マイクロストラクチャ型要素用の種々の寸法、間隔及び形状を例示した平面図。 幾つかの実施例に基づいて、異なる長さ及び深さを具備するマイクロストラクチャ型柱体及び穴アレイを示した断面図。 幾つかの実施例に基づいて、異なる長さ及び深さを具備するマイクロストラクチャ型柱体及び穴アレイを示した断面図。 幾つかの実施例に基づいて、柱体及び穴の両方の組合せを具備するマイクロストラクチャ型表面を示した概略図。 幾つかの実施例に基づいて、光学的リッジ導波路の断面図。 幾つかの実施例に基づいて、ボイドを使用したシリコン内の埋込型光学的導波路の断面図。 幾つかの実施例に基づいて、マイクロストラクチャ型PD/APDと共に一体化したリッジ導波路の断面図。 幾つかの実施例に基づいて、マイクロストラクチャ型PD/APDと共に一体化したリッジ導波路の平面図。 (A)及び(B)は、夫々、幾つかの実施例に基づいて、ボイドと共にSi上マイクロ波伝送線路を示した断面図及び平面図。 幾つかの実施例に基づいて、熱発生IC及び光射出器の熱伝導及び熱絶縁のためのボイドの断面図。 幾つかの実施例に基づいて、横方向電気抵抗を増加させるために横方向経路長を増加させ且つ横方向熱伝導を減少させるためのボイド分布を示した断面図。
好適実施例の幾つかの例を以下に詳細に説明する。幾つかの実施例について記載するが、本特許明細書において記載される新しい内容はいずれか一つの実施例又はここに記載される実施例の組合せに制限されるものではなく、多数の代替例、修正例、及び均等物を包含するものであることを理解すべきである。更に、完全に理解するために以下の説明においては多数の特定の詳細について記述するものであるが、幾つかの実施例はこれらの詳細の幾つか又は全て無しでも実施することが可能である。更に、説明の便宜上、ここに記載される新しい内容を不必要にぼかすこととなることを回避するために、関連技術において既知の或る技術的事物については詳細には記載していない。ここに記載される特定の実施例の一つ又は幾つかの個々の特徴はその他の記載される実施例の特徴と組み合わせて使用することが可能であることは明らかである。更に、種々の図面における同様の参照番号及び表示は同様の要素を表すものである。
幾つかの実施例によれば、マイクロストラクチャは、高いデータレート適用例のために吸収長を適切なものに維持しながら、高い量子効率(QE)を達成するためにバルク吸収定数(吸収係数とも呼称される)を向上させるために使用される。ここで使用される如く、”QE”は内部量子効率(IQE)のことを意味している。IQEは、反射及び透過が無視可能な場合には外部量子効率(EQE)と等しい。
幾つかの実施例によれば、マイクロストラクチャは、半導体物質のバンドギャップの近傍における波長においてのバルク吸収定数を改善するために使用される。このことは、光センサの動作光学的波長及び/又は動作スペクトルを拡張させることを可能とする。向上されたバルク吸収定数(又は係数)は実効吸収定数(又は係数)と呼称される。「実効」という用語が使われる理由は、吸収定数又は係数は本来的な物質特性だからである。しかしながら、共振効果、プラズモニック効果、電場増強効果、散乱効果、近接場及びサブ波長効果がある場合には、実効吸収定数又は係数はバルク即ち物質吸収定数又は係数よりも一層大きい場合がある。ここで使用される如く、以下の用語は交換可能に使用されるものである:向上された(enhanced)吸収定数、向上された吸収係数;向上された実効吸収定数;向上された実効吸収係数;向上された吸収;向上された実効吸収;及び実効吸収定数;及び実効吸収係数。
幾つかの実施例によれば、向上された吸収は経路長について劇的な効果を有する場合がある。一つのバルク吸収例において、フォトンがそのオリジナルの振幅の1/eへ吸収される経路長は、例えば、100マイクロメートル(μm)であり、一方、幾つかの実施例に基づく向上された吸収の下では、その経路長は、光学的振幅がそのオリジナルの振幅の1/eへ減衰するための1マイクロメートルである。等価的に、経路長が1マイクロメートルに一定に維持される場合には、向上された吸収係数はバルク吸収係数よりも100倍も一層大きい。
このことはA=A−αLによって表され、尚Aは入射フォトン束の減衰係数であり且つαは吸収係数であり且つLは吸収が行われる経路長である。従って、Lを100倍一層長いものにするのではなく、実効αがバルク吸収係数等の向上されていない吸収係数よりも100倍一層大きいものであるように定義することが可能である。マイクロストラクチャの共振/散乱/近接場効果は、例えば、共振/散乱/近接場マイクロストラクチャが丁度1ミクロンの長さである場合に、100倍一層長い経路長の均等物を与える。別の言い方をすると、非常に簡単化した観点において、共振構造内のフォトンはそのオリジナルの振幅の1/e値に到達する前に50回の往復動作を行い、従って均等な直線的長さはその共振構造の長さの100倍である。説明の便宜上、共振/散乱/近接場効果をこれ以後ここでは「レゾナント(resonant)」即ち「共振」と集約的に呼称するが、それは、共振、散乱、近接場、プラズモニック、非線形及び線形光学的効果を包含することが可能なものである。
ここで使用される如く、「マイクロストラクチャ(microstructure)」及び[マイクロストラクチャ型(microstructured)]という用語は、マイクロメートル尺度、サブマイクロメートル尺度、及び/又はサブ波長尺度において少なくとも一つの寸法を有する種々の形状及び寸法の柱体、ボイド、穴及びメサのことを意味している。
幾つかの実施例によれば、マイクロストラクチャの特性は、実効吸収定数/係数又は向上した吸収を向上させるために使用される高コントラストグレーチング(HCG)の吸収モードとして説明することも可能である。従来、HCGは興味の或る光学波長においてトランスペアレントである物質を使用する。対照的に、幾つかの実施例によれば、該マイクロストラクチャの少なくとも一つは興味のある光学波長において吸収性又は弱い吸収性である。幾つかの実施例によれば、低Q吸収モードHCGは吸収を向上させる(例えば、2−200の範囲内のQ値)。
幾つかの実施例によれば、実効屈折率を低下させるために、埋設酸化物(BOX)、絶縁体上シリコン(SOI)、サファイア上シリコン(SOS)、非晶質半導体等の低密度半導体、ナノワイヤ半導体、ボイド及び穴等の低屈折率物質が使用される。屈折率は物質の本来的な特性である。しかしながら、幾つかの実施例によれば、ボイド、空隙、及び/又は穴(それは低屈折率物質で充填、及び/又は再成長させることが可能)等の構造が光学波長程度の寸法を有している場合には、光学的電磁場は物質屈折率と該構造(それは低屈折率物質で充填することが可能)とからなる平均屈折率を見ることとなる。この平均化はここでは実効屈折率として呼称することとする。幾つかの実施例によれば、該マイクロストラクチャにおいて低屈折率又は実効低屈折率物質を使用することは必要ではない。
幾つかの実施例によれば、実効吸収を向上させる技術をシリコン、ゲルマニウム、InP,GaAs,GaN,GaN,InGaAs等のIII−V物質、及びIII−V物質群の任意の組合せを包含する種々の物質へ適用させることが可能である。ここで使用される如く、III−V物質の「物質群」という用語は、GaAs、InP、GaN、InSb半導体に対して格子整合しているか又はほぼ格子整合している任意の物質として定義される。幾つかの実施例によれば、マイクロストラクチャの場合には、Ge,InGaAs,又はシリコンマイクロストラクチャにおけるその他のIII−V物質の選択的区域成長(SAG)等の再成長を格子不整合等の悪影響無しで実施することが可能である。何故ならば、シリコン上の格子不整合した物質のフットプリントの寸法は小さいからである(約数マイクロメートル乃至サブマイクロメートル)。このことは、従来のバッファ層(Si上Ge成長の場合における非晶質Ge等)を使用すること無しにGe及びその他のIII−V物質をシリコンと一体化させることを可能とする。この複数の物質の不均質な一体化は、ホモ又はヘテロ構造、結晶性及びマイクロ結晶性及び非晶質半導体の組合せ、炭素等の導体、グラファイト、絶縁体、誘電体、固体、気体、半導体特性とすることが可能なガラス又はポリマー等の液体、とすることが可能である。
該マイクロストラクチャに起因して、容量も、(1)一つの半導体の比誘電率、及び(2)半導体、誘電体、気体、真空、部分的真空、ガラス又はポリマー(ポリイミド、マイラー、又はその他の有機化合物)とすることが可能な別の物質の比誘電率の平行容量である実効容量によって表すことが可能である。装置の実効容量は、2個又はそれ以上とすることが可能な並列コンデンサの容量の組合せである。幾つかの実施例に基づくマイクロストラクチャの使用の利点は、その実効容量は半導体等の均質物質の容量よりも著しく一層低くさせることが可能であるということである。一層低い容量とすることは、尚且つ適切に高いデータレート帯域幅を維持しながら装置が一層大きな面積を有することを可能とし、及び/又は、通過時間を減少させるために装置の厚さを減少させることによってデータレート帯域幅を増加させることを可能とさせる。
幾つかの実施例によれば、該光センサは、フォトダイオード(PD)、アバランシェフォトダイオード(APD)、光電池(PV)又は太陽電池、又はフォトンを電子へ変換させる任意のオプトエレクトロニクス装置、とすることが可能である。幾つかの実施例によれば、電子/正孔をフォトンへ変換させるレーザ及び発光ダイオード等の発光体もマイクロストラクチャを使用して実現することが可能である。
幾つかの実施例によれば、向上された吸収は、APD/PDが、(1)60%を越えるQEで且つ3Gb/sより大きなデータ帯域幅で物質バンドギャップに一層近いエネルギ(又は波長)でフォトンに対するAPD/PDの感度を拡張させ、(2)一層短い吸収長、従って一層短い通過時間に起因して高いデータレート帯域幅(例えば、10Gb/sを越える)で動作し、(3)増強された吸収で量子効率を増加させ、(4)低過剰雑音で優れたAPD特性を有するシリコンから製造させ、(5)Siフォトニクス及びCMOSプロセスと適合性があるものとさせることを可能とする。幾つかの実施例によれば、該PD/APDは、信号処理、信号増幅、メモリ、cpu、電気的送信機、光学的導波路、集積化光学系、及び特定の適用例用のその他のIC等のASIC(応用特定集積回路)と集積化させることが可能である。このことはCMOSファンドリを使用しての大量生産にとって有益的である。
幾つかの実施例によれば、ボイド等のマイクロストラクチャは、吸収を向上させるための共振構造を作成するために実効屈折率を減少させるために使用される。Ge又はIII−V物質をシリコンと一体化させるために選択的区域成長が使用されるマイクロストラクチャにおいては、吸収領域が実効吸収係数を2乃至100倍を超えるだけ向上させるための共振構造であるように、ボイドをシリコンマイクロストラクチャ内に構成することが可能である。
幾つかの実施例によれば、シリコンはアバランシェ利得のために使用される。シリコンはアバランシェフォトダイオードに対して最低の過剰雑音を有している。Ge及び/又はIII−V物質をシリコンと一体化させることによって、吸収はGe及び/又はIII−V物質内において行われ、且つ電子利得はシリコンにおいて発生する。光学波長に依存して、例えば、1100nmより短い場合には、マイクロストラクチャの使用と共に、吸収及び電子利得の両方のためにシリコンが使用される場合がある。
幾つかの実施例によれば、埋設型ボイド等のマイクロストラクチャは横方向の電気的及び熱的伝導度を減少させることが可能である。電気的又は熱的(フォノン)の指向性伝導度が望まれる場合には、電流及び/又は熱の流れを閉じ込めるためにボイドを使用することが可能である。
幾つかの実施例によれば、半導体におけるフォトンの吸収がマイクロストラクチャの使用で向上即ち増強される。マイクロストラクチャは、共振、電場増強、近接場及びサブ波長効果、散乱、プラズモニクス、フォトニック結晶、近接場領域における高コントラストグレーチングにおける吸収モード等に影響を有することが可能であり、それは線形及び非線形効果の両方であって、実効吸収長を実効的に増加させることが可能であり、その結果与えられた長さにたいしてのフォトンの一層大きな吸収となる。
幾つかの実施例によれば、シリコンフォトダイオード及びシリコンアバランシェフォトダイオードに対して吸収向上のために使用されるマイクロストラクチャは、量子効率が約90%以上で約850nmの波長(それは現在のデータ通信の好適な波長である)でのフォトンにおいて10Gb/s(デジタルコーディングフォーマットに依存して約6.75GHzと等価)を越える帯域幅を有するシリコンPD及びAPDとなる場合がある。
シリコン光検知器は物質及び処理技術の成熟性に起因して非常に堅牢であり、表面状態及び担体再結合中心を発生させる場合があるダングリングボンドを除去するために表面は容易にパッシベーションすることが可能であり、低欠陥性で且つ高い物質純度に起因して優れたキャリア寿命を有しており、且つAPD適用例の場合には、正孔及び電子間のイオン化比(kファクター、シリコンはどのIII−V物質よりも一層低いkファクターを有している)が小さいことに起因してノイズが低く、この様な検知器は信号処理のためのエレクトロニクスと及びシリコンフォトニクスとの集積化に対してCMOS適合性である。
幾つかの実施例によれば、ゲルマニウム、III−V、a−Si(非晶質シリコン)などのその他の物質も単独で又はその他の物質と共に使用することが可能であり、従って並列した複数のバンドギャップを吸収を向上させて製造することが可能である。
幾つかの実施例によれば、実効屈折率及び容量を減少させて吸収モード高コントラストグレーチング効果を達成し且つ一層低いRC時定数用の装置の実効容量を減少させるために、マイクロストラクチャ及びボイドを使用する。
更に、幾つかの実施例によれば、半導体内に埋設したマイクロストラクチャは横方向の電気的及び熱的な伝導を減少させることが可能である。例えば、高密度で複数のボイドがSi内に埋設されて比誘電率及び半導体内の渦電流を減少させてその結果損失及び散乱が低くなっている場合には、マイクロ波伝送線路が可能である。ボイドは、又、熱的隔離のため、及びボイドを熱伝導体で充填することにより熱伝導度を改善するために、使用することが可能である。このことは、シリコン基板上の部品の熱管理において有用である。
ナノワイヤ(nanowire)(ガーネット等、シリコンナノワイヤ太陽電池における光トラッピング、ナノレターズ、2010,10,1082−1087(Garnett et al, Light trapping in silicon nanowire solar cells, Nano Letters, 2010,10,1082-1087);ケルゼンベルグ等、光電池適用例用のSiワイヤアレイにおける向上させた吸収及びキャリア回収、ネイチャーマテリアルズ、9巻、2010年3月、239−244(Kelzenberg et al, Enhanced absorption and carrier collection in Si wire arrays for photovoltaic applications, Nature Materials, vol. 9, March 2010, 239-244))及びナノホール(nanohole)(リン等、光電池適用例用のシリコンナノワイヤ及びナノホールにおける光吸収向上、プロシーディング・オブ・SPIE、7772巻、77721G−1,2010(Lin et al, Optical absorption enhancement in silicon nanowire and nanohole arrays for photovoltaic applications, Proceeding of SPIE, Vol.. 7772, 77721G-1,2010))が報告されている。ナノワイヤは光電池適用例における光トラッピングのために使用されることが既知であり、その場合に、光によって発生されたキャリアーが、DC(直流)で動作するゼロの外部バイアスでPN接合のアノード又はカソードへ拡散する。
幾つかの実施例によれば、高変調帯域幅(10Gb/s以上の帯域幅)及び高量子効率用及び高電流利得で利得が3dBを越えるAPD用のP−I−Nダイオード(PD)又はP−I−P−I−Nダイオード(APD)の吸収用「i」領域における該外部逆バイアスで光によって発生されたキャリアーは一掃される。
吸収係数の向上の一つ又は複数の原因は以下のもの内の一つ又はそれ以上を含むものと考えられ、即ち、光学場集中における増加、共振効果、建設的及び破壊的光学的干渉(振幅及び位相)効果、散乱、及び高コントラストグレーチング(HCG)効果等である。例えば、チャン・ハスナイン等、集積化オプトエレクトロニクス用の高コントラストグレーチング、アドバンシーズ・イン・オプチクス・アンド・フォトニクス4,379−440(2012)(Chang-Hasnain et al, High contrast gratings for integrated optoelectronics, Advances in Optics and Photonics 4, 379-440(2012))を参照すると良く、以後、これを「チャン・ハスナイン」として呼称する。HCG効果は近接波長領域においてであり(チャン・ハスナイン参照)、その場合に、グレーチングの物質における光学波長及びグレーチングの周囲物質における光学波長は該グレーチングの周期の範囲である。
図1は、幾つかの実施例に基づいて、感光装置におけるバルク吸収係数を向上させる三次元マイクロストラクチャの概略側部断面図である。マイクロストラクチャ型柱体110及び/又は穴120は「I」Si層100内にエッチングされている。物質Mにおける光学波長はλ/nであり、尚nはMの屈折率であり、且つ物質Mにおける光学波長はλ/nで与えられ、尚nは物質Mの屈折率である。構造体110の中心間距離Lはλ/nとλ/nとの間、又は約100−2000nmとすることが可能であり、それは近接波長レジームとして呼称される。回折レジームはL(1サイクル)が波長よりも一層大きい場合であり、一方サブ波長レジームはLが波長未満の場合である。図1の断面は3D(次元)マイクロストラクチャ型柱体/穴アレイを示しており、それは1.5乃至100を越える係数だけバルク膜吸収係数を向上させる。3D柱体/穴マイクロストラクチャ型アレイの周期は3D高コントラストグレーチングとして見ることが可能であり、グレーチングの周期∧はλ/n(尚nは物質Mの光学屈折率である)とλ/n(尚nは物質Mの光学屈折率である)との間に存在することが可能である。n>nである場合には、λ/n<∧<λ/nであり、尚λは、マイクロストラクチャ型柱体/穴アレイによって吸収されるべき光の光学波長であり、それは、典型的には、P−I−NPDの第2「I」領域、又は、APDの場合には、吸収用「I」領域P−I−P−I−N内にあり、尚該第2「I」領域は増倍領域であり、又は、PD及びAPDによって検知されるべき光学信号の波長である。3DHCGマイクロストラクチャ型柱体/穴アレイのこの近接波長レジームにおいて、共振Q(Q値、即ち共振体に格納されたエネルギ/サイクル当たりのエネルギ損失の比に比例、尚それは光学サイクルであって、共振体上又は共振体内に衝突する光学周波数である)は、HCGが既知の如くに最小の吸収を有する場合には、高々10となる場合がある(チャン・ハスナイン参照)。更に、殆どの場合には、HCGの効果を観察するためには、周辺物質の屈折率に対するHCGの屈折率の比は約1.1であることが必要であるに過ぎない。
バルク物質の吸収係数の高い増強を説明することが可能なHCG効果は、その共振効果に起因するか及び/又はマイクロストラクチャ型柱体/穴周期間隔を変えることに起因する単一又は複数のHCG層(又は単一のマイクロストラクチャ型柱体/穴アレイ層)であり、HCG/マイクロストラクチャ型柱体/穴アレイ内で共振する光学信号はHCG/マイクロストラクチャ型柱体/穴アレイの表面に対して垂直ではなくその面内を伝播するように指向させることが可能であることである。共振及び面内伝播と共に、このことはHCG/マイクロストラクチャ型柱体/穴アレイにおける吸収経路長を著しく増加させることが可能である。幾つかの実施例によれば、吸収HCGモードはPD/APD用には逆電圧バイアスで且つ光電池装置においてはビルトインバイアス(ゼロ印加バイアス)で動作される。
近接波長及びHCG周期が光学波長よりも著しく小さい場合にはディープ波長、及びHCG周期が光学波長よりも一層大きい場合には回折レジーム(チャン・ハスナイン参照)を使用して、マイクロストラクチャ型柱体・穴・ボイドアレイはバルク吸収係数よりも1.1乃至100を越える係数だけ向上された吸収係数を有することが可能である。
幾つかの実施例によれば、HCG効果は、吸収モード又は損失性モード又は1−10000の低Q(1,000,000を越える高いQ及び高い反射率を達成するために非吸収モード又は非損失性モードにある既知のHCGと比較して)においても使用され、それは、Si内の埋設型/埋込型(完全に埋込型ではない)Geマイクロストラクチャ及びGe又はSiのいずれかにおける埋設型/埋込型ボイドマイクロストラクチャの両方においてであって、ホモ又はヘテロ接合、結晶性又は非晶質又はそれらの任意の組合せとすることが可能なpn、pin、pinip接合(p及びnは或る装置形態に対して交換することが可能)の逆バイアスモードで動作されるSi(400−1100nm)及びGe(400乃至1800nm)及びSi上Geフォトダイオード及びアバランシェフォトダイオードの量子効率(QE)及び動作波長範囲を改善させる。更に、屈折率又は実効屈折率及び比誘電率又は実効比誘電率(多数のボイドを具備する誘電体は実効比誘電率又は等価的に実効容量として表現することが可能)を減少させるために、基板はSOI又はBOX又はボイド上とさせることが可能である。
既知のシリコン光検知器は、1.1eVの間接遷移に起因して200乃至1000nmの波長範囲へ制限されている。特に、800−1000nmのバンドギャップ波長近くにおいて、Gb/sレートにおける変調光学信号に対するシリコン光検知器の周波数応答は約1.5Gb/s又はそれ未満に制限されているが、それは、850nmにおいて約760cm−1であり且つ980及び1000nmにおいて夫々67cm−1及び63cm−1であるこれらの波長においてのSiの弱い吸収係数に起因しており、その結果、物質の長い吸収長、30ミクロン以上、となり、そのことはPD/APDに対する低い周波数応答とさせている。波長850nmは興味の或るものであり、何故ならば、これは短距離(数メートル乃至数キロメートル)マルチモードオプチカルファイバーデータ通信用の標準波長だからである。
吸収される入射フォトンの量は近似的にη=1−e―αL、尚η(PDの表面からの反射が無視可能である場合には量子効率でもある)は吸収された量であり且つ「α」は吸収定数であり且つ「L」は吸収体の長さである。この式及び850nmにおけるSiの吸収定数を使用して、約30ミクロンの深さにおいて入射フォトンの90%が吸収される。既知の高速光検知器は、典型的に、約2μmの長さの吸収領域を有している。その理由は、フォトダイオードの通過時間及びRC時間は20GHz(又は約30Gb/s)又はそれ以上の帯域幅を有し尚且つ80−90%又はそれ以上の外部量子効率を有することが必要であると考えられるからである。
効率及び帯域幅(通過及びRC時間):6・10V/cmの電界強度において、電子ドリフト速度は300Kの温度において1・10cm/sである。通過時間に起因する光検知器の3dB帯域幅は、f(3dB)=0.45/t(通過)によって与えられ、尚t(通過)はキャリアが高電界空乏領域を横断する通過時間である。図2はPINフォトダイオード構造の典型的な構造の様相を示した断面線図である。PIN200のP領域210において発生されるフォトキャリアは無視可能であり且つ殆ど「I」領域220において発生されると仮定すると、通過時間は電子ドリフト速度と「d」(高電界「i」領域)とによって決定される。PIN200は逆バイアスされている(例えば、−4及び−25Vの間)。「i」領域220はこの場合には完全に空乏となっている。PIN構造200のRC時間は、dに対する該PIN200の面積(πw/4)の比である容量によって決定される。
従来技術によれば、850nm波長でSiに対して90%の吸収を達成するための30μm厚さの吸収層(「i」)は1.5GHz(約2.2Gb/s)の通過時間制限型3dB帯域幅を与え、それは多くの電流適用例に対しては低すぎる。多くの電流適用例は10−20Gb/s範囲内にあり且つ近い将来は40Gb/sである。30Gb/sを越える帯域幅を与えるためには、光学的データ通信適用例における現在の高速フォトダイオードに対しては2μm以下のdが望ましい。
RC時間はフォトダイオード(APD及びPDの両方に適用)において考慮すべき別の時間である。RC時間に起因する3dB帯域幅haf(RC)=1/(2πRC)によって与えられ、尚Cはフォトダイオードの容量であり且つRは負荷抵抗であって典型的には50Ωである(時折25Ω)。逆バイアスによって完全に空乏化されている「i」領域を具備する従来のPIN構造フォトダイオードの場合における容量は、εA/dによって与えられる平行板容量に過ぎない。尚εはSiに対しては11.9である物質の比誘電率(真空の誘電率の8.854・10−14farads/cmで乗算される)であり、Aはコンデンサの面積(π(w/2))であり、且つdは空乏化した「i」である(iとIはここでは交換可能に使用されている)。f(RC)は、dが増加するに従って一層大きくなる。何故ならば、dが増加し且つ面積が固定されている場合に容量は減少するからであり、その場合にダイオード直径は、例えば、30μmである(高周波数PD/APDの直径は5乃至60μmの範囲にわたる)。RC時間を計算するためのダイオードの直径はダイオードの全容量性面積であり、それは感光面積及び全体的な容量に貢献する場合がある全てのメタリゼーションを含む。
フォトダイオードの全体的な周波数帯域幅は通過時間及びRC時間の自乗の和である。これは次式によって与えられる。
f=1/(2π(RC)+(T/2.4)1/2
図3(A)及び(B)は、夫々、バルクの従来のシリコンフォトダイオードの帯域幅及びQE対ミクロン単位での「d」を示したプロットである。図3(A)及び(B)におけるプロット310及び312から、通過時間とRC時間と量子効率η(反射損失が無視可能と仮定)との結合したf(3dB)に対して、バルクシリコンが10Gb/sに到達するためには、量子効率(QE)は40%未満であり、30μm直径のPDの場合、それは殆どのデータ通信適用例対して低すぎることが分かる。
幾つかの実施例によれば、バンドギャップ近くの照射エネルギを具備する半導体及び間接遷移半導体、例えば850−1100nmにおけるSi、の比較的弱い吸収に打ち勝つために、マイクロストラクチャ型アレイが使用され、それは、化学的蒸着(CVD)又は金属有機化学的蒸着(MOCVD)、原子層付着(ALD)、分子ビームエピタキシー(MBE)などの従来方法でエピタキシャル的に成長されるシリコン内にエッチ形成される。注意すべきことであるが、幾つかの実施例によれば、半導体でないものを使用することが可能であり、例えば、ポリマー、ガラス、セラミックス、ハイブリッド物質で遷移金属酸化物、水素化物、塩化物、硫化物で半導体、金属、炭素ナノ粒子、及び/又は原子で埋め込まれたものがあり、それはバンドギャップを有する場合と有さない場合がある。シリコンのマイクロストラクチャ型アレイは、Siの柱体及び/又はSi内の穴から構成されている。ガーネット及びヤング、シリコンナノワイヤ太陽電池における光トラッピング、ナノレターズ(Garnett and Yang, Light Trapping in Silicon Nanowire Solar Cells, Nano Lett.)2010,10,1082−1087(以後、「ガーネット等」と呼称)を参照すると良く、それは、シリコンナノワイヤの秩序アレイが入射光照射の光学的経路長をバルクシリコンのものよりも73倍増加させたことを実験的に示している。
幾つかの実施例によれば、前述した如く、実効吸収係数の概念が導入される。Siナノワイヤの秩序アレイの実効吸収係数はバルクシリコンのものの73×であり、Siに対するバルクαは760/cmであり且つナノワイヤSiのαは850nmにおいて5.548×10/cmであり、それは直接バンドギャップIII−V物質よりも一層高い。
注意すべきことであるが、該マイクロストラクチャは、触媒と共に又は触媒無しでVLS(気相・液相・固相エピタキシャル成長させた)ナノワイヤ又はマイクロワイヤ(幾つかのナノワイヤは直径等の最大寸法がミクロン範囲内にあり、従ってそれはマイクロワイヤと呼称することが可能である)等の方法を使用して、又は選択的区域成長(SAG)エピタキシーのための誘電体マスクを使用して、エピタキシャル的に成長させることが可能である。
ガーネット等に記載されているナノワイヤアレイは、ドライエッチマスクとして530nmのビーズからなるアレイを使用して約390nmのナノワイヤの直径を有している。該Si物質の約1/2がエッチング除去され、該ナノワイヤアレイに対する実効容量はバルクのものよりも小さい。該実効容量は2個の平行コンデンサとして推定することが可能であり、その内の一つはSiを有しており且つ他方はポリイミド(充填物)を有しており、それらの比誘電率は、夫々、11.9及び3.4である。各コンデンサの面積は30μm直径平行板のものの1/2である。
該実効容量が一層低い場合、30μm直径装置に対する全体的な3dB帯域幅は著しく一層高い。図4(A)乃至(D)は、幾つかの実施例に基づいて、マイクロストラクチャ型Si光検知器に対しての帯域幅及びQEに対してのバルク吸収係数の向上を示しているグラフである。図4(A)及び(B)は、単一又は二重パスのいずれかでPD/APD上に入射する850nm波長のフォトンにおいてのマイクロストラクチャ型Siフォトダイオード(PD)又はアバランシェフォトダイオード(APD)の帯域幅(プロット410)及び量子効率(プロット420)を示している。バルク吸収係数(BAC)を越えて73×(412)、30×(414)又は15×(416)の実効吸収係数(EAC)の場合には、帯域幅及びQEは、例えば、30マイクロメートル直径PD/APDに対して、夫々、54Gb/s,98%、49Gb/s,90%、28Gb/s,90%である。1100nmにおいて、図4(C)及び(D)のプロット430及び440に示されているように、Siマイクロストラクチャ型PD/APDを使用して、例えば、172×で90%のQE及び26Gb/sの帯域幅の増強を達成することが可能である。PD及びAPDの両方が−2乃至−50V範囲の逆バイアスで動作する。APDは、通常、APDの付加的された増倍層に起因して、同じ幾何学的構造に対してPDよりも一層小さな帯域幅を有している。更に、APDは利得・帯域幅の積を有している。説明の便宜上、APD及びPDはほぼ同じであるものとして示してある。
幾つかの実施例によれば、マイクロストラクチャ型柱体間のギャップに起因する低い容量と増強された吸収との組合せは、シリコンフォトダイオード及びアバランシェフォトダイオードが、850nm波長において高い量子効率(>90%)で10Gb/sよりも一層大きな帯域幅で動作することを可能としている。このことは、これらの波長においては従来のシリコンPD/APDによって示されたものではない。850nmは公称波長として選択されているが、幾つかの実施例によれば、波長は700乃至1100nmの範囲の値を有することが可能である。
幾つかの実施例によれば、光検知器の適用例に対しては、バルクにおける弱い吸収を有利に利用することが可能である。何故ならば、このことは、850nm及びそれより一層長い光がSi基板及びエピタキシャル層を通り過ぎて最小の光学的損失及び高電界領域外側での最小の光電流でもってマイクロストラクチャ型シリコンへ向かうことを可能としているからであり、該光電流はi領域へ拡散する場合があり且つ光検知器が周波数応答において劣化を有することとさせる場合がある。
幾つかの実施例によれば、ここに記載する技術はその他の半導体、ポリマー、有機フィルム、ガラス、誘電体、ハイブリッド物質で例えば金属、半導体のナノ粒子が埋め込まれたガラス、誘電体、ポリマーなどのハイブリッド物質へ適用される。幾つかの実施例によれば、以下のものの内の一つ又はそれ以上が使用され、即ち、Ge、III−V物質群で、例えば、InP,GaAs,GaN,InGaN等、II−VI物質群で、例えば、ZnSe等、及びヘテロ構造物質で、例えば、Si上に成長させたIII−V、Si上に成長させたGe等である。例えば、Si上Geの場合、吸収を増加させるためにマイクロストラクチャがGe上に製造され、そのことは、Geの一層短い長さを使用することを可能とし、その結果、一層低い実効容量及びキャリアに対しての一層短い通過時間に起因して、一層高速となる。
図5(A)及び(B)は幾つかの実施例に基づく頂部照明型マイクロストラクチャ型PINシリコンフォトダイオードの様相を示した断面図である。図5(A)は開始時の基本的な物質構造500を示している。図5(B)において、シリコンエピタキシャル構造が高速高効率マイクロストラクチャ型フォトダイオード520に対して示されている。図5(B)におけるフォトダイオード520は頂部照明用に設計されている。幾つかの実施例によれば、BOX/SOI層504は使用されない場合がある。図6(A)及び(B)は底部照明用の例を示している。注意すべきことであるが、僅かな修正でもって、n及びpドーピングは交換させることが可能であり且つ照明方向も影響を受ける場合がある。幾つかの実施例によれば、照明はPD/APDの表面に対して垂直とするか又は表面に対しての垂直からずれた角度とすることが可能である。幾つかの実施例によれば、光学信号が光学導波路内を案内される集積化構造において、マイクロストラクチャ型吸収領域は、又、マイクロストラクチャ内に直接的に又はマイクロストラクチャ型i領域へエバネセント的に結合して、縁に沿って照明させることが可能である。
図5(B)はシリコン内のマイクロストラクチャ型柱体及び/又は穴PD520を示しており、開始時の物質500はSi基板502上に成長させたP−I−NSi層から構成されており、基板502はNドープ又は低ドープとすることが可能であり、後者の場合には、直列抵抗を最小とさせるために基板上にエキストラなN層を最初に成長させる。これらの層の寸法及びドーピングの範囲は以下のものとすることが可能であり、即ち、Nカソードコンタクト層506(又は506と508との間で506の上に薄い層)は5・1017/cmよりも一層大きなNドーピングを有しており、且つ厚さは約0.1から10マイクロメートル又はそれ以上の範囲であり、N層506は5・1017/cmより一層大きなNドーピングを有しており且つ厚さは約0.1から10マイクロメートル又はそれ以上の範囲であり、I高電界吸収領域508は5×1016/cm未満のバックグランドドーピングを有しており且つ厚さは適用例に依存して約0.1から5マイクロメートルの範囲に亘っており、且つPアノード層510は5・1018/cmより一層大きなPドーピングを有しており且つ厚さは約0.1から5マイクロメートル又はそれ以上に亘っている。PD(及びAPD)感光面積(P−I−N又はP−I−P−I−N接合面積によって定義される)は,20Gb/s又はそれ以上の帯域幅動作に対して直径において公称的に30マイクロメートル(直径が10−80マイクロメートルは可能な範囲)である。幾つかの実施例によれば、該面積はより低い帯域幅に対しては一層大きく、又一層高い帯域幅動作に対しては一層小さくすることが可能である。ランダムか、パターン状か、又は擬似ランダムとすることが可能な図5(B)に示されている穴522及び/又は柱体524のアレイからなるSiマイクロストラクチャは、300−1100nmの波長でフォトンを検知するためにSiのバルク吸収係数を向上させるためである。データ通信適用例の場合には、幾つかの実施例によれば、光学信号波長は750−1100nmの範囲である。透明の導電性酸化物及びP−オーミック層526も設けられている。
PD520は逆バイアスモードで動作され、即ち、負電圧がアノード上(P−オーミック及びボンドコンタクトメタル528を介してP層510)で且つ正電圧がカソード上(N−オーミックボンドコンタクトメタル530を具備するN層506)に印加され、その範囲は−1乃至−20Vである。幾つかの実施例によれば、図5(B)に示したPD構造520(及び図6(B)に示したPD構造620)は、又、何らの外部バイアス無しで光電池(PV)動作用に使用することが可能である。
図5(B)に示した如く、光学信号は表面に対して垂直に又は垂直からずれて或る角度で入射することが可能である。或る場合には、垂直からずれた或る角度でやってくる光学信号は一層高いQEを有する場合があり且つ又表面に対して垂直な軸の周りに或る回転した状態とさせることが可能である。このことはボールドスポット(bald spot)効果を回避するためであり、その場合に、表面に対して垂直に移動している幾らかのフォトンはボールドスポットを「見る」ことが可能であるが、一方、表面に対して垂直からずれて或る角度で照明するフォトンの場合には、該ボールドスポットは他のマイクロストラクチャによって隠される。これは近接場光学系における場合ではなく、その場合には、マイクロストラクチャの間隔は光学波長又はサブ波長の程度のものであり、ボールドスポットは顕著な問題ではない。
図6(A)及び(B)は、幾つかの実施例に基づいての底部照明型マイクロストラクチャ型NIPシリコンフォトダイオードの様相を示した断面図である。光学信号は基板側即ち底部からフォトダイオード620に入射する。基板602は、例えば、ビア(via)をエッチングし且つP層で停止させることによって10ミクロン以下へ薄くさせることが可能であり、又フォトダイオードはBOX(埋設型酸化物)又は絶縁体上シリコン(SOI)シリコンウエハ上に製造させることが可能であり、且つエッチビアはBOX層604において停止することが可能であり、該BOX層604もシリコン層606まで選択的にエッチさせることが可能であり、ビア630はフォトダイオード620の感光面積と同じ幅又はそれより広い幅とさせることが可能である。反射防止層(不図示)をシリコン残存層606又はBOX層604(BOX層604は、例えば、反射防止層の一部として設計することが可能)へ付与して反射を最小とさせる(多重反射を最小とさせるために反射防止層をシリコンP層606へ付与することが可能であるようにBOX層604を選択的にエッチング除去することも可能)。後方照明型フォトダイオード620は、最初のパスで吸収されなかった光を反射させるためにN層610上に反射器626を付与することが可能であるという利点を有しており、実効的には「I」層608の長さを2倍としている。QEはそのエキストラの長さに起因して増加させることが可能である。更に、N層610上の反射器626は滑らかなものとするか又はマイクロストラクチャ(柱体624又は穴622のアレイ)による吸収を最適化させるために光(光学信号)を散乱(非鏡面又は拡散反射)させるためにテクスチャー付きのものとすることが可能である。「I」領域の長さは実効的に2倍とされるので、通過時間は実効的に減少させることが可能である。何故ならば、「I」の半分のみが必要であるに過ぎないからである。
図6(B)におけるPD620の如き底部照明型PDは、時折、後方/基板照明型PDとも呼称され、且つ図5(B)におけるPD520の如き頂部照明型PDは、時折、前方/表面照明型PDとも呼称される。
幾つかの実施例によれば、図5(A)及び(B)及び図6(A)及び(B)に示したようなマイクロストラクチャ型Siフォトダイオードの基本構造は、(100)配向又は(111)等のその他の配向を具備しておりドープしたN型又は意図的にはドープされていないか又は低ドープN型Si基板とすることが可能なシリコンウエハ上にP−I−N構造でバルク薄膜のエピタキシャル的に成長させたシリコンから製造される。
幾つかの実施例によれば、基本的な層構造(図5(A)の構造500の層502,504,506,508,510等、及び図6(A)の構造600における層602,604,606,608,610等)は全て以下の方法の内の一つ又はそれ以上を使用してエピタキシャル的に成長されるものであり、即ち、化学蒸着(CVD)、金属有機化学蒸着(MOCVD)、プラズマエンハンスト化学蒸着(PECVD)、分子ビームエピタキシー(MBE)、原子層付着(ALD)、P又はN又は低ドープ又は未ドープのSi基板又はBOX/SOI基板上のパルス型レーザ付着(PLD)等の方法である。
処理の詳細については説明の便宜上割愛してある。幾つかの実施例によれば、以下の基本的なステップが実施される、即ち、第1に(柱体の場合で穴の場合は必ずというわけではない)、eビームのサーマル又はスパッタリング付着を使用してpオーミックコンタクトメタル層を付着させ、第2に、フォトリソグラフィー的に(又はインプリントリソグラフィー的に)柱体又は穴のマイクロストラクチャパターンを画定し且つドライ又はウエットエッチングのためのエッチマスクを形成し、第3に、P及びI層上に部分的に又は完全にマイクロストラクチャパターンをエッチングし且つN層内に延在することが可能であり、第4に、マイクロストラクチャパターン(柱体又は穴)の側壁を熱酸化物でパッシベートしてダングリングボンド及びその他の表面欠陥に起因する表面状態を介しての光発生されたキャリアの表面再結合を最小とさせ(Si酸化物及びSi窒化物のALD(原子層付着)等のその他のパッシベーション技術も適用することが可能)、第5に、スピンオンガラス、ポリイミド、又はSi酸化物及びSi窒化物等の誘電体のプラズマエンハンスト化学蒸着(PECVD)のいずれかを使用しての平坦化し(充填物ステップ)、第6に、P層又はPオーミックメタル層(ポリイミド又はスピンオンガラスは穴又は柱体/マイクロストラクチャ間の空間を部分的に充填することが可能)を露出させるためにエッチバックし、そして、第7に、オーミック及び/又はボンドコンタクトをP及びN層上に形成させる。頂部照明型光検知器の場合には、光学信号はマイクロストラクチャパターンが製造されているエピタキシャル表面上に入り、透明の導電性酸化物が付着されて全てのPオーミックメタル層(柱体用)を接続させる。マイクロストラクチャが穴又はボイドである場合には、幾つかの実施例によれば、透明な導電性酸化物層は使用されない。−1乃至−20Vの電圧バイアスがP層(アノード)とN層(カソード)との間に印加されてフォトダイオードは逆バイアスモードで動作されて「I」層、好適には全I層を可及的に空乏化させる。
図7(A)及び(B)は、幾つかの実施例に基づくマイクロストラクチャ型アバランシェフォトダイオードの様相を示した断面図である。図5(A),5(B),6(A),6(B)に示したSiマイクロストラクチャ型フォトダイオードにおける如く、APD720用のエピタキシャル層700が最初に成長され、次いでマイクロストラクチャ処理が続く。マイクロストラクチャ型アバランシェフォトダイオード720は、透明なメタル酸化物Pオーミックコンタクト726と共に、頂部から照明することが可能であり(例えば、300−1100nmで)、又はPオーミックコンタクト726は底部から照明する(例えば、950−1100nmで)フォトンを反射させてダブルパス吸収をさせるためにミラーとすることが可能である。ダブルパス吸収は、一層高い周波数応答用のAPDのRC時間及び通過時間を著しく改善する。
図8は幾つかの実施例に基づいて高速高効率マイクロストラクチャ型APD用のシリコンエピタキシャル構造を示した断面図である。層800はマイクロストラクチャ処理前の状態を示している。僅かな修正で、n及びpドーピングはスイッチさせることが可能であり、且つ照明の方向も影響される場合がある。Nシリコン基板802(又は適用例及びパッケージングの考慮に依存して、低ドープしたか又はサファイア上シリコンか又はBOX/SOIウエハ)で開始し、N層804(Nオーミックコンタクト層)を層厚さが約0.1乃至10μmの範囲でドーピング濃度が1018cm−3(典型的には燐)を越える値で形成する。非意図的にドープされた層「i」806又はP(非常に低いドーピングレベルのP層)は、APDの利得及び帯域幅に依存して厚さが約0.1乃至2.0μmの範囲で5×1016cm−3(これはAPDの増倍即ち電子利得層である)未満のドーピング濃度を有している。P電荷層808が、近似的な厚さが0.05−0.2μmで2×1017cm−3より大きなドーピング濃度で成長される。吸収層810は、約0.1乃至5μmの範囲の厚さで5×1016cm−3未満のドーピングで成長される(P又は「i」)(「I」及び「i」はここでは交換可能に使用されている)。最後に、約0.1乃至1.0μmの範囲の層の厚さで5×1019cm−3より大きなドーピングでPコンタクト層812が成長される。幾つかの実施例によれば、全ての層800はCVD又はMOCVD反応器を使用してエピタキシャル的に成長される。ドーピング及び厚さは近似的なものであり且つ適用例に依存して調節される。
SiAPDの最善のノイズ性能のために、光発生された電子が増幅プロセスにおいて支配的なものとなるべきであり、即ち、逆バイアスで、正孔がp(アノード)へ向かって拡散/ドリフトし且つ電子がn(カソード)へ向かって拡散/ドリフトする。Si層800は、ドープされているか又はドープされていない基板上に、化学蒸着、プラズマエンハンスト化学蒸着、分子ビームエピタキシー、原子層付着(ドーピング及びインターフェース品質において最適な層構造を発生させるためにこれらの方法の組合せとすることが可能)を使用して、エピタキシャル的に成長される。電子が通過する全長は約0.25乃至7.2ミクロンの範囲である。これは、例えば、吸収のための0.5μm、荷電のための0.1μm、増倍のための0.5μmで、図示したAPD層構造800に対して1.1μmとなる場合がある。幾つかの実施例によれば、一層高いQEのために、該吸収領域は、例えば、1μmへ長くさせて全通過長さを1.6μmとさせることが可能である。異なる波長、CMOSとの集積化、適用条件、バイアス電圧や利得やノイズ等の動作条件に対して、装置特性を最適化させるためにその他の層厚さ及びドーピング濃度を調節させることが可能である。
又、APDは底部から変調させた光学信号で照明させることが可能であり、その場合に、BOX又はSOIを使用し、基板のバルクを除去して光学損失を最小とさせ、従って向上された吸収用マイクロストラクチャ型層におけるフォトンのダブルパスを使用することが可能である。
図9(A)乃至(E)は、幾つかの実施例に基づいて、高速APD用の幾つかの基本的なマイクロストラクチャ処理ステップを示した一連の断面図である。図9(A)は、図8に関して説明したような高速APDエピ構造800を示している。図9(B)において、PDプロセスにおける如く、P−オーミックメタリゼーション又は誘電体マスク910を最初にマイクロストラクチャ型柱体のためにP層812上に付着させることが可能である。穴及び/又はボイドの場合には、このステップは実施されない場合がある。ドライエッチマスク910をフォトリソグラフィ、メタル/誘電体付着技術及びウエット/ドライエッチングを使用して表面上に付着させる。図9(C)において、幾つかの実施例によれば、反応性イオンエッチング(RIE)又はディープ反応性イオンエッチング(DRIE),化学物質及びSiの酸化を使用したウエットエッチング、を使用してマイクロストラクチャ型柱体914を発生させる。熱酸化(幾つかの場合には好適である)、電気化学的陽極処理、及び/又はプラズマエンハンスト化学蒸着によって、表面パッシベーション用のネイティブシリコン酸化物を設けることが可能である。幾つかの実施例によれば、柱体914の熱酸化は該柱体の直径を微調整するために使用することも可能である。何故ならば、該酸化物はSi物質から形成されるからである。熱酸化は、又、表面を滑らかなものとさせるが、そのことは電気的特性にとって望ましいことである。図9(D)において、充填物916を使用してSi柱体914間の空間を充填又は部分的に充填させて、付着されるべき爾後のコンタクト層を支持する。充填物916は、スピンオンガラス、ポリイミド、窒化シリコン等の誘電体、又はシリコン酸化物とすることが可能であり、それは、例えば、プラズマエンハンスト化学蒸着を使用して付着させることが可能である。コンタクトが形成されると、充填物はその場に残存させるか又は該充填物をエッチャント又は溶媒で溶解させることにより除去することが可能である。充填物を除去することは、空気が比誘電率1であるから、装置の容量を更に減少させることの利点を有している。容量の減少は、RC時間の減少に起因して一層高い帯域幅で装置を動作させることを可能とする。図9(E)において、アノード及びカソードオーミックコンタクトメタリゼーション922,924,926を形成する。照明の方向に依存して、透明な導電性酸化物TCO932(インジウム錫酸化物ITO等)を使用することが可能である。頂部照明の場合には、ドライエッチを使用して柱体が充填物内に埋設されている場合には柱体を露出させることが可能である。TCO932は、次いで、Pシリコン柱体を先端部において又はPオーミックメタリゼーション922とコンタクトさせるために使用することが可能である。次いで、オーミックコンタクトメタリゼーションを、集積トポロジーに依存して、表面(924)又は底面(926)のいずれかの上にカソード(N)層へ適用する。
基板側(底部)から来る光学信号の場合には、ウエハを薄くさせる。その薄膜化がエッチングによって実施される場合には、酸化物層がエッチストップ層として作用することが可能であるように絶縁体上シリコン、即ちSOI/BOX、などの埋設型二酸化シリコン層が含まれる場合がある。最初に化学的機械的研磨によって100μmへ、次いで、光学信号が数μmのSiバルク物質を横断することが必要であるに過ぎないように、選択的エッチング除去可能な酸化物層へビアエッチ(via etch)することによってウエハを薄くさせることが可能である。反射損失を最小とさせるために、反射防止コーティング930を該Si表面へ付与する。オーミックメタリゼーションを該N層へ適用し、次いでボンドメタル924を付与する。マイクロストラクチャ型柱体上で、オーミックメタリゼーションをP先端部へ付与し(予備ステップにおいて既に付与されていない場合)、次いで、ボンドメタル922を付与する。幾つかの実施例によれば、光学信号が基板表面(即ち、底部)から入ることの利点は、光が跳ね返り且つ柱体構造体内において2度移動し、従って量子効率を増加させることである。幾つかのその他の実施例によれば、通過時間を改善させるために柱体は一層短くさせることが可能である。
幾つかの実施例によれば、光学信号は、導波モードにおいてか又はフリースペースにおいてかのいずれかにおいて、図52に示した如く、エッジ即ち端部又は縁部から入ることも可能である。エッジ適用例においては、TCO932及び固体のアノードオーミックコンタクトが使用されない場合があり且つウエハ薄膜化が行われない場合がある。その代わりにカソードオーミックコンタクトが該構成体を完成させる。幾つかの実施例によれば、エッジアプローチにおいては、柱体は、光学照射の捕獲を最適化させるために、それらの密度が一様ではないように配置される。例えば、光学反射を減少させるために、Vパターンで配置させることが可能である。
図9(A)乃至(D)に図示されていないものは、APD920の直径を画定するために使用されるメサエッチングステップである。幾つかの実施例によれば、イオン注入などのその他の方法を使用することも可能である。注意すべきことであるが、該マイクロストラクチャ自身は横方向ではなく垂直方向において良好な電流の閉じ込めを提供する。説明の便宜上示されていないその他の既知の処理ステップは、露出された表面の熱酸化パッシベーション、ガードリングの付加、ウエハの薄膜化、反射防止コーティングの付加、オーミックコンタクトのアニーリング、及び充填物の除去のためのビア穴の形成等を包含している。
バルクS の低吸収定数を利用する。III−V物資で構成される高速フォトダイオードは、しばしば、装置の周波数応答に対して劣化を発生させる場合がある高電界領域の外側での光発生されるキャリアを最小とさせるためにPIN構造のi層内においてのみ光キャリアが発生されるように構成される。このことは、III−V物質においては容易に行うことが可能である。何故ならば、InPに対して格子整合されたInGaAsP,InGaAs,InAs等の多数の三元又は四元物質が存在しており、且つこれらの合金のバンドギャップは、P+アノード812及びP電荷808層が殆どトランスペアレントであり且つi層が吸収性であるように構成へ変更させることによって変えることが可能だからである。しかしながら、シリコンの場合には簡単ではない。というのは、シリコンに格子整合されている物質が存在しないからである。ゲルマニウムをシリコン上に成長させることが可能であるが、格子不整合を受け付けるためにバッファ層を付加することとなる。
幾つかの実施例によれば、シリコンにおけるこの問題を解消するための方法は、シリコンのバンドギャップ近くのラディエーション即ち放射においてシリコンの低い吸収定数を利用することである。幾つかの実施例によれば、ウエハはSOI構造の絶縁体に対して薄くさせることが可能であり、且つ850nm光学信号は1−2μmのバルクシリコンを通過するだけで入射ラディエーションの約10%以下を失う。該ラディエーションの残りはマイクロストラクチャ型柱体シリコンへ継続して進み、そこでは、吸収は多分共振効果に起因して一層強い。幾つかの実施例によれば、柱体の形状は、円形、楕円形、矩形、シェブロン、六角形、複円形、三日月形、星形、又は吸収及び回収効率を最適化させるための任意の形状とすることが可能である。柱体直径は50−1200nmの範囲(最小寸法は50nmとすることが可能であり且つ最大寸法は1200nmとすることが可能)であり、且つ一様に又は非一様に、周期的に又は非周期的に離隔させるか、チャープ(chirped)させるか、又は局所的に又は全体的にAPD/PD性能及び適用例を最適化させるためのパターンとさせることが可能である。柱体間の間隔は20−2000nmとすることが可能である。マイクロストラクチャ型柱体は、例えば850,880,980,1000nm等のシリコンバンドギャップ近くの特定の波長に対して帯域幅及び効率に対して最適化させて、長さを100乃至10000nmとすることが可能である。
幾つかの実施例によれば、単一のPD/APD内のマイクロストラクチャの複数の寸法(構造及び間隔の両方)は、向上される吸収係数が一層広い光学的スペクトルにわたるものであるように、マイクロストラクチャの共振帯域幅を広げることが可能である。
波長が1100nm(1.1eVSi間接バンドギャップ)に近づくと、基板の吸収性は低下する。
幾つかの実施例によれば、マイクロストラクチャ型柱体/穴/ボイドは、シリコン基板の表面に対して垂直ではない配向を有することが可能である。垂直からずれたその他の配向、又は複数の配向、又は光学信号の指向性に関してQEを最適化させるためにランダム又は擬似ランダムなものも可能である。マイクロストラクチャの複数及び/又はランダム又は擬似ランダムな配向においては、装置はPV適用例において有益的である光照明の方向に対しての感受性は一層少なくなる。或る適用例においては、例えば、他の光学信号源からのクロストークを回避するため又はそのQEを更に向上させるためにPD/APDのアンテナ状の高い指向性が望ましい場合がある。
幾つかの実施例によれば、柱体アレイの代わりにマイクロストラクチャ型穴アレイがシリコンにおける光トラップとして使用される。マイクロストラクチャ穴アレイはマイクロストラクチャ型柱体と同様の特性を具備している。例えば、リン等の光発電用途用シリコンナノワイヤ及びナノホールアレイにおける光吸収の向上、太陽エネルギ変換のための次世代(Nano)フォトニック及びセル技術、ルーカス・ツアカラコス編集、プロシーディング・オブ・SPIE(Lin et al., Optical absorption enhancement in silicon nanowire and nanohole arrays for photovoltaic applications, Next Generation (Nano) Photonic and Cell Technologies for Solar Energy Conversion, edited by Loucas Tsakalakos, Proc. of SPIE)、Vol.7772,77721G(2010)を参照のこと。いくつかの実施例によれば、ここで記載した如くにマイクロストラクチャ型柱体アレイをエッチングする代わりに、表面パッシベーションのための熱酸化、電気的分離のための深いイオン注入、光学的フィールドの閉じ込め、及び容量の減少等を含む該柱体のためのRIE/DRIE及び同様の処理を使用して、マイクロストラクチャ型穴アレイをエッチングする。穴アレイの場合には、充填物が穴の全長にわたって延在することは必要ではない場合がある。例えば、充填物の表面張力が高い場合には、穴を充填物で一部を充填する場合も可能であり、且つ装置構造を完成するために必要である場合があるTCO、メタル、酸化物、シリサイド、合金等の爾後の層に対する支持を提供する。幾つかの実施例によれば、マイクロストラクチャ型穴の場合には、PD/APD/PV装置において充填物を使用することは無い。何故ならば、表面は、マイクロストラクチャ型柱体の場合における如く複数の島状部から構成されるものではなく、連続的だからである。
幾つかの実施例によれば、マイクロストラクチャ型穴は50−3000nmの直径を有することが可能であり、且つ正方形、六角形、楕円形、矩形、シェブロン形、複円形、とすることが可能であり、50nmにおける最小寸法から約3000nmの最大寸法に亘る。穴(隣接端部)間の間隔は規則的又は不規則的なもの又は20−2000nmにおけるパターンとすることが可能である。穴の深さ(即ち、長さ)は100乃至10000nmとすることが可能であり且つ一様な深さ又は非一様な深さのものとすることが可能である。寸法は、特定の波長及び適用例に対しての最適な吸収、量子効率、帯域幅及びラディエーション回収効率に対して選択される。
前述した如く、本発明の吸収レジームにおける高コントラストグレーチングを最適化させるために、二酸化シリコンの屈折率がSi、Ge又はIII−V/II−VI物質の屈折率よりも一層低いBOX又はSOI等のより低い屈折率の物質上にマイクロストラクチャ型の向上された吸収構造を有することが望ましい場合がある。幾つかの実施例によれば、実効屈折率は、基板内にボイドを包含させたマイクロストラクチャの屈折率よりも一層低くさせることが可能である。図10(A)及び(B)は、幾つかの実施例に基づいて、N−Si内にマイクロストラクチャ型ボイドを具備する頂部照明型マイクロストラクチャ型フォトダイオード、アバランシェフォトダイオード、及び/又は光電池(PV)の様相を示した断面図である。ボイドは、以下に説明するように、基板内に、即ち吸収係数を向上させるためのマイクロストラクチャとして、包含させることが可能である。Si,Ge,III−V物質の光学スペクトルにおける屈折率は、典型的に、3−3.6の範囲であり、SOI等の二酸化シリコン及び体積において物質の50%であるボイドを使用することにより、その屈折率即ち実効屈折率は、バルク半導体のものの約0.5へ減少させることが可能である。物質(例えば、Si)に対するボイドの体積の比を調節することによって、実効屈折率は、バルク物質のものの数%乃至90%を越えるものへ調節することが可能である。ボイドは、それが単に空気、気体、又は真空ではなく、ガラス、ポリマー、誘電体、酸化物又は例えば光学的及び/又は電気的利得を有し光学的及び/又は電気的に受動的及び/又は能動的である非晶質半導体等の誘電体であるようにその他の物質で充填させることが可能である。
図10(A)は、記載した如くN−Si層1006の屈折率を実効的に減少させる複数のマイクロストラクチャ型ボイド1008を含むN−Si層1006を包含する複数の層1000を示している。図10(B)は、マイクロストラクチャ型柱体1024及び/又は穴1022、及び透明の導電性酸化物層1026を包含する頂部照明型PD/APD/PV1020を示している。マイクロストラクチャ型ボイド1008はランダム又はパターン型とすることが可能である。マイクロストラクチャ型ボイド1008は、該ボイド1008が950−1100nm等の損失が低い波長においてHCG効果を有するべくパターン形成されている場合には、フォトンを吸収性の「I」領域へ反射して戻すために使用することが可能である。幾つかの実施例によれば、BOX又はSOI基板も、ボイドと共に又はボイド無しで、又はBOX/SOI無しで使用することも可能である。
幾つかの実施例によれば、ここに記載するマイクロストラクチャ技術はヘテロエピタキシャル物質(Si上III−V、Si上Ge,又はSi上II−VI)へも適用される。Siよりも一層小さなバンドギャップを有するGe(300Kにおいて0.66eVのバンドギャップ)は、850及び1300nmにおける光学信号を検知するために使用することが可能である。ここに記載する技術を使用して、Ge装置は1550−1600nmにおける波長を具備する光学信号を検知するために構成することが可能であり、それはIII−V物質を基礎とした光検知器を置換することが可能である。幾つかの実施例によれば、マイクロストラクチャはナノ/マイクロワイヤなどとして成長されるか、又はリソグラフィマスクで又はリソグラフィマスク無しで、バルク物質からエッチングされる。
Ge薄膜はSi上にエピタキシャル的に成長させることが可能である。例えば、カン等、1.3μm光検知用のエピタキシャル的に成長させたGe/Siアバランシェフォトダイオード(Kang et al., Epitaxially-grown Ge/Si avalanche photodiodes for 1.3 μm light detection)、2008年6月23日、Vol.16、No.13/OPTICS EXPRESS 9365(以後、「カン等」)を参照すると良く、その場合には、APDが30μm直径装置用の1310nm波長動作用に製造されている。1μmGe吸収長を具備する該装置のQEは56%に過ぎず、一方、ここに記載されている実施例に基づくマイクロストラクチャ型柱体/穴/ボイドを使用することによって、30μm直径PD/APDに対して約30Gb/s以上の帯域幅で1300−1600nm波長において約90%以上のQEを達成することが可能である。この様な装置は、データセンター、ローカルエリアネットワークにおいて、及び地下鉄及び長距離光学データ/テレコミュニケーションにおいて有用な適用場面を見出すことが可能である。幾つかの実施例によれば、シリコン上の集積化が使用され、そのことは、製造及びパッケージングにおいて顕著なコストの減少をもたらす。それと比較して、カン等においては、30μm直径APDは1310nmにおいて約15Gb/s帯域幅に到達することが可能であるに過ぎず、且つ、バルク物質の低い吸収のために、帯域幅における顕著な減少を犠牲にすること無しに、波長を拡張させることは不可能である。
図11(A)及び(B)は、幾つかの実施例に基づくSi上GeのヘテロエピタキシャルAPD構造の様相を示した断面図である。層1100及びAPD装置1120はSi上Geの可能なヘテロエピタキシャルAPD構造(異なるドーピング濃度でのシリコンのみが使用されているホモエピタキシャルAPD/PD構造の上述した説明と対比)を示している。マイクロストラクチャ型柱体/穴アレイを製造するために、ホモエピタキシャルSi・APDにおけるものと同様の処理方法が使用される。幾つかの実施例によれば、バルクGeの吸収定数は70×を越えて、例えば73−172×だけ向上される。幾つかの実施例によれば、30Gb/sを越える帯域幅を具備するAPD及びPDを、1750nmまでの波長及び約90%以上のQEにおいて30μm以下のアクティブな直径を具備する装置において達成することが可能である。このことは、基板表面に対して垂直であるか又は垂直からずれた照明での既存のSi上Ge・APDと比較して著しい改良である。
幾つかの実施例によれば、マイクロストラクチャ型柱体及び穴(及びその変形例)アレイは、Si、Ge、Si上Ge、Si上SiGe、Ge上SiGe内に製造することが可能であり、それはSiと、Geと、SiGeとの任意の組合せとすることが可能である。
一層長い波長1200−1800nmにおいては、Geは0.66eVのバンドギャップを有しているのでGe(ゲルマニウム)フォトダイオードがしばしば使用される(一方、Siは1.1eVのバンドギャップを有している)。しかしながら、高帯域幅適用例(20Gb/s以上)の場合には、通過時間が約30ピコ秒である場合(約20Gb/s(f(3dB)=0.44/τ、尚、τは「I」領域を介しての通過時間)の帯域幅を有するためには1×10V/cmにおいて電子飽和移動速度は6×10cm/sである)、吸収領域即ち「I」領域の長さは約2ミクロンへ制限されるという事実に起因して、Geフォトダイオードは1350nmまで良好であるに過ぎない。この長さにおいては、1550及び1600nmにおけるQEは10%未満であり、それは、通常、データ通信及び遠隔通信適用のための光学的受信機にとって許容可能なものではない。導波路形態において、吸収領域の長さは50−100ミクロンとすることが可能であり尚且つQEが90%以上で20Gb/sを達成する。導波路Si上Geフォトダイオード構造は他の研究グループによって報告されているが、この様な導波路フォトダイオードは導波路損失及び結合損失(光学信号の光学導波路に対する結合)に起因する過剰な光学損失を有しており、それは3dB程高いものとなる場合があり、又は光学信号の半分が喪失される。マイクロストラクチャ型フォトダイオード及びアバランシェフォトダイオードに対する光学信号の「フリースペース」結合(その場合には、光学信号は、レンズ、回折要素、光パイプ、光学導波路等の要素を使用してPD/APDへ指向される)は光学損失が一層低く、典型的には数%以下である。その理由の一つは、マイクロストラクチャ型PD/APDの大きな面積であり、それは直径が30ミクロンであるが、それに対して、導波路フォトダイオードの寸法は数ミクロンであって、典型的には1−2ミクロンである。導波路PD/APDにおいては結合損失が高いだけではなく、それはしばしばアクティブアライメント(active alignment)使用しており、そのことは光学信号の導波路PD/APD内への結合をモニタするために導波路PD/APDがターンオンされることを必要とする。このアクティブアライメントは遅く且つ時間がかかり、そのことはどのような光学受信機モジュールにおいても導波路PD/APDのパッケージングに対するコストを増加させる。対照的に、幾つかの実施例に基づくマイクロストラクチャ型PD/APDはパッシブアライメント(passive alignment)を使用するに過ぎず、その場合には、マイクロストラクチャ型PD/APDをターンオンさせること無しにアライメントがなされる。この様なアライメントは一層高速であり且つ容易であって、そのことはどのような光学受信機モジュールにおいてもマイクロストラクチャ型PD/APDのコストを減少させる。注意すべきことであるが、マイクロストラクチャ型PD/APDの実効容量がバルクPD/APDのものよりも10乃至80%又はそれ以上だけ著しく一層低いので、マイクロストラクチャ型PD/APDの面積はそれに対応して10乃至80%又はそれ以上だけ一層大きなものとすることが可能である。
図11(B)において、底部照明型のマイクロストラクチャ型Si上Ge柱体/穴APD構造1120が示されている。APD・Si上Ge用のエピタキシャル層が使用されており、その場合に、電子・正孔対を発生させるための光吸収はGe内において行われ、且つ電荷キャリアの利得/増倍はSi内において行われる。エピタキシャル的に成長される層は、以下の層組成範囲(図11(A)に図示)を具備するSi上Geバルク(薄膜)APDと同様である。Nは未ドープ、又は低ドープ(20Ω・cm)Si基板1102であり、それは又SOI又はBOXとすることも可能であって、底部照明用にSi基板を除去することを可能とし及び/又は屈折率を減少させる。SOI又はBOX基板が使用される場合には、Nオーミックコンタクト及びボンドメタルは増倍I領域に隣接したN−Si表面上である。Nコンタクト層1104は2−8ミクロンでN>5.1018cm−3とすることが可能である。I増倍層(即ち利得層)1106は未ドープ(意図的にドープしていない)で0.2−1.0ミクロン厚さで且つ1<1.1016cm−3とすることが可能である。P電荷層1108は0.05−0.2ミクロン厚さでP≒1−6.1017cm−3とすることが可能である。P低温Geバッファ層1110は約0.01−0.04ミクロンの厚さで、Pが約1.1016cm−3未満である。I又はP吸収層1112は0.2−2.0ミクロンの厚さで、I(又はP)<1.1016cm−3である。最後に、Pコンタクト層1114は0.05−0.2ミクロンの厚さで、P>約2.1020cm−3である。
APD動作用に層1100の全てが成長されたウエハで開始するが、処理の詳細は説明の便宜上割愛する。関与する最初の基本ステップは、電子ビーム、熱又はスパッタリング付着を使用してpオーミックコンタクトメタル層1126を付着することである。複数の柱体又は穴からなるマイクロストラクチャパターンを、柱体か又は穴かに依存してポジティブ又はネガティブ又は画像反転フォトレジスト方法を使用して、ドライ又はウエットエッチングのいずれかのためのエッチマスクを形成するためにフォトリソグラフィによって画定させる。幾つかの実施例によれば、インプリントリソグラフィ(imprint lithography)を使用することが可能である。該マイクロストラクチャパターンは、夫々、P及びI(又はP)及びPGe層1114,1112,1110上で、PSi層1108へ部分的に又は完全にエッチされる(例えば、RIE及びDRIEを使用する選択的ドライエッチングのためのSF,CF,BCl,Cl等のフッ素、塩素、臭素をベースとした気体を使用)。GeとSiとの間のエッチ選択性は、気体混合物、圧力、温度及びバイアス、及びパワーを調節することによってGeをSiよりも70倍一層早くエッチするために、70程度高いものとすることが可能である。マイクロストラクチャパターン(柱体又は穴)の側壁は誘電体又はSiGeでパッシベートされ且つSiが表面上に残存するように次第にGe含有量を減少させ(例えば、原子層付着ALDを使用して)且つその後に熱酸化を使用してダングリングボンド及びその他の表面欠陥に起因する表面状態を介して光発生されたキャリアの表面再結合を最小とさせる。幾つかの実施例によれば、Si酸化物及びSi窒化物のALD等のその他のパッシベーション技術を適用することも可能である。スピンオンガラス、ポリイミド、又はSi酸化物及びSi窒化物等の誘電体のPECVD付着を使用して平坦化を実施する。エッチバックを実施してP層を露出させる。マイクロストラクチャ型穴及び柱体の場合、柱体間の空間及び穴を部分的に充填するためにポリイミド又はスピンオンガラスを使用することによって、完全に平坦化することは必要では無い場合がある。オーミック及び/又はボンドコンタクトをP層及びN層上に形成する。上部照明型光検知の場合、光学信号がマイクロストラクチャパターンが形成されるエピタキシャル表面上に入るので、透明な導電性酸化物1126(該透明な導電性酸化物はマイクロストラクチャ型穴の場合には使用されない場合がある)を付着させて全てのPオーミックメタル層(柱体の場合)を接続させる。電圧バイアスをPGe層1114(アノード)と−2乃至―45VのNSi層1104(カソード)との間に印加する。APD1120は逆バイアスモードで動作させて、両方の「I」層を可及的に空乏化させる。アニーリング、表面準備、オーミックコンタクトの付着、ボンドメタル、RIE、DRIE、ウエットエッチング、エッチング用マスク、マスク除去、反射防止コーティング、マイクロストラクチャ型層上のブラッグ(Bragg)反射器などのミラー、等の多くの詳細な処理ステップは割愛している。P及びN半導体へのオーミックコンタクトメタリゼーション及びボンドメタリゼーションは当該技術において周知であり、その詳細についてはここでは割愛してある。又、正孔(hole)は荷電された電気的キャリアとして電子・正孔対におけるものとして使用されており、且つ穴(hole)はマイクロストラクチャ型穴等の物理的なボイドにおけるものとして使用されている。「hole」という用語が、荷電された電気的粒子を意味しているか又は物理的なボイドを意味しているかは、それが使用されている文脈から理解されるべきである。
幾つかの実施例によれば、マイクロストラクチャ型柱体又は穴のGe表面及び電界に露呈される全てのGe表面は、電流が流れることが可能で及び/又は電荷が形成されるので、パッシベーションを行って電流の漏洩を減少させ、キャリアー、特に光発生された電子及び正孔のキャリアー、に対する潜在的なシンク又は再結合中心となる場合がある表面状態を最小とさせ(Siにおける如く、過剰な漏洩電流又は光発生されたキャリアに対する再結合中心又はキャリアシンクを発生させる場合がある全てのシリコン表面をパッシベーションするために使用されるSiO及びSiOを形成するための熱酸化又は迅速熱酸化)、及びGeOはネイティブのGeオキシナイトライドであり、それは2段階で形成され、即ち、Geマイクロストラクチャ型柱体及び/又は穴が最初に酸素へ露呈され、次いで、迅速熱アニール器においてアンモニア雰囲気へ露呈されてGeオキシナイトライドパッシベーション層が形成される。これらのパッシベーション方法は当該技術において周知である。
図12は幾つかの実施例に基づいてマイクロストラクチャ上のGe表面に対するパッシベーション方法の様相を例示した断面図である。PD及び/又はAPD用のマイクロストラクチャ型Ge柱体1202(又は穴)が図示されている。Ge表面上にはSiGe層1210がコンフォーマルにエピタキシャル成長されている。表面上においてはSiのみが露出されるまでGe含有量は層1210内において次第に減少されている。次いでSiの熱酸化が用いられて露出されたSi表面をパッシベーションさせ、SiO層1212を形成して、特にQEを劣化させる場合がある光発生されたキャリア(電子及び正孔)等のキャリアに対する潜在的なシンクとなる場合がある表面状態及び漏洩電流を最小とさせる。
図13(A)及び(B)は、幾つかの実施例に基づいて、Si上Geに基づくフォトダイオード及び/又はアバランシェフォトダイオードに対するGeバルク吸収係数のマイクロストラクチャによる向上の効果を示したグラフである。幾つかの実施例によれば、1550及び1600nmにおけるマイクロストラクチャ型柱体/穴を具備するPD/APD装置においてバルク吸収係数の73×の向上が得られている。図13(A)は帯域幅対「d」即ち1550nm(点1310)及び1600nm(点1312)に対する「I」層の長さを示している。注意すべきことであるが、APDはGe「I」層とSi「I」層の両方を具備しており、一方PDの場合はGe「I」層のみを具備している。図13(B)はQE対「d」即ち1550nm(点1320)及び1600nm(点1332)に対する「I」層長さを示している。理解されるように、30ミクロン直径アクティブ領域PD/APDの場合、40及び31Gb/sの帯域幅を、夫々、1550nm及び1600nm光学波長において90%のQEで達成することが可能である。この結果は従来構成のバルクGeフォトダイオード及びアバランシェフォトダイオードでは達成不可能なものであった。
光発生e−h(電子−正孔)対を吸収するためにGeマイクロストラクチャを使用することと好適には電子であるキャリアのアバランシェ増倍のためにSiを使用することとの分離は、増倍領域(印加逆バイアス電圧の関数である利得領域)内に注入される電子に対するイオン化比(正孔イオン化率/電子イオン化率として定義)がIII−V物質群におけるよりも一層低いという事実に起因して非常にノイズの低いAPDが得られることとなる。この結果、過剰雑音係数が低いということは有益的に高い信号対ノイズ比(S/N)となる。
図14(A)及び(B)は、幾つかの実施例に基づくマイクロストラクチャ型柱体/穴を具備する頂部照明型Si上Geアバランシェフォトダイオードの様相を示している断面図である。図14(A)はバルク層1400を示している。図14(B)において、頂部照明型マイクロストラクチャ型Si上GeのAPD1420が示されており、半透明の導電性酸化物1426が、上述した如く、Geマイクロストラクチャ型柱体1424(穴1422)上のPオーミックを接続している。頂部照明型及び底部照明型の両方のマイクロストラクチャ型Si上Geは同様のエピタキシャル構造を共有している。頂部照明型及び底部照明型のマイクロストラクチャ型APDの処理ステップは類似している。主要な差異は、頂部照明型APD(又はPD)用の透明の導電性酸化物が吸収I層へ向かって光学信号を反射して戻すミラーとして作用する不透明のオーミック/ボンドメタリゼーションと置換されていることである。幾つかの実施例によれば、該ミラーはブラッグ反射器から構成することも可能であり、該反射器はマイクロストラクチャへウエハボンドされるか又は光学信号の90%を超えて反射性を向上させるために誘電体又は導電性メタル誘電体などとしてエピタキシャル的に又は真空で付着される。更に、底部照明型PD/APDはウインド又はビアを必要とする場合があり、その箇所でSi基板の殆どが除去されて光学信号の波長に依存して光学損失を減少させる。
図15(A)乃至(C)は幾つかの実施例に基づくマイクロストラクチャ型柱体/穴を具備する底部照明型Si上Geアバランシェフォトダイオードの様相を例示した断面図である。図15(A)はマイクロストラクチャ(柱体及び/又は穴)を形成する前のバルク層1500を示している。図15(B)は1200−1800nmからの波長に対して底部(基板側)から照明する光学信号と共にSi上Geマイクロストラクチャ型APD1520を示している。注意すべきことであるが、Siはこれらの波長において基本的にトランスペアレント、即ち透明、である。幾つかの実施例によれば、光学損失を更に減少させるために、Siウエハは照明区域においてのみ薄くさせることが可能であり、例えば、直径が50−500ミクロンのビアを介して該SiのN層に対して薄くさせることが可能であり、又はSi基板1502はBOX又はSOI層1502を含むことが可能である。次いで、Si基板1502は選択的にエッチング除去することが可能なBOX又は絶縁体層1504に対して選択的に薄くさせることが可能である。幾つかの実施例によれば、反射を最小とさせるために反射防止層(不図示)を付加させることが可能である。この構成の利点は、光学信号が二重の跳ね返りを有することであり、GeP層1514上のメタリゼーション1526で反射して再度Ge「I」吸収領域1512を横断することである。例えば、図13(A)及び(B)に示した如く、同一の吸収長、Ge「I」長、を維持し、1550及び1600nmの両方に対するQEは、反射損失を無視可能であると仮定して、光学信号によるSi基板側(底部)照明に対して約90%以上である。幾つかの実施例によれば、Ge「I」吸収長は、帯域幅を増加させ尚且つ許容可能なQEを維持するために、更に短くさせることが可能である。
Si上にエピタキシャル的に成長されたGeの場合、そのバルク吸収係数はGeバルク自身(Si上に成長されたものではない)のものよりも一層大きく、1750nmにおいて、Si上Geの吸収係数は約100cm−1である。幾つかの実施例によれば、このことはマイクロストラクチャ型柱体及び/又は穴によって172倍向上させることが可能である。その結果得られる向上されたSi上Geマイクロストラクチャ型柱体及び/又は穴の吸収係数は約1.72.10cm−1となる場合がある。
図15(C)はAPD1540を示しており、その場合には、マイクロストラクチャはずーとNカソード層1506へ延在していて容量及び屈折率を更に減少させている。この場合には、吸収用のi層1512は電荷層1510及びi増倍層1508と同じくマイクロストラクチャ型となっている。幾つかの実施例によれば、マイクロストラクチャは電荷層1510及び増倍層1508内に部分的に形成することも可能である。更に、幾つかの実施例によれば、吸収層1512及び増倍層1508におけるマイクロストラクチャは同一であることは必要ではない。図15(D)において、フォトンが増倍領域内に電子・正孔対を発生させることが可能であり、そのことは利得の増加に寄与するばかりか過剰ノイズに寄与する場合がある。幾つかの実施例によれば、マイクロストラクチャAPDを使用する吸収及び増倍領域は電荷層を使用すること無しに一つの領域に結合される。このことは吸収が向上されることに起因して可能なものであり、吸収及び増倍の長さは1ミクロン以下の程度とすることが可能であり、妥当なアバランシェ電圧を可能とする。
図15(D)はマイクロストラクチャ型APD1560を示しており、そこでは、幾つかの実施例に基づいて、吸収及び増倍領域は単一の領域1566、柱体又は穴、である。このことは吸収係数を向上させるマイクロストラクチャによって可能とされており、従って1ミクロン未満の吸収用マイクロストラクチャ長が約90%以上のQEを達成するために必要とされ且つアバランシェ増倍もこの物質長内において発生することが可能である。例えば、図15(D)に示したマイクロストラクチャ型Siの0.5μmが層1566の厚さである場合には、後方照明型APD1560は光学信号の二重の跳ね返りを可能として該光学信号はNオーミックコンタクト及びボンドメタリゼーションで反射し、その結果実効長さが1ミクロンの吸収長となる。SiAPD1560は0.5μm増倍長において動作することが可能であり、その結果−5乃至−50ボルトの範囲の逆バイアスで3dB以上の利得となる。幾つかの実施例によれば、図15(D)に示した構造は、Ge、Si上Ge、III−V、Si上又はSi上ではないIII−V等のその他の物質系へ適用させることが可能である。
図16(A)及び(B)は、幾つかの実施例に基づいて、Si上Geに基づくシングルパス(single pass)及びダブルパス(double pass)フォトダイオード及び/又はアバランシェフォトダイオードを比較するグラフである。点1610及び1620はdが約1.4ミクロンであるシングルパスに対する帯域幅及びQEの値を示しており、且つ点1612及び1622は約0.7ミクロンの一層薄いdであるシングルパスに対しての帯域幅及びQEの値を示している。ダブルパスの場合には、装置は帯域幅に対して点1612を又QEに対して点1622を有している。1750nm光学信号波長において、Si上Geに対して100/cmの吸収係数データを使用し且つ、例えば、マイクロストラクチャ型Si上Geフォトダイオード/アバランシェフォトダイオード構造に起因する172倍(172×)の向上係数でもって、27Gb/sの帯域幅及び約90%程度に高いQEを、シングルパスに対して約1.4ミクロンの「I」長さ(「d」でもある)で、達成することが可能である(頂部照明型−その場合には、光学信号は頂部のGeマイクロストラクチャ型側を照明する)。前述した如く、フォトダイオードの場合には、「I」又は「d」が吸収長であり、一方、アバランシェフォトダイオードの場合には、「I」が結合吸収長であり且つ増倍長である。例えば、APDが27Gb/sを達成するためには、全「I」長は1.4ミクロンへ制限される必要がある。ダブルパス構成を使用することが可能であり、その場合には、例えば、吸収長は0.9ミクロンであり且つ増倍は0.5ミクロンであって、90%を超えるQEを達成するために吸収長を実効的に1.8ミクロンとさせている。しかしながら、その代わりに、光学信号をSi基板側から照明するようにさせた場合には、Si基板及びSi層は基本的に透明であり且つ、例えば、1200−1800nm波長からのラディエーション即ち放射に対してSiのバンドギャップ(1.1eV又はdB/cm)より低い光学放射エネルギにおいての光学損失は最小である(約1−3dB/cm)。Si基板側(底部)から照明することの利点は、Pコンタクト層上のメタリゼーションが反射性(そうあるべきであるというのは、それはAu,Al,Ag,Cr,Zn,Ti,Ta,Ge又はこれらのメタル又は半導体の組合せからなるメタリックだからである)である場合には、光学信号はGe「I」吸収領域においてダブルパスを有することが可能であるということである。マイクロストラクチャへウエハボンドされているか又はマイクロストラクチャ上に付着されている酸化物、窒化物、塩化物、半導体からの交番する屈折率を具備する物質からなるブラッグ反射器も組み込むことが可能である。反射率は90%よりも一層大きいことが可能である。ダブルパスの場合、図16(A)及び(B)に示した如く、40Gb/sの帯域幅を約90%以上の高いQEと共に達成することが可能である。
図17(A)及び(B)は、幾つかの実施例に基づいて、700乃至1800nmの範囲の信号波長での適用例に対してのマイクロストラクチャ型柱体/穴を具備する頂部照明型Si上Geフォトダイオード構造の様相を示した断面図である。図17(A)はバルク層1700を示している。図17(B)において、Si上Geのマイクロストラクチャ型柱体1724及び/又は穴1722はフォトダイオード1720用の形態にある。これは、基本的には、P−I−N構造であって、その場合に、「P」及び「I」はSi上に成長させたGeのバルク吸収係数を向上させるためにマイクロストラクチャ型柱体1724及び/又は穴1722を具備するGeである。Si上に成長されたGe(Si上Ge)の吸収係数における増加は、Geをその上に成長させたSiホスト基板との格子不整合に起因してGeエピタキシャル層内に発生されたストレスに起因するものと考えられる。Si上GeのAPDの場合における如く、光学信号はマイクロストラクチャ型側(図17(B)における如く)からか又は基板側(図18(B)における如く)から照明させることが可能である。
図18(A)及び(B)は、幾つかの実施例に基づいて、1200−1800nmの範囲の信号波長での適用例に対するマイクロストラクチャ型柱体/穴を具備する底部照明型Si上Geフォトダイオード構造の様相を示した断面図である。図18(A)はバルク層1800を示している。図18(B)は300Kにおいて1.1eVのSiバンドギャップよりも一層小さな光エネルギでSi基板側から(底部照明)の信号照明用の形態とされたフォトダイオード1820を示している。対応する波長範囲は1200乃至1800nmである。オーミックコンタクト1826はミラーとして作用することも可能であり、従って光はGe吸収用マイクロストラクチャを介してダブルパスを行い、その場合にPGe上のメタルコンタクト層は光学信号をGe「I」吸収層へ向かって反射させることが可能である。
注意すべきことであるが、P−I−N構造の説明の全てにおいて、幾つかの実施例によれば、N−I−P構造がP基板上又は低ドープP基板上又は未ドープ基板上に形成される如くPとNとは交換される。幾つかの実施例によれば、APD構造に対するN及びPも交換可能であり、且つ基板はP、低ドープP、又は未ドープとすることが可能である。しかしながら、Si増倍層において、最も低いノイズ及び最高のS/Nを達成するためには、電子が可及的に支配的であるようにして増倍領域内へ注入される。何故ならば、Siにおいては、電子のイオン化率は正孔のイオン化率よりも一層高いからである。Siに対するイオン化率は0.06乃至0.002の範囲であり、一方、Ge及びInGaAsの場合には、夫々、0.9及び0.45である。このことが、ここに記載される実施例の多くにおいて、向上される吸収はGeマイクロストラクチャにおいてであり、且つ利得即ち増倍(それは電界、従って、ここに記載した装置の場合には−10乃至−60Vの範囲である印加逆バイアス電圧、に依存する)はSiにおいてであることの理由である。
幾つかの実施例によれば、Si及びSi上Geのフォトダイオード(PD)及びアバランシェフォトダイオード(APD)用のマイクロストラクチャ型柱体及び/又は穴は比較的高価なIII−Vフォトダイオードを置換させるために使用される。Siマイクロストラクチャ型柱体及び穴PD/APDは、20Gb/s以上の帯域幅で且つ90%以上のQEで500−1800nmから動作することが可能である。特に、730−980nmのデータ通信波長において可能である。SiPD及びAPD上にエピタキシャル的に成長されたGe上のマイクロストラクチャ型柱体及び/又は穴は、20Gb/s以上の帯域幅で且つ90%以上のQEで動作することが可能である。特に、1250−1600nm及び多分1750nmまでの遠隔通信波長において可能である。従って、ここに記載した技術は、従来のSi及びSi上Geのフリースペース結合型フォトダイオード及びアバランシェフォトダイオードの波長、帯域幅、及びQEを拡張させる。
幾つかの実施例によれば、マイクロストラクチャ型柱体は、Si基板上のGeナノワイヤのVLS(蒸気−液体−固体エピタキシー)成長を使用して製造することも可能である。他の人達は、化学的蒸着(CVD)又は金属有機化学的蒸着(MOCVD)を使用して、例えば、VLSエピタキシャル成長のための触媒としてのAuナノ粒子で、Si(111)配向上に垂直Geナノワイヤの成長を実証している。(111)以外の結晶配向、又は多結晶における如く複数の配向を具備する物質を使用することが可能である。Si,Ge,III−V,ガラス,石英,メタル,等の物質も基板として使用することが可能である。幾つかの実施例によれば、Si(111)基板上に約2nmの薄いGeバッファ層が、CVD反応器におけるVLS成長のための触媒としてのAuナノ粒子と共に、形成される。Au触媒は、Si基板上にコーティングされたフォトレジストを露出させるためのリソグラフィマスクを使用して付着させることが可能である。Auはパターン形成されたフォトレジストでSi基板上に蒸着される。リフトオフ等の標準の処理方法を使用して、AuパターンをSi基板上に残存させ、それは、次いで、Si基板上のGeVLS成長用の触媒となる。幾つかの実施例によれば、それは選択的区域成長を使用して成長させることも可能であり、その場合には、誘電体マスクが複数のボイドからなるパターンでSi基板上に付着され、それはCVDを使用してGeが該ボイド内に成長することを可能とする。
バルクフォトダイオードの表面上の複数の柱体及び穴からなるマイクロストラクチャは、バルク物質(何らのマイクロストラクチャ型特徴無しで且つ格子不整合に起因するストレスが最小であるように格子整合した基板上に成長された物質)の吸収係数の10−200倍の範囲の係数だけ吸収係数を向上させるばかりではなく、PD及びAPD等の装置の容量を著しく減少させる。容量における減少は、PD又はAPDが一層短い長さを有することを可能とさせ、そのことは、光発生されたキャリアが高電界領域から掃き出される通過時間を一層高速なものとさせる。該吸収領域は、典型的に、約10,000V/cm以上の電界強度で外部的に印加される逆バイアス電圧によって可及的に空乏化される。マイクロストラクチャ型柱体又は穴が半導体物質の半分以上を除去することとなる場合には、シリコンの比誘電率は11.9であり且つゲルマニウムの比誘電率は16であるので、その除去した物質の部分を空気(比誘電率は1)又はポリイミド(比誘電率は3.5)又は低い比誘電率のその他の誘電体で置換させると、全体的な容量は、該半導体によって占められる全面積に起因する容量と、空気又はポリイミドによって占められる面積に起因する容量との和となるものと推定することが可能である。C(全体)=C(半導体)+C(空気又はポリイミド)、尚C=εA/dであって、εは比誘電率×フリースペース誘電率(8.854 10−14farads/cm)であり、Aは半導体又は空気/ポリイミドによって占められている面積であり、且つdは吸収領域であるか又はAPDの場合におけるように吸収及び増倍領域とすることが可能な「I」領域の長さである。C(全体)に対する式から、高い比誘電率を有する半導体物質を除去し且つ空気/ポリイミド/SiOx/スピンオンガラス等の低い誘電体物質で置換させればさせるほど、全体的な容量は一層低下し且つRC時間は一層早くなる。このPD及びAPD発明に対する容量の減少は、III−V物質のものなどの他のPD及びAPDへ拡張させることが可能であり、マイクロストラクチャはその表面上に製造させることが可能であり且つ光学信号吸収領域内へ及びそれを通過して半導体物質の半分以上を除去してその容量を減少させ且つ実効的に一層大きな面積のPD/APDとさせ且つ装置上にマイクロストラクチャをエッチングする前と同じ帯域幅を有するものとさせることが可能である。一層大きな面積は光学信号を光検知器に対する結合を一層容易なものとさせることが可能である。例えば、半導体物質を低誘電物質で置換させることによって半径を増加させる簡単な式は、r=(ε/((ε−ε)x+ε)))1/2であり、尚、xは残された半導体面積の割合であり、εは半導体の比誘電率であり、εは空気又はポリイミド又はスピンオンガラス等の低誘電物質であり、且つrはマイクロストラクチャ型柱体及び/又は穴をエッチングする前のPD/APDのアクティブ
区域の半径である。1例として、ε=16での20ミクロン直径Geフォトダイオードの場合で且つ、説明の便宜上、ε=1(空気)及びx=0.5(半導体物質の半分がマイクロストラクチャ型柱体又は穴において除去されている)とすると、マイクロストラクチャの無いGePDと容量が同じ場合の新たな半径における増加は13ミクロン、即ち直径で26ミクロンであり、それは20ミクロンよりも著しく一層大きく且つ光学信号をマイクロストラクチャ型大面積光検知器内への結合コストを著しく減少させることが可能である。このことは、光学受信器モジュールの受動的パッケージングのコストを低下させ且つ歩留まりを増加させる。
図19(A),(B),(C)は、幾つかの実施例に基づいて、同じ帯域幅及びQEで一層大きな面積のフォトダイオードを製造することが可能であるようにマイクロストラクチャがどのようにしてフォトダイオードの容量をどのようにして減少させるかを例示した模式図である。図19(A)はInP−InGaAs−InP構造におけるバルク層1900を示している。図19(B)において、マイクロストラクチャ型穴1922を具備するInP−InGaAs−InP層はフォトダイオード1920の容量を実効的に減少させて同一の帯域幅及びQEで一層大きな面積のフォトダイオードを製造させることを可能とする。図19(C)はPD1920を示した平面図である。マイクロストラクチャ型穴1922は直径が100−1000nmで深さが0.1乃至3ミクロンとさせることが可能である。図19(C)においては、コーティングリング1930及びボンドパッド1932も示されている。幾つかの実施例によれば、相補的なマイクロストラクチャ型柱体を製造することも可能である。幾つかの実施例によれば、InP及びInGaAsの側壁は、SiO、SiNx(ALD又はPECVDを介してのシリコン酸化物及びシリコン窒化物)、ポリイミド、又は標準の処理方法を使用してスピンオンさせることが可能なスピンオンガラス、でパッシベーションさせることが可能である。バルク物質の吸収係数は最大で2桁以上だけ向上させることが可能である。
幾つかの実施例によれば、InP,InGaAs,InGaAsP,InAs,InSb,GaSb,Si上InGaSb,Si上Ge等のIII−V物質が、Au,Cu,Al,Ti,W,Fe,Cr等から構成することが可能な触媒と共に又は無しで有機金属気相成長法(metal organic vapor epitaxy)を使用してサブマイクロメートル選択的エリア成長(SAG)又は蒸気液体固体(VLS)(例えば、サーカー等、シリコン上のInPナノワイヤのヘテロエピタキシャル成長ダイナミックス、ジャーナル・オブ・ナノフォトニクス(Sakar et al., Heteroepitaxial growth dynamics of InP nanowires on silicon, Journal of Nanophotonics)、Vol.2,021775(2008年2月12日);ロエスト等、シリコン上の位置制御型エピタキシャルIII−Vナノワイヤ、ナノテクノロジー(Roest, et al., Position-controlled epitaxial III-V nanowires on silicon, Nanotechnology)17(2006)S271−S275を参照のこと)ヘテロエピタキシーでエピタキシャル的に成長される。又、シリコン上に成長される垂直に配向されたマイクロワイヤの場合、表面配向(111)を具備するウエハが選択される。マイクロワイヤが表面に対して垂直であることは必要ではなく、それは垂直がずれていても良く且つ複数の配向の混合を有するものでも良い。
VLS成長において、ヘテロエピタキシャル成長直前のAPD又はPD用の層構造を有するシリコンウエハは、10−5000nmの範囲で10−3000nm離隔されたアパーチャでフォトリソグラフィ(又は電子ビーム又はナノインプリントリソグラフィ)によってパターン形成される。Au付着(電子ビーム、又は熱蒸着)が0.1−10nmの厚さで続き、それに続いて、リフトオフ、MOCVD反応器内でのVLSエピタキシャル成長が行われる。
SAG成長の場合(例えば、チュー等、選択的区域MOCVDにより成長されたウルツ鉱InPナノワイヤアレイ、フィジックス・ステイタス・ソリディ(Chu et al., Wurtzite InP nanowire arrays grown by selective area MOCVD, Phys. Status Solidi)C7,No.10,2494−2497(2010)を参照のこと)、50nmSiNが、ヘテロエピタキシャル成長の準備のために必要なAPD又はPDエピタキシャル層がその上に成長された(111)配向のSiウエハ上に、PECVD(又はスパッタ又はALD)付着される。リソグラフィ(フォト、電子ビーム又はナノインプリント)を使用して、10−5000nmの範囲の寸法及び10−10000nm離隔させてアパーチャを画定し、次いでドライエッチング(RIE)を行ってシリコン表面へのビアを開放させる。次いで、該ウエハはMOCVD反応器においてGe,III−VマイクロワイヤのSAGのための準備がなされる。
表面処理、表面準備、アニーリング、プラズマエッチング、等の詳細な処理は説明の便宜上割愛してある。これらの処理は文献において見出すことが可能である。
図19(D)及び(E)は、幾つかの実施例に基づいて、P−I−Nシリコン増倍層上に成長されるIII−V吸収層を有するAPD装置を製造する様相を示した断面図である。図19(D)において、SiAPD構造1940がIII−V物質のvエピタキシャル成長のために図示した如くにエッチされる。図19(E)において、III−V吸収層がAPD装置1960用のP−I−Nシリコン増倍層上に成長される。PD装置用のSi上III−Vにおける如く、III−Vナノワイヤ又はマイクロワイヤを、触媒を使用するか又は使用しないで、VLSプロセスを使用してSi上に成長させることが可能である。Si上のナノ/マイクロワイヤのフットプリント即ち占有面積は1ミクロンの程度であるので、格子不整合からのストレスに起因する欠陥は顕著な問題ではなく、且つ高品質のIII−Vナノ/マイクロワイヤをSi上に成長させることが可能である。幾つかの実施例によれば、Si電子利得層上にIII−Vマイクロストラクチャを製造するためにSAGが使用される。
図19(D)及び19(E)から、SiAPD上InGaAs1960はSiAPD構造1940で開始することを理解することが可能であり、その場合に、複数の穴又は柱体からなるマイクロストラクチャは電荷層1944まで又は電荷層1944の直前までエッチされる。幾つかの実施例によれば、マイクロストラクチャ穴及び/又は柱体は増倍層1942内にエッチさせることが可能である。次いで、例えばSAG等のプロセスを使用して、Siマイクロストラクチャの側壁上にシリコン酸化物がある状態又は無い状態で、I−InGaAsが成長され、その表面においてP−InGaAsで終了する。表面成長は、高品質の連続するP−InGaAs膜を形成するためにエピタキシャル横方向過剰成長(ELOG)等のプロセスを使用することが可能である。Pオーミック合金及びボンドメタル1966を形成し且つP−InGaAs上でアニールする。背面処理は、選択的エッチストップのために例えばBOX層を使用し、且つ該BOX層を除去してSiウエハを薄くすることからなる。反射を減少させるために背面シリコン上に反射防止膜をコーティングすることが可能である。Nオーミック1968をNSi層上に形成する。光学信号は底部から照明される。−5乃至−50ボルトの逆バイアスがカソードとアノードとの間に印加される。前述した如く、10平方ミクロン程度のSiマイクロストラクチャ上のInGaAsの小さなフットプリントは高品質のInGaAs物質を成長させることを可能とし、その場合に、結晶欠陥を発生させる格子不整合はそれほどのものではない。
幾つかの実施例によれば、Ge、III−VとSiPD、PV(図25(C)参照)及びAPDとの集積化は成熟したSi電子的プラットフォーム上でのGe及びIII−V物質の吸収スペクトルを可能とさせる。それは、例えば、光検知器、発光ダイオード(LED)やレーザダイオード(LD)等の光源、及びトランジスタ等のIII−VエレクトロニクスのためにIII−VとSiCMOSICとの統合を可能とさせる。
マルチ吸収物質及びマイクロストラクチャとしてのボイド。幾つかの実施例によれば、バルク吸収係数を向上させるために、SiとGeの両方がマイクロストラクチャ型であるようにマイクロストラクチャ型柱体及び/又は穴がGeで再成長される。幾つかの実施例によれば、表面が一層平坦であるように埋設型/埋込型マイクロストラクチャを発生させるためにボイドも埋設型又は埋込型とすることが可能である。マイクロストラクチャ型ボイドは、反射を向上させ且つ又物質(例えば、Si)の実効屈折率を減少させ、装置の重量を減少させ、且つ機械的柔軟性を増加させるようにパターン形成させることによって、吸収係数を向上させることも可能である。マイクロストラクチャ型ボイドはランダム、擬似ランダム、又は典型的にほぼ波長又はサブ波長の程度での寸法及び間隔でパターン化させることが可能である。幾つかの実施例によれば、GeをSiと結合させる代わりに、例えばIII−VとSi又はその他の半導体物質、ポリマー、又は単一の吸収体のものよりも吸収スペクトルを顕著に拡大することが可能なその他の複数の非半導体吸収体、等のその他の組合せを使用することが可能である。
ナノワイヤ(例えば、ガーネット等、シリコンナノワイヤ太陽電池における光トラッピング、ナノレターズ(Garnett et al., Light trapping in silicon nanowire solar cells, Nano Letters)、2010,10,1082−1087;ケルゼンバーグ等、光電池適用用Siワイヤアレイにおける向上させた吸収及びキャリア回収、ネイチャーマテリアルズ(Kelzenberg et al., Enhanced absorption and carrier collection in Si wire arrays for photovoltaic applications, Nature Materials)、9巻、2010年3月、239−244を参照)及びナノホール(例えば、リン等、光電池適用用シリコンナノワイヤ及びナノホールアレイにおける光学的吸収の向上、プロシーディング・オブ・SPIE(Line et al., Optical absorption enhancement in silicon nanowire and nanohole arrays for photovoltaic applications, Proceeding of SPIE)、7772巻、77721G−1,2010を参照)を使用しての向上は、種々のグループによって報告されている。既知の光トラッピングのためのナノワイヤの使用は光電池適用のためであり、その場合に、光発生されたキャリアは、DC(直流)で動作し外部バイアスがゼロでのP−N接合のアノードとカソードとへ拡散する。ここに記載した実施例の多くに従って、光発生されたキャリアは、高変調帯域幅(例えば、10Gb/s帯域幅以上)及び高量子効率のための及び高電流利得(例えば3dBを越える利得)用のAPDのためのP−I−Nダイオード(PD)又はP−I−P−I−Nダイオード(APD)の吸収用「i」領域における外部逆バイアスで掃引される。更に、幾つかの実施例によれば、マルチ吸収物質が電流源として並列に接続され、一方、既知の技術においては、複数の吸収物質は直列に接続される。更に、ここに記載される多数の実施例に基づいて物質の吸収を向上させるためにマイクロストラクチャとしてボイドを使用することは、表面を一層平坦とさせ且つ充填物を回避する可能性があるので処理を簡単化させることを可能とする。又、一層平坦な表面は半導体ブラッグ反射器のエピタキシャル成長がPD/APDのI吸収層におけるダブル及びマルチのパスによる吸収のための反射を向上させることを可能とする。幾つかの実施例によれば、誘電体ブラッグ反射器を一層平坦な表面において使用することが可能である。何故ならば、該表面は高度にN又はPドープさせることが可能であり且つオーミックコンタクトはリングオーミックコンタクト等のように周辺部上に構成させることが可能であり、且つ誘電体ブラッグ反射器を図29に示した如くに感光区域上に付着させることが可能だからである。埋設型ボイドを具備するエピタキシャル層の表面を滑らかにさせるために化学的機械的研磨(CMP)を使用することが可能である。
Siマイクロストラクチャ内に埋設されているか又は埋め込まれているマイクロストラクチャ型Geを有する装置を製造する更なる様相について説明する。図20は、幾つかの実施例に基づいて、Siマイクロストラクチャ内に埋設されているか又は埋め込まれているマイクロストラクチャ型Geを有する装置のための最終的なP−Si層無しでのバルク層を示している。最終的なP−Si層は、幾つかの実施例によれば、エピタキシャル構造内に成長される最後の層であり、その場合に、成長される最初の層は基板に隣接した層であり、それに続く第2の層は該最初の層に隣接しており、以下同じ様に最後に成長される層まで続く。図20に示されているものはP−I−NPD構造2000及びP−I−P−I−NAPD構造2020であり、両方共最終のP−Si層は無しである。ここに記載される実施例の多くにおいて、NはN(5.1016cm−3乃至8.1017cm−3の中間N型ドーピング、1.1017cm−3未満の低Nドーピング、及び5.1017cm−3を越える高Nドーピング)のことを意味しており、Pドーピングについても同じである。I(又はi)ドーピングは、通常、イントリンシック(真性)即ち意図的にドープしていないもの又は5.1016cm−3未満の低ドーピングバックグラウンドドーピングとして画定される。「I」領域は、通常は、外部逆バイアスで「I」領域を横断してP−I−N接合の電界が発生されるような形態とされる。PD及びAPD適用例においては、吸収用物質は「I」領域内にあり、従って光発生されたキャリアは迅速にアノード(P)層及びカソード(N)層へ掃引させることが可能である。APDの場合、キャリア(ここに示される殆どの場合において電子、何故ならばこれは最も低いノイズAPDを与えるからである)はカソード層へ移動する前に増倍(電流利得)用の第2「I」領域へ掃引される。ここでの図においては、P−I−N、P−I−P−I−N構造が示されており、且つ外部回路と接続されている頂部P層(複数)はアノードと呼称され且つ外部回路と接続されているN層(複数)はカソードと呼称され、これらの層はPからN及びNからPへ交換させることが可能であり、P又は低ドープ基板は又PD及びAPDを製造する。
図21は、幾つかの実施例に基づいて、Siマイクロストラクチャ内に埋設又は埋込れたマイクロストラクチャ型Geを有する装置用のマイクロストラクチャ型柱体及び/又は穴のエッチングを示した断面図である。マイクロストラクチャ型柱体2104及び/又は穴2102は、I−Si層内に、部分的に又は完全に又はN(PD構造)又はP(APD構造)Si層へ又はその中へエッチされる。このエッチングプロセスは、ウエット化学的エッチング、反応性イオンエッチング(RIE)を使用するドライエッチング、ディープ反応性イオンエッチング(DRIE)、イオンミリング、及び/又はフォーカストイオンビームミリングの内の一つとすることが可能である。このエッチングは、等方的、又は非等方的、又は等方的と非等方的との組合せとすることが可能である。図22及び23に示される如く、Geは、次いで、エッチされた領域(即ち、柱体の場合には、柱体の周囲および柱体の側壁上の区域、又は穴の場合には、穴内及び穴の側壁の区域)内に再成長されるが、その場合に、等方的に、又は非等方的に、又は選択的区域成長(SAG)によって再成長され、その場合に、SiOx、SiNx等の誘電体層がGeの再成長が所望されない区域(それは幾つかの実施例においては側壁を包含する場合がある)を被覆する。エッチング期間中に、SiOx,SiNx,SiCx等の誘電体マスク物質が使用され且つエピタキシャル成長期間中に所定箇所に残存される場合には、エピタキシー層は結晶膜内の誘電体マスク上に成長することは無く且つ性質的には非晶質である場合があるか又は何らかのデンドライト以外誘電体層上に成長することは無い。注意すべきことであるが、等方的又は非等方的成長レートは、しばしば、その上に成長する結晶面に依存し、且つなんらかのエッチされた構造は複数の結晶面を露出させる場合があり、その結果、これらの複数の面において異なる成長レートとなる場合があり、そのことはエッチした領域内に3Dマイクロストラクチャで非等方的成長を起こさせる場合がある。
Si上Geの成長は文献において良く文書化されており、その場合に、最初に、薄いバッファGe層が低温においてエピタキシャル的に成長され、次いで、化学的蒸着(CVD)、分子ビームエピタキシー(MBE)、原子層付着(ALD)、低圧CVD、及び/又は成長技術の組合せを使用して一層高い温度でのGeエピタキシャル成長させる。該成長技術の組合せとしては、例えば、該薄いGeバッファ層はMBEによって成長させることが可能であり、且つ該高品質の一層厚いGe膜はCVDによって成長させることが可能である。該薄いGeバッファ層は、格子不整合をSiとGeとの間において収容させることを可能とするためである(例えば、フェング等、大断面絶縁体上シリコン導波路とモノリシックに集積化された高速Ge光検知器(Feng et al., High-speed Ge photodetector monolithically integrated with large cross-section silicon-on-insulator waveguide)、アプライド・フィジックス・レターズ95、261105,2009を参照のこと)。
Ge再成長はボイド又は非平坦性を有する場合があり、且つ有用なものとする場合には、その表面を化学的機械的研磨(CMP)又はエッチングなどのポリシングを使用して平坦化させることが可能である。図22は、幾つかの実施例に基づいて、Ge「I」層をエッチした領域内に成長させ且つ平坦化させた後に、Siマイクロストラクチャ内に埋設又は埋込したマイクロストラクチャ型Geを有する装置を示している断面図である。注意すべきことであるが、幾つかの実施例によれば、平坦化が必要とされることは無く且つ省略される。Ge「I」層2202を成長させ且つ平坦化させ後に、PGeキャッピング層2204を成長させてPDのP−I−N構造又はAPDのP−I−P−I−N構造を完成させる。光学信号即ち400乃至1800nmの範囲の波長を具備するフォトンがアノード(頂部)側(P側)2202から照射することが可能であり、且つ光学信号即ち950−1800nmの範囲の波長を具備するフォトンが基板側(底部)から照射することが可能である。何故ならば、Siはこれらの波長においては殆ど非吸収性だからである。幾つかの実施例によれば、基板側から照射するように装置2220の形態とすることが有益的である。何故ならば、そのことはSi内に埋設されている吸収用のGeマイクロストラクチャ内において光学信号即ちフォトンがダブルバウンス即ち二重の跳ね返りを行うことを許容するからである。これらの図に示されているものは、基本的なエピタキシャル層構造に過ぎない。説明の便宜上示されていない構造及び層としては、反射防止コーティング、パッシベーション、オーミックメタル、ボンドメタリゼーション、CMOSに対するメタル相互接続体、電気的接続用のビア、マルチチャンネル光学的相互接続用のPD/APDのアレイ等がある。光学信号/フォトンは、表面に対して垂直であるか、又は垂直からずれた任意の角度であって、PD/APDのQE及び帯域幅を最適化させる最適なPD/APD性能のための回転角度を含む任意の角度、において照射することが可能である。
図23は、幾つかの実施例に基づいて、I−Ge再成長及び平坦化、P−Siのキャップ層の後のSiマイクロストラクチャ内に埋設又は埋込されているマイクロストラクチャ型Geを有する装置を示している断面図である。図23の場合においては、埋設されているGeマイクロストラクチャ2302はPSi層2304でキャップされている。SiPキャップ層(アノード)2304は950−1800nmの光学波長においての光学損失が低く、従って、PD/APD2320の高電界「I」領域内ではない光キャリアの発生は最小であり、且つPD/APD2320の周波数応答及びPD/APDのQEの劣化へ通ずる場合がある拡散光電流が最小であることに寄与する。
図20−23に示した埋設型又は埋込型Ge及びキャッピング層に対する或る寸法のドーピング範囲について、幾つかの実施例に基づいて、更に詳細に説明する。マイクロストラクチャ型Ge(例えば2202及び/又は2302)の寸法は、深さが0.1乃至5μmの範囲で、横方向寸法が10−5000nmの範囲で、且つ隣接するマイクロストラクチャ構造(該構造は同一又は非同一とすることが可能)間の間隔が接触(オーバーラップ)乃至5000nmの範囲とすることが可能である。Ge(2202及び/又は2302)及びSi(2104)の両方に対する「I」ドーピングは5.1016/cm以下である。「I」はしばしばイントリンシック即ち意図的にドープしたものではないものと呼称され且つp又はnのバックグラウンドドーピングのいずれかを有することが可能であり、そのドーピングレベルは、−2乃至−45ボルトの逆バイアス電圧が可動正孔又は電子(キャリア)の「I」領域を完全に空乏化させることが可能であるように十分に低いものである。キャッピング層Si(2340)又はGe(2204)の厚さは0.1乃至5μmの範囲とすることが可能であり、ドーピングレベルは6.1017/cm以上である。これらのパラメータはその他の値に調節させることが可能であるが、その目標とするところは所与の適用例に対してのPD/APDのQE及び帯域幅を増加させること又は最適化させることである。直列抵抗、光発生された拡散電流、オーミックコンタクト、容量、通過時間、「I」吸収領域の空乏化は、全て、PD/APDの性能に寄与する。更に、底部基板側から照射する光学信号に対して「I」領域内でのダブル/マルチバウンス即ち跳ね返りの場合に、反射性メタル又は異なる屈折率の交互の誘電体層からなるブラッグ反射器/ミラー又はHCGなどの誘電体スタックを使用して頂部アノード側において光学信号をマイクロストラクチャ型吸収領域内へ戻すために反射させることが可能である。図23におけるようなSiキャップ層の場合、光学信号はSiPキャップ層(アノード)2304を照明即ち入射することが可能である。何故ならば、Siは1100−1800nm(バンドギャップラディエーションより下)における損失は最小であるから、光を吸収領域内へ反射して戻すためにSiN層において又はSi基板においてエピタキシャル的に成長させたブラッグ反射器などの反射器を設けることによりマイクロストラクチャ型で埋設型/埋込型のGe2302内において光学信号のダブルバウンス即ち二重の跳ね返りを発生させることが可能である。
幾つかの実施例によれば、ここにおいてのマイクロストラクチャ型層の説明の全てに基づいて、光は、付加的に、頂部表面及び底部表面からのみならず、マイクロストラクチャの側部上にも、直接的に又は散乱、近接場効果、干渉、又は共振のいずれかによって入射することが可能であり、そのことは、マイクロストラクチャ型光検知器のQEを著しく改善させることが可能である。何故ならば、光発生されるキャリアは高電界吸収「I」(「I」)層において直接的に発生されるからである。
幾つかの実施例によれば、Si及びGe(4族元素)に対するドーパントの選択としては、(1)5族元素からのドナー(n型)の場合−アンチモニー、燐、及び砒素、及び(2)3族元素からのアクセプター(p型)の場合−ボロン、アルミニウム、及びガリウム等を包含する。
更に注意すべきことであるが、図23に示した構造において、Si2104内に埋設されているI−Geマイクロストラクチャ2302は、Geよりも一層低い屈折率を有するSiによって完全に取り囲まれている。1000−2000nm波長範囲において、Siの屈折率は約3.49であり且つGeの場合には4.1である。Ge/Siの屈折率比は1.17であり、従って、チャン−ハスナイン(Chang-Hasnain)文献によれば、HCG効果が存在する。吸収用Geマイクロストラクチャにおける共振及び電界集中は、バルク吸収係数値のものから1×よりも大きいものから数桁の大きさへ吸収係数を更に向上させることが可能である。該屈折率差も光を高屈折率物質、この場合にはGe、内に閉じ込め且つ集中させ、QEを更に改善させる。
シリコン(Si)マイクロストラクチャは300−1100nmの範囲の波長を有するフォトンを検知することが可能であり、且つゲルマニウム(Ge)マイクロストラクチャは300−1800nmの範囲の波長を有するフォトンを検知することが可能である。幾つかの実施例によれば、高帯域幅及び高QEのためには、短い波長300−600nmが「I」領域外側で光キャリアを発生する場合があり、その結果、最適ではない帯域幅及び/又はQEとなる場合がある拡散電流を発生させることとなる。帯域幅が問題ではない場合には、その劣化は非常に顕著なものではない場合がある。
図24(A)及び(B)は、幾つかの実施例に基づいて、I−Siマイクロストラクチャ内に埋設型/埋込型I−Ge吸収用マイクロストラクチャを具備するマイクロストラクチャ型APDの様相を示した断面図である。図24(A)はバルク層2400を示している。図24(B)において、エッチした柱体2424及び/又は穴2422はI−Ge(イントリンシック−Ge、即ち意図的にドープしていないか、又は未ドープであるか、又は低ドープGe)で充填されており且つPGe又はSiのキャッピング層2426で仕上げられていてAPD2420のアノードを完成している。マイクロストラクチャ型Ge2422はSi2422内に埋設/埋込されている。波長950−1800nmの光学信号(即ち、300−1800nmのフォトン、尚短い波長の300−900nmはそれほど高い帯域幅を有するものではない場合がある)は表面に対して垂直に及び/又は垂直に対して種々の角度で頂部又は底部のいずれかでAPD2420を照射することが可能である。幾つかの実施例によれば、エッチした領域内へのI−Ge再成長の前の開始用の層は、図7において層726で示した如く、PSi層2426を包含することも可能である。図24(B)に示した場合には、開始用の層はI−Ge再成長期間中のPドーパントの拡散を最小とさせるために除去されている。Siマイクロストラクチャにおける埋設型/埋込型のGeマイクロストラクチャはAPD(又はPD)2420が2つのレジームにおいて動作することを可能とする。第1レジームは、Siが低損失で且つGeが吸収性又は高損失(950−1800nm)である波長においてである。このSi低損失レジームにおいて、SiPアノードキャップ層2426を具備するGeマイクロストラクチャ2422はGeよりも屈折率が一層低いSi内に完全に埋設されている。Geマイクロストラクチャアレイは、約1乃至3000の範囲のQ(又はその他の共振、散乱、近接場、線形及び非線形光学効果)でのHCG低Q又は吸収係数の高い向上を有することが可能である。第2レジームはSiとGeとの両方が吸収、300−945nm、する場合であり、且つSiマイクロストラクチャ2424とGeマイクロストラクチャ2422の両方が並列的に動作し(並列電流発生器)、SiとGeの両方の吸収係数の向上を伴ってフォトンを吸収する。これは、例えば、光電池適用例において特に有益的である。
図25(A)−(C)は、幾つかの実施例に基づいて、Siマイクロストラクチャ型柱体及び/又は穴アレイにおいて埋設型/埋込型Geマイクロストラクチャを有するフォトダイオードの様相を示している断面図である。P層2526を具備するか又は具備することの無いSiPDエピタキシャル層構造2500で開始し、穴2522及び/又は柱体2524のマイクロストラクチャをSiP層2526及びI層2512内にエッチし、且つN層2510内に延在することが可能である。Siマイクロストラクチャ2524の側壁上にシリコン酸化物が有るか又は無い状態でSAGなどのプロセスを使用するか、又は触媒を使用するか又は使用せずにVLS等のプロセスを使用してGe2522(又はInGaAs,InAs,InSb,GaN,InGaN等のIII−V物質)の再成長を行うと、I−Ge層2522が成長され、それに続いて、表面においてPGe2526(又は頂部照射型装置の場合にはより適している場合があるP−Si層)が成長され、その場合に、連続するP−Ge膜を形成するためにELOG等のプロセスを使用することが可能である。Pオーミック合金、アニールを行い、続いてボンドメタリゼーションを行ってアノード用のコンタクト2528を完成する。前述した背面処理シリコン表面に施して反射を減少させる。例えば、図19(A)−(C)を参照すると良く、その場合には、シリコンを薄くさせ且つBOX層へ選択的にエッチしている)。該BOX層を選択的にエッチし且つ反射防止Nオーミック合金をN−Si層上に形成し、アニールし、続いてボンドメタリゼーションを行い、それはカソードコンタクトを完成する。高帯域幅PD適用例のために−2乃至−10ボルトの逆バイアスを該アノードとカソードとの間に印加させる。PV適用例においては、0バイアスが印加される。
幾つかの実施例によれば、寸法及びドーピングは図5(A)及び(B)に示したSiマイクロストラクチャ型PDに類似しており、I−Geドーピングレベルは5.1016cm−3以下であり、且つ寸法は、厚さが0.1乃至5μmの範囲であり、且つマイクロストラクチャの横方向寸法は10乃至5000nmの範囲であり且つ隣接する構造体は接触/オーバーラップしているものから5000nm分離しているものとすることが可能である。光学信号/フォトンはアノード頂部側か又はカソード底部側のいずれかから照明することが可能であり、波長は300−1800nmの範囲で且つ表面に対して垂直であるか又は回転を包含することも可能な垂直に対して或る角度で照明することが可能である。底部側照明の場合には、300−900nmの波長範囲において照明に対して数μmの厚さへ薄くさせる。例えば、以下の構造は示されていない、即ち、分離メサ、又は拡散又はイオン注入、パッシベーション、反射防止、オーミックメタル、ガードリング(例えば、APD用)。Siマイクロストラクチャ及びGeマイクロストラクチャの両方が、両方共に吸収性である300−1100nmの波長に対して並列的に光学信号/フォトンを検知することが可能であり、且つ900−1800nmの波長範囲においてはGeマイクロストラクチャのみである。図25(A)−(C)におけるキャップ層2526及び2546は、夫々、PSi又はPGeのいずれかとすることが可能である。10Gb/s(毎秒ギガビット)より大きな広変調帯域幅(時々単に帯域幅と呼称される)の場合には、PSiキャップ層が950乃至1800nmの範囲の波長に対して好適である。何故ならば、これらの波長において、Siの光学損失は低いからである(低損失とも呼称される)。幾つかの実施例によれば、図25(B)及び(C)に示した構造は光電池適用例にも使用することも可能であり、その場合には、逆方向に外部バイアスを意図的に印加することは無い。
幾つかの実施例によれば、図示した全ての構造に対して、PとNとを交換させて、例えば、PD及びPV(光電池)用にN−I−P構造及びAPD用にN−I−N−I−P構造を形成することが可能である。
SiにおけるGeマイクロストラクチャの再成長は、又、光電池装置における適用を有しており、その場合には、その装置は外部逆バイアス無しで動作される。例えば、Siマイクロストラクチャ内に埋設又は埋込されているGeマイクロストラクチャを具備するP−I−NのPD構造は、実効的に、2つのバンドギャップ、即ちSiバンドギャップ及びGeバンドギャップを有しており、それは太陽放射に露呈される。2つのバンドギャップの組合せは光電池装置の吸収スペクトルを広げ、従って、太陽エネルギのより広いスペクトルを回収することが可能である。これら2つのバンドギャップは、単一バンドギャップ光電池装置又は直列接続された複数の装置のものよりも、一層高いQE及び/又は短絡回路電流を有することが可能である。これらのマイクロストラクチャは又向上された吸収を有しており、それは光電池装置のQE及び/又は短絡回路電流を更に改善させることが可能である。AM0及びAM1.0における太陽スペクトルの場合で、300−1800nmのスペクトル幅を具備する光電池装置では、太陽スペクトルの少なくとも約90%が捕獲される。更に、PV装置においては、Ge及びSiマイクロストラクチャは、従来のPVにおけるように垂直に隣接(即ち、直列)しているのではなく、互いに横方向に隣接(即ち、並列)している。このことは、Si及びGeを太陽フォトンに対して均等に露呈させることを可能とし且つ加算的である並列電流発生器であり、即ちISi+IGe=Itotalであり、その場合に、ISiはSiマイクロストラクチャによって発生される光電流であり且つIGeはGeマイクロストラクチャによって発生される光電流であり、且つItotalは全電流である。
幾つかの実施例によれば、Si及びGeを使用することが記載されるが、幾つかのその他の実施例によれば、その他の物質が使用される。幾つかの実施例によれば、InPマイクロストラクチャが埋設されるか又は埋め込まれてSiが使用される。幾つかの実施例によれば、Si,Ge,InGaAs,InP,GaAs,GaN,InGaN,InSbなどの2つを越える物質が使用される。該マイクロストラクチャは、柱体及び穴に加えて、QEを更に改善し且つ製造コストを低下させるために物質の使用を最小とするために、バルク吸収係数を上回って吸収係数を向上させるためにHCGの効果を使用するために埋設型及び/又は埋込型とさせることが可能である。
図25(C)は、シリコンマイクロストラクチャ内に成長させたGe,InP,InGaAs等を示している断面図である。SAGを使用することが可能であり、その場合には、マイクロストラクチャの側壁は酸化され、即ちコンフォーマルな誘電体でコーティングされ、且つ酸化物/誘電体はマイクロストラクチャ面の底部において除去され、その場合に、それはシリコン基板に対してほぼ平行であるから、Ge,InP,InGaAsマイクロストラクチャの成長に対して結晶情報を与えることが可能である。VLS,MOCVD,CVDなどの種々の成長方法を使用して触媒を使用するか又は使用せずにGe,InP,InGaAsがエピタキシャル的に成長する面積は約100平方ミクロン以下であり、より典型的には、約0.3−3平方ミクロンである。面積が小さいので、格子不整合に起因する結晶欠陥は著しいものではない。熱成長させるか又はALDを使用して付着させることが可能な二酸化シリコンを具備する側壁は、SiとGe,InP,InGaAsSAG成長層との間に電気的絶縁を与える。注意すべきことであるが、Ge又はInP又はInGaAs(等)がシリコンマイクロストラクチャ上方で合体するまでSAG成長は継続することが可能であり、且つ横方向過剰成長(LOG)エピタキシャルプロセスの場合、Ge又はInP又はInGaAsのマイクロストラクチャは連続的なエピタキシャル薄膜層を形成することが可能であり、それはアノード層用にP型にドープさせることが可能である。
図25(D)及び(E)は、幾つかの実施例に基づいて、垂直キャビティ面発光レーザ(VCSEL)等の順方向バイアス型装置の様相を示している断面図である。VCSEL2562は、PD、PV及び/又はAPDに対して上述した如く同様の処理を使用して製造することも可能である。SiPIN構造2562で開始して、Siマイクロストラクチャ穴(複数)をI−Si層2566を介してN−Si層2568へエッチする。幾つかの実施例によれば、穴2564のエッチングはN−Si層2568内へ延在することが可能である。SAGプロセスが続くが、その場合にSiマイクロストラクチャ側壁上にシリコン酸化物が存在するか又は存在しない場合がある(酸化物2570が示されている)。最初に、全てN型のGaAs/AlGaAs分布型ブラッグ反射器2572(DBR)が成長され、続いてN−GaAs2574である。アクティブ層2576は1−5個のウエル(井戸)からなる未ドープInGaAs/GaAs多重量子井戸(multiple quantum well)MQWであり、次いで表面へのP−GaAs2578及びI−Si2566の表面に連続的な膜を形成することが可能なELOGを使用する。P−GaAs2580上の誘電体DBR2582は光学キャビティを完成する。アニールでのPオーミック合金及びP−GaAs2580上のボンドメタル2584がアノードコンタクトを完成し且つアニールでのNオーミックコンタクト及びN−Si2568上のボンドメタル2582がカソードコンタクトを完成する。該アノード及びカソードへの1乃至20ボルトの順方向バイアスはVCSEL2560から1200−1300nmの光を射出させることとなる。
1200−1300nm及びその他の波長においてのVCSELのデザイン及び成長は既知である。例えば、「有機金属気相成長法による高性能1200−nmInGaAs及び1300−nmInGaAsN量子井戸レーザ(High-performance 1200-nm InGaAs and 1300-nm InGaAsN quantum-well lasers by metalorganic chemical vapor deposition)」、タンスー等(Tansu et al.);量子エレクトロニクスにおける選択トピック、IEEEジャーナル・オブ(9巻、イシュー5)、2003年、を参照すると良い。
幾つかの実施例によれば、InGaAs,InGaAsN及びその他のIII−V物質を埋め込む場合には一層長い波長が選択される。何故ならば、1000nmよりも大きな波長においては、シリコンは殆どトランスペアレントであり且つ過剰な付加的な光学損失を導入するものではないからである。従って、SiはVCSEL2560用の良好なヒートシンクである。幾つかの実施例によれば、Siウエハを薄くさせ且つBOX層へエッチングし、該BOX層を除去し且つ誘電体DBRを付着させることによって、GsAs/AlGaAsDBR2572はシリコン/空気又は底部上の誘電体DBRと置換される。DBR、誘電体及び半導体のデザインは周知である。シリコン/空気DBRは、後にエッチトレンチを介して選択的にエッチング除去することが可能なGe等の犠牲層を使用して、更なる処理を必要とする。
幾つかの実施例によれば、DBRを除去し且つ複数のSiマイクロストラクチャを付加することを含む僅かな変更を適用してLEDが製造される。該LEDは、MQW又は、例えば、単にP−GaAs/I−InGaAs/N−GaAsの単純なヘテロ接合だけを使用することが可能である。InGaAsN,InGaAsP,GaAsN等のその他の半導体を使用することも可能である。基板は、サファイア、SOI、Si上絶縁体(IOS)、セラミック、ガラス、石英、GaN、結晶性又は非結晶性のもの、等の別の物質とすることが可能である。更に、エピタキシャル反応器及び上述した製造方法を使用して、GaN,AlGaN,GaInN,AINなどの青色/UV・LED用のその他の物質を成長させることが可能である。
幾つかの実施例によれば、Si又はGe上Si又はGe内に埋設又は埋込されたボイドを形成する。ここで使用される如く、「埋設(buried)」とはボイドが表面の下側である場合のことを意味しており、且つ「埋込(embedded)」とはボイドが表面を横切るか又は表面の僅かに上方である場合のことを意味している。上述した如く、柱体及び/又は穴のアレイでのマクロストラクチャ型Si上にGeの再成長期間中、エピタキシャル成長期間中の成長速度はしばしば表面の結晶配向に依存するという事実に起因してボイドが発生することが可能である。Si内のマイクロストラクチャ型柱体及び/又は穴のエッチングは側壁の異なる表面配向を露出させることが可能であるから、これらの異なるSi表面配向上のGeの非対象的成長速度がボイドを形成させることが可能となる。幾つかの実施例によれば、このボイドの形成を利用して埋設型及び/又は埋込型のマイクロストラクチャ型ボイドアレイを発生させ、それはHCG及び/又は光トラッピング構造として作用することが可能であり、それは光学フィールド(振幅及び位相)の干渉、散乱、近接場、線形及び非線形光学効果を介して光学フィールド及び/又は共振を集中させてバルク膜吸収係数を1桁乃至数桁だけ向上させる。更に、ボイドは或る物質(例えば、ボイドを具備するSi)の実効屈折率を減少させるために使用することが可能である。何故ならば、光学フィールドは平均の即ち実効屈折率を見るからである。1波長以下の程度の寸法において、例えば、ボイドとSiの屈折率は、Siによって占有される体積とボイドによって占有される体積の平均である実効屈折率で近似させることが可能である。例えば、Siが体積の1/2を占有し且つボイドが体積の1/2を占有する場合には、Siの屈折率は3.49であり且つボイドの屈折率は1.0(空気)であるから、その平均の実効屈折率は約2.25である。
ボイドは、又、それが「I」領域内に発生される場合には、上述した如く、装置の容量を減少させる。その場合には、マイクロストラクチャ型柱体又はマイクロストラクチャ型穴の間の空間がP−I−N・PDダイオード又はP−I−P−I−N・APDダイオードの容量を減少させることが可能である。幾つかの実施例に基づくボイドは、埋設型(埋設型穴)又は埋込型(柱体間の空間)のいずれかであり、全容量は約ボイドによって占有される全面積の容量+半導体によって占有されている全面積の容量である。ボイドが「I」層の厚さ全体を占有するものではない場合(即ち、その厚さの一部を占有するに過ぎない)、実効比誘電率は、「I」層の厚さを介して延在する半導体及びボイドの両方を含む体積、ボイドの体積、及び或る与えられた体積における半導体の体積を考慮することにより推定することが可能であり、例えば、ボイドが「I」層の厚さの或る与えられた体積の1/2を占有し且つ半導体物質が1/2を占有する場合で、且つ半導体物質が11.9の比誘電率を有するSiである場合には、平均の実効比誘電率は約6.5であり、その場合に、ボイドはその与えられた体積に対して比誘電率が1である空気であると仮定している。ボイドと半導体物質との両方から構成されるこの実効比誘電率によって占有される全面積は、容量計算及びP−I−N又はP−I−P−I−Nダイオードの全容量を与えるために「I」領域における半導体によってのみ占有される面積において使用される。容量の減少はダイオードのRC時定数における減少となり、そのことはPD及びAPDの帯域幅を改善する。
半導体物質における埋込型ボイドは既知であるが、この様なボイドは従来欠点であるとみなされており、そうであるから、回避すべきものとされている。幾つかの実施例によれば、この様なボイドが意図的に形成され且つ半導体物質における有用な特徴部とを形成する。
図26は、幾つかの実施例に基づいて、マイクロストラクチャ型ボイドを製造する開始時のエピタキシャル層を示した断面図である。PD、PV及びAPD構造内にボイドを発生させるために、構造2600で開始する。開始物質は、SiPアノード層を有するか又は有することの無いSiPD又はAPD構造とすることが可能である。該層の詳細は説明の便宜上示していない。
図27は、幾つかの実施例に基づいて、I−Si層内にエッチしたマイクロストラクチャ型穴/柱体を示している断面図である。マイクロストラクチャ型穴2722(又は柱体の周りの空間)はSi「I」層内にエッチされ且つ「I」層を通過して延在することが可能であり、又は「I」層内に部分的に延在することが可能である。ウエット及び/又はドライエッチングか又はウエットケミカルとドライエッチングとの組合せ(例えば、RIE、DRIE)を使用することが可能であり、その場合には、或る形状又は表面平滑性を形成するためのドライエッチング及び酸化と組み合わせて、ドライエッチング又はウエットエッチングに起因する損傷を除去するためにウエットエッチングを使用することが可能である。図27に示される如く、エッチは等方性又は非等方性とすることが可能であり、側壁は負又は正の勾配を有することが可能である(勾配は基板の面に関して定義される)。非等方性エッチングの場合、柱体又は穴等のエッチされた特徴部に対して負及び正の両方の勾配が存在する場合がある。非等方性エピタキシャル成長はボイドの形成となることが可能であり、そのボイドの形状は、側壁勾配、側壁の結晶配向、成長条件、圧力、温度、流量、成長技術(CVD、MBE、ALD又は成長方法の組合せ)、表面準備、及び表面酸化等を含む多くの要因によって決定される。幾つかの実施例によれば、表面酸化物、部分的酸化物又はその他の誘電体2726を側壁上に意図的に付着させることが可能であり、そのことはSi又はGe(又はInP、GaAs、InGaAs、GaN、InGaN等の何らかのその他の半導体)の再成長に影響する場合があり且つボイドを形成するために使用することが可能である。
図28は、幾つかの実施例に基づいて、SiキャッピングPアノード層2826を具備するSi内に埋設又は埋込されているマイクロストラクチャ型ボイドを示している断面図である。埋込型ボイド2800は一様に離隔させることが可能であり、又はチャープ(chirped)間隔で又は周期的及び非周期的間隔の組合せでの何らかの複雑なパターンで離隔させることが可能であり、又はそれはランダム又は擬似ランダムとさせることが可能である。埋設型ボイド2800は約0.1乃至10μmの範囲の長さ及び10−5000nmの範囲の横方向寸法を有することが可能である。該ボイドは互いにオーバーラップしたものとすることが可能である。図28は、幾つかの実施例に基づいて、Si内の埋設型ボイドを示しているが、埋設型ボイドはGe内に形成することも可能である。例えば、Si上Geエピタキシャル層、又はInP、GaAs、InGaAs、GaN、InGaN、InGaAsPなどのその他の半導体、及びIII−V物質群内のその他の半導体においても可能である。該ボイドはボイド内及び隣接ボイドにおいて寸法及び形状が非一様なものとすることが可能である。該ボイドは又非一様な間隔及び/又は配向を有することも可能である。しかしながら、適切な処理制御でもって、寸法及び形状における一様性、パターニング、ボイドのランダム及び擬似ランダム配置を達成することが可能である。説明の
便宜上、該ボイドは一様なものとして図示してある。
図29は、幾つかの実施例に基づいて、高度に反射性のミラーを与えるためにP−Si表面上に形成したブラッグ反射器を示している断面図である。より一層平坦な表面でもって、高度に反射性のブラッグ反射器2900をPシリコン層2826表面上に誘電体又は半導体を付着させるか又は成長させることが可能である。オーミックコンタクト及びボンドメタリゼーション2902は、図29に示した如く、リング形態における周辺部上とすることが可能である。底部から照明する光学信号は吸収I領域を介してダブル/マルチの通過を行い、それによりPD/APDのQE及び帯域幅を改善する。帯域幅が問題ではないPVにおいては、QEが改善される。幾つかの実施例によれば、ブラッグ反射器2900は光学信号を吸収I領域へ反射して戻すために波長選択性に対する形態とさせることが可能である。
幾つかの実施例によれば、ボイドはI領域内のみではなく、PINPD又はPVのP及び/又はN領域内、P電荷領域内、I増倍領域及び/又はAPDのPアノード及びNカソード内にも存在する。幾つかの実施例によれば、ボイドは基板内にも形成させることが可能である。各層内のボイドの密度、形状及び物質(ボイドは、真空、気体、ヘリウム、例えば光学利得のために希土類元素でのドーピングを有するか又は有することの無い誘電体、炭素/グラフェン/ダイアモンド、銅、熱伝導用セラミックス、等の異なる物質で充填させることが可能である)は異なるもの又は同じものとすることが可能であり、又は同一の層内において異なる/同じものとすることが可能である。
幾つかの実施例によれば、ボイドは、又、物質を一層機械的に柔軟なものとさせ且つ屈曲させ及び/又は異なる表面輪郭と同形とさせるために使用される。例えば、PV適用例においては、装置の大きなシートが製造され、該シートは、例えば、屋根における輪郭と同形とさせることが可能であり、又は半導体PVの該シートは配置させる前に格納しておくための小型化のためにロール状とさせることが可能である。幾つかの実施例によれば、0.01ミクロンと1000ミクロンとの間の寸法のボイドは、柔軟性及び/又は物理的損傷及び/又は損傷伝播に対する耐久性を増加させる目的のために光電池で使用する半導体及び/又はガラス物質内に導入される。
半導体における高密度ボイドは、クラック伝播に対しての耐久性もある。何故ならば、クラックは境界において終端し、この場合にはボイドの境界である。このことは、太陽電池などの大型の装置に対して有益的であり、その場合には、霰又は石等の物体が太陽電池に衝突する場合には、接触点、そして多分小さな隣接区域(例えば、衝突直径の5−50%)のみが損傷されて、その損傷は衝突区域に局所化されクラックが太陽電池全体にわたって伝播することはない。ソーラーパネル全体を取り外すこと無しに、最小の性能劣化で太陽電池はこの様な小さな損傷に耐えることが可能な場合がある。
幾つかの実施例によれば、ボイドは、ガラス、セラミックス、ポリマー、メタル、プラスチックス、などのその他の物質内にも形成されて異物が衝突する場合におけるクラック伝播を回避する。何故ならば、クラックは境界において終端するものであり、この場合においてはボイドにおける境界だからである。
図30は、幾つかの実施例に基づいて、吸収を向上させ、バルク屈折率を減少させ、容量を減少させ且つ半導体物質上のPVの大きなシートの機械的柔軟性を増加させるためにマイクロストラクチャ型ボイドを具備するPINPD/PV構造3000の1例を示している。そのSi基板が除去されて、PINエピタキシャル的に成長された層と共にBOX/SOI層のみを残している。オーミックコンタクト、ボンドメタリゼーション、反射防止コーティング、パッシベーション、は説明の便宜上示していない。PVに加えて、この技術はPD、APD、発光ダイオード及びダイオードレーザ等の光射出器、に対しても適用可能であり、且つCMOS及びASIC(応用特定集積回路)等の電子集積回路にも適用可能であって、その場合には、ボイドはその物質を一層柔軟性のあり且つ一層軽いものとさせるばかりか、前述した如く、クラックに対して一層耐久性のあるものとさせる。幾つかの実施例によれば、該ボイドはゲルで充填させることが可能であり、該ゲルは衝突損傷を修復し且つ露出されクラックが発生した端部を封止して、例えば、半導体表面に対する湿気に起因する更なる劣化を防止する。該ゲルは、例えば、スピンオンガラスとすることが可能である。幾つかの実施例によれば、該ボイドは、例えば、熱伝導率を向上させるための物質で充填することが可能であり、シリコンを除去し且つ例えばAr,He,Xe,N,Neガス又は真空などの損失性で無い物質で置換することによって光学又はマイクロ波損失の減少を向上させることが可能である。該ボイドは種々の寸法及び形状及び密度を有することが可能であり、且つランダム、擬似ランダム、非周期的、周期的、チャープ、単一又はマルチのパターンとすることが可能である。該ボイドは、又、装置の単一の層又は複数の層内とすることが可能である。図30は、例えば、P−Si層3002、I−Si層3004、及びN−Si層3006及び3008内のボイドを示している。幾つかの実施例によれば、最も上の表面近くの屈折率は、入射光の反射を減少させるために、周囲の空気とより実効的に屈折率が「一致」するように変更させることが可能である。例えば、PV適用例においては、表面近くのボイドは、PV表面から入射太陽光が反射が少なくなるように屈折率を一致させるために使用することが可能である。幾つかの実施例によれば、より下位の表面における屈折率は、例えば、吸収領域を介して光が通過する回数を増加させるように内部反射を増加させるべく変更させることが可能である。
幾つかの実施例によれば、何らのマスク無しで半導体の表面をドライエッチング/電気化学的エッチングすることによってランダムなボイドを発生させることも可能である。半導体ナノワイヤを発生し、次いでこれらのランダムなナノワイヤ上にエピタキシャル成長させると埋設型ボイドが得られる。フラジターグ等の「埋設型ボイドを包含する薄膜の成長(Frajtag et al. Growing thin films that contain embedded voids)」、2011年6月23日、SPIEニューズルーム;DOI:10.1117/2.1201105.003750、において記載されているように、この方法は、サファイア、Si、SOI、IOS等とすることが可能な基板とGaNエピタキシャル層との格子不整合に起因する欠陥形成を最小とさせるためにGaN発光ダイオードにおいて使用することが可能である。何らのマスク無しでの半導体(それは、Si、Ge、InP,GaAs,InGaAs,InGaAsP,GaN,InGaN,InSb等のIII−V物質群とすることが可能である)の表面のドライエッチングによる半導体ナノワイヤのランダム形成及びその後の該ナノワイヤ上の例えばCVDを使用してのエピタキシャル的な再成長でランダムな埋設型ボイドを形成することは、該ボイドがPD,APDの「I」吸収層及び/又はP、N層、及び/又は電荷増倍層において帯域幅、QE及びエネルギ消費において装置の性能を最適化させるために任意の組合せで形成される場合には、該半導体の実効屈折率を減少させ且つP−I−N,P−I−P−I−Nダイオードの実効容量を減少させるために使用することが可能である。
図31は、幾つかの実施例に基づいて、埋設型/埋込型ボイドを具備する再成長させたI−Geを示している断面図である。Siマイクロストラクチャ型柱体及び/又は穴上でのエピタキシャル的なGe3104の再成長は、イントリンシック又は意図的にはドープしていないか又は図27−28に示した方法を使用して低ドープしたGe層内にボイド3102を発生させる。更に、例えば、Ge再成長等の選択した区域内にのみナノワイヤを発生させるためにSiOx、SiNx等の誘電体で選択的マスキングを使用することが可能であり、且つGeの付加的な再成長で、選択したGe区域内にのみランダムなボイドを埋設させることが可能である。ランダムなボイドはパターン化したボイドと組み合わせて帯域幅、量子効率、及び電力消費の点で装置性能を最適化させることが可能である。図31に示したマイクロストラクチャは、Geマイクロストラクチャとボイドマイクロストラクチャとを組み合わせてバルク吸収係数を向上させ且つダイオードの実効容量を減少させる。
図32は、幾つかの実施例に基づいて、再成長させたI−Geを具備しており且つエピタキシャル層によってキャップされているI−Si内に埋設型のマイクロストラクチャ型ボイドを有する装置を示している断面図である。図32に見られる如く、埋設型/埋込型のマイクロストラクチャボイド3202はI−Si層3200内とすることが可能であり、一方、Geマイクロストラクチャ3104も2,3の付加的な処理ステップを使用してSi層3200内に埋設/埋込されている。最初に、ボイド3202はP−Siアノード層3226と共に又は無しで図28における如くに埋設/埋込されている。次いで、マイクロストラクチャを再度ボイドを具備するI−Si層3200内にエッチし、次いで、Ge3104を再成長させると、Si層3200内のボイド3202を具備するマイクロストラクチャとGeマイクロストラクチャ3104となって、バルク吸収係数を向上させ且つダイオードの容量を減少させることとなる。図示されていないものは、もしもI−Si層3200がI−Geで置換され、次いでPGeがアノード層3226をキャッピングする場合には、該マイクロストラクチャボイドは、図28における如く、Si上Ge層用のGe内に完全に埋設/埋込させることも可能である。
該埋設/埋込したマイクロストラクチャボイドは、吸収を有する逆HCG構造、即ち損失性のHCG構造であり、且つ共振、位相及び振幅の両方の建設的及び破壊的光学干渉効果を有することが可能であり、そのことはバルク吸収係数の向上となる場合がある。マイクロストラクチャ柱体、穴、ボイド、埋設型/埋込型Geアレイの周期はHCG効果の近波長レジーム内に入る場合があり、又はそれはグレーチング周期が1波長よりも一層短いサブ波長レジーム及びその周期が1波長よりも一層大きな回折レジームに入る場合がある。マイクロストラクチャアレイのHCG特性及び入力光学信号は面内(in-plane)光学モードに結合させることが可能である。マイクロストラクチャ型アレイは、入力光学信号とモードマッチするためにレンズとして挙動することも可能である。良好な結合効率もPD/APDの全体的なQEを改善する。幾つかの実施例によれば、損失性HCGが提供され、その場合に、吸収領域はHCG構造の一部又はその中に包含されており(散乱、近接場、プラズモニック、共振、線形及び非線形光学効果などのその他の効果も吸収の向上に著しい役割を果たす場合がある)、且つSiはSiバンドギャップより低いフォトンエネルギに対するHCGとなることが可能であり、且つGeマイクロストラクチャはSi内に埋設又は埋込されており、それは950−1800nmの範囲の波長を有するフォトンに対して吸収する(尚、Siは光学損失が比較的低い)。
幾つかの実施例によれば、穴、柱体、及びボイドのマイクロストラクチャは、PDのP−I−N構造又はAPDのP−I−P−I−N構造のいずれかの部分を含むアクティブ区域にいて何らかのパターン又はパターン無しで配置させることが可能であり、該アクティブ区域は吸収する「I」領域及びP及びN領域を含む。幾つかの実施例によれば、製造を簡単化し且つ歩留まりを改善させるために、該マイクロストラクチャは、もしもそれがPD/APD動作及び性能と干渉しない場合には、PD/APDのアクティブ区域の外側に延在することが可能である。図33は、幾つかの実施例に基づく、PD/APDにおけるマイクロストラクチャ型柱体、穴、及び/又は埋設型ボイドの分布を示している平面図である。マイクロストラクチャアレイ3300は、柱体、穴、及び/又はボイドから構成されており、且つSi内の埋設型/埋込型Ge又はGe内のSi又は複数の半導体の任意の組み合わせがフォトダイオード又はアバランシェフォトダイオードの全アクティブ区域3302に亘って、又は、例えば、光学強度が最も高い中心において部分的にのみ分布させることが可能である。該アクティブ区域は、光学信号の入射による電気信号の発生、又はPVの場合には、フォトンの入射による電気の発生、に寄与する任意の区域として定義することが可能である。図33において、アクティブ区域3302は、通常、メタルオーミックコンタクト/ボンドメタルリング3304内の区域である。アレイ3300の分布は非一様、非周期的とすることが可能であり、非一様とはマイクロストラクチャの寸法において、又は形状においてのものである。
図34は、幾つかの実施例に基づいて、PD/APDにおけるマイクロストラクチャ型柱体、穴、及び/又は埋設型ボイドの分布を示している平面図である。図34において、QEはアクティブ区域の中心において最適化されており、そこでは、光学強度が最も高く且つ中心から離れるに従って、マイクロストラクチャパターンにおいて一層多くの半導体物質が取り除かれていて、PD/APDに対する一層高い帯域幅を達成し及び/又はPD/APDに対する光学信号の受動的整合を可能としそれによりパッケージング及び組み立てのコストを減少させるためにアクティブ区域を増加させるためにRC(抵抗、容量)時定数を減少させるべくアクティブ区域の容量を減少させている。PD/APDの中心近傍のマイクロストラクチャ型柱体/穴/埋設型ボイド3400はQEを最適化させ、一方、アクティブ区域3402の周辺部におけるマイクロストラクチャ型柱体/穴/埋設型ボイド3410は良好なQEを維持しながら尚且つ容量を最小とさせる。
P−I−N又はP−I−P−I−Nダイオード構造のN(又は、PとNとが交換される場合にはP)部分における埋設型ボイドは、N層における半導体の実効屈折率を減少させることが可能である。これは、HCG構造のための屈折率コントラストを増加させる上で有用であり(散乱、プラズモニック、近接場、線形及び非線形光学効果等の吸収向上において顕著なものである場合があるその他の可能な光学効果を含むため)、例えば、Siにおいては、屈折率は約3.5(波長950−1800nmに対して)であり、且つ該物質の体積の半分が空気である場合には、実効屈折率(半分空気で半分Si)は、空気−Si複合物質に対して約1.8である。この複合空気−Si物質上に製造される柱体又は穴の半導体マイクロストラクチャは、例えば複合空気−Si層上のSi柱体の場合、約2の屈折率コントラストを有する。高い屈折率コントラストはHCGの性能を向上させる。幾つかの実施例によれば、これは損失性のHCG性能(その場合に、損失は−1dB/cm程度高いか又はそれ以上である場合がある)を向上させ、且つその共振、光集中、及び面内光結合効果等と共に吸収係数を向上させるために使用される。N及び/又はN層内にボイドを有する場合には利点がある。何故ならば、それは、電子がN及び/又はN層内に掃引されると多数キャリアであるから、ダイオードの電気的性質及び特性に与える影響は最小だからである。
N及び/又はN層内のマイクロストラクチャ型ボイドはパターン状、非パターン状、又はランダム、又は擬似ランダムとすることが可能であり、且つリソグラフィ、マスキング及びエッチング又は単にエッチングとそれに続くSi,又はGe又はその他の物質の再成長を使用して画定させることが可能である。該ボイドは約0.01乃至10μmの長さ範囲とすることが可能であり、且つ横方向寸法は約10−5000nmの範囲とすることが可能であり、隣接するボイド間の間隔は約オーバーラップするものから5000nmの範囲とすることが可能である。
図35は、NSi基板上の従来のSiPV/PDダイオード用の基本的なエピタキシャル構造3500を示している。図36は、幾つかの実施例に基づいて、N−Si層内に組み込んだマイクロストラクチャ型ボイドを具備する図35の構造を示している。これらの層のドーピング及び厚さは適用例に依存する。高帯域幅PD適用例の場合、「I」Si厚さは0.1乃至3μmの範囲であり、一方、PV適用例の場合には、「I」Si厚さは1乃至20μmの範囲であり、イントリンシック又は意図的にドープしたものではないバックグラウンドドーピングは<5.1016cm−3の範囲である。高帯域幅PD(円形アパーチャに対して)用の容量の面積を画定するための装置寸法は、5乃至100μmの範囲であり、一方、PVの場合には、横方向寸法は0.1乃至>50cmの範囲となる場合があり、ウエハ又は基板の寸法によって制限されるのみである。非「I」層用のドーピング範囲及び層厚さはPV、PD及びAPDに対して同様であり、P又はP層厚さは0.1乃至3μmの範囲であり、Pドーピングは>5.1018cm−3であり、N又はN層厚さは0.1乃至10μmの範囲であり、Nドーピングは>1.1017cm−3である。Si基板はNドープ、低Nドープ、又は未ドープとすることが可能である。
Si基板上にN/N層を成長した後に、柱体及び/又は穴及び/又はナノワイヤのマイクロストラクチャをN/N層3604内にエッチし、次いで、N/N層の再成長の結果図36に示した如き埋設型ボイド3622が得られる。その再成長表面は、化学的機械的研磨(CMP)の使用等の更なる平坦化を必要とする場合もあれば必要ない場合もある。或る適用例では非平坦表面で一層最適な性能を有する場合があり、例えば、太陽光を最小の反射で多数の角度に結合させることが可能なPVである(粗い表面は光の反射がより少ない)。その後の「I」Si層3606及びP/P層3608が埋設型ボイド3622と共にN/N層3604上に成長される。実効屈折率を減少させることに加えて、Siの損失が低い(約−1dB/cm以下)波長において、950−1800nm、埋設型ボイド3622は、逆HCG内にパターン化させることが可能であり且つ頂部(P側)から照明するフォトンに対して非常に反射性(100%反射率に近い)の広いスペクトルのミラーとして動作し、且つ最初の通過で「I」マイクロストラクチャ型柱体/穴領域において吸収されることは無く、該フォトンは吸収用の「I」領域へ向けて反射されて戻される。更に、ボイドの場合には、基板は一層柔軟性がある場合があり且つ軽量であって、それは異形の表面に対して一層適合性があり及び/又はソーラーパネル等の様に重量が問題である場合に使用するため、又は可動性のために一層適合性がある。
図37は、幾つかの実施例に基づいて、再成長させたGeがSiを被覆しているエッチしたSiマイクロストラクチャ型柱体/穴を示している断面図である。開始構造は図35における層3500と同一である。Siマイクロストラクチャ柱体及び/又は穴のアレイが0.1乃至10μmの範囲の深さでエッチされており、且つその後に、幾つかの実施例に基づいてSiとGeとの間の格子不整合に対処するために1−10nmの低温バッファGe層を含んでいる0.01乃至4μmの厚さのI−Ge層3702を再成長させている。注意すべきことであるが、該Geバッファは約1000nm以下の柱体/穴の横方向寸法に含まれない場合がある。何故ならば、その小さな区域における格子不整合歪は過剰な欠陥を形成するほど顕著なものではない場合があるからである。マイクロストラクチャ型柱体3704及び穴3706の横方向寸法は10乃至5000nmの範囲であり且つ隣接する要素間の間隔はオーバーラップ即ち重畳状態から5000nmの範囲である。P/PSi層3708は、1−50nmの厚さの半透明又は透明な遷移金属シリサイドであるTi,W,Alシリサイドのオーミックメタルとの良好なPオーミックコンタクトを形成する場合に有用な場合があるので、図37においては残されている。
図38は、幾つかの実施例に基づいて、埋込されたマイクロストラクチャ型ボイドを有するN−Si層上に再成長させたGeを具備するマイクロストラクチャ型Si柱体/穴を示している断面図である。図38に示されている構造は、図36に示したような開始物質と類似している。N/N層3804内の埋設型ボイド3822は層3804の実効屈折率を減少させるべく作用する。該ボイドの間隔及び横方向寸法はサブ波長(<λ)又は深いサブ波長(<<λ)である場合もあり、波長をλとしてλ−4に比例するレイリー散乱などの光学散乱損失を最小とさせる。興味の或る波長は300乃至1800nmの範囲である。
図39は、幾つかの実施例に基づいて、マイクロストラクチャ型Si柱体/穴上を部分的に被覆するI−Geを示している断面図である。図39に示したマイクロストラクチャの変形例においては、Siマイクロストラクチャ型柱体及び穴の全体の上にI−Geで再成長させる代わりに、Siマイクロストラクチャの一部の上にのみ再成長させる。Siマイクロストラクチャの一部はI−Geで被覆されておらず且つ他の部分は再成長されたI−Geで被覆されている。Geの部分的再成長は、Siマイクロストラクチャを、電子ビーム蒸着、又は指向性レーザアブレーション付着、又はSiのネイティブ酸化物又は酸化物/窒化物のALDの薄い層を選択的に除去し且つイオンビームミリングで選択的に且つ指向的に除去するためのイオンミリングなどのその他の指向性方法によって、SiOx又はSiNx等の誘電体で部分的に被覆させることによって達成することが可能である。Siマイクロストラクチャが酸化物又は窒化物(又はCaFx,TaOx,TiOx等のその他の誘電体)で部分的に被覆されると、Si表面等の格子情報が存在する箇所においてGeエピタキシャル再成長が支配的に発生する。I−Ge再成長3902の厚さは0.1乃至4μm又はそれ以上の範囲とすることが可能である。柱体3904又は穴3906からなるSiマイクロストラクチャは0.1乃至10μmの深さ、10乃至5000nmの横方向寸法、及びオーバーラップ状態から5000nmの隣接マイクロストラクチャ間の間隔を有することが可能である。Si及びGeの両方のマイクロストラクチャが300−1800nmの範囲の波長を有するフォトンに露呈され、フォトンはアノード表面に入射され且つマイクロストラクチャの側壁上に直接的に、散乱されて、近接場で、共振で、HCG効果で、線形及び非線形光学効果で、及び向上された吸収係数で、入射される。Si及びGeの両方が入射フォトンに起因して光電流を発生する。Si電流発生器とGe電流発生器とは並列的であり且つこのPD/PVによって発生される全光電流はSi光電流発生器とGe光電流発生器との和である。埋設型ボイド3922はN/N層3914の屈折率を減少させ且つマイクロストラクチャ及び基板の屈折率コントラストを改善し、従って吸収係数を向上させるために損失性HCGの性能を改善させる。Si3914内のボイド3922は良好な反射器としても動作してフォトンを「I」吸収層へ向けて反射して戻しダブルパス即ち二重通過を行わせる(頂部側から照明するフォトンに対して)。BOX又はSOI3912も、埋設型ボイドに加えて又は埋設型ボイド無しで、使用することが可能である。というのは、SiOの屈折率は約1.54であり、赤外及び近赤外波長においてシリコンの屈折率の約半分だからである。
図40は、幾つかの実施例に基づいて、マイクロストラクチャ型Si−Ge柱体/穴のアレイPD/PV及び/又はAPD用の基本的なコンポーネントを示している断面図である。注意すべきことであるが、APD増倍層は説明の便宜上図示されていない。装置の正に基本的な部分が図示されているに過ぎない。図39に示した処理ステップを完了した後に、熱酸化物又はパッシベーションするために酸化物又は窒化物のALD又はPECVD又はCVD付着、又はGe上のパッシベーション層としてのSiGeを使用して、表面パッシベーションを実施する。スピンオンガラス、又はSiOx、SiNx、等の充填物4002をPACVD又はCVDによってスピンオンさせるか又は付着させる。Ge又はP/PSiのいずれかを露出させるためのエッチバックをするためにRIEを使用する(I−Geエピタキシャル成長期間中、P/PSi内のPドーパントはGe層内に拡散してP/PGe層となる場合がある)。Ge及び/又はSiPオーミック物質は夫々Al,W,AuGe,Au,Au/Ti及びAl,TiN,Pt、遷移金属−シリサイドとすることが可能であり、P及びN半導体に対するオーミックコンタクトは文献及び当該技術において周知であり、これらは可能なオーミックコンタクトの僅かな例に過ぎない。インジウム錫酸化物(ITO)等の透明な導電性酸化物(TCO)4004をアノードの直列抵抗を更に減少させるために使用することが可能である。マイクロストラクチャ型穴の場合、P/P層は連続的であるから、TCO4004は使用できない場合があり、且つその代わりに、オーミックコンタクトの直列抵抗を減少させるために、周囲(円形アパーチャ型PD/APD/PVに対してはリング)ボーダーオーミックコンタクト及びメタリゼーション4006を使用することが可能であるに過ぎず、Al,Au/Ti,Cu等である。説明の便宜上、反射防止層は示されていない。Nオーミック物質を、メタリゼーションと共に、基板上又はN/N層上に使用することが可能である。オーミックコンタクト上へのAl,Pt,遷移金属シリサイドとそれに続いてのAl又はAu付着(電子ビーム、スパッタ、熱蒸着)は直列抵抗を減少させる。オーミックコンタクトは、通常、当該技術において周知のアニーリング処理を使用する。ボンド及び/又は接続用メタリゼーション4006を付着させて(標準の処理方法を使用して)PD/APD/PV装置を完成させる。太陽光/光学信号を該装置の頂部(アノード)からその表面に対して垂直に又は垂直からずれた任意の角度で且つ必要な場合にはQEを最適化させるために回転させて、照明させる。Siが低損失である波長において(950−1800nm又はそれ以上)、埋設型ボイドは、Siの実効屈折率を減少させると共に、反射器としても作用して吸収されなかったフォトンを吸収領域へ向けて戻すように反射させることが可能である。N/N層3914の表面は、マイクロストラクチャ型Si及びGeのアレイにおいての吸収を最適化させるために反射されたフォトンが拡散することを助けるために、非平坦状とすることが可能である。コンタクトパッド4008を図示した如く層3914の、例えば、側部上に設けることが可能である。実施例の多くはSi及びGeのマイクロストラクチャを示しており、Si,Geは表面に対して垂直(垂直に整合されている)で且つ真っ直ぐであるが、幾つかのその他の実施例によれば、N/N表面が非平坦状である場合には、その後の層も非平坦状とすることが可能である。エッチング(ウエット化学的又はドライRIE,DRIE)は垂直からずれており且つ真っ直ぐではない(曲がり)マイクロストラクチャとする場合があり、そのことはフォトンの吸収を更に改善させる場合がある。表面の非平坦状形態に起因して、マイクロストラクチャは同一の装置内の結晶の配向に依存して種々の配向を有する場合がある。マイクロストラクチャの異なる配向は異なる角度で装置の表面を照明する場合があるフォトンを捕獲するために有益的であり、例えば、PVの場合に、太陽が空において位置を変化させる場合、及びPD/APDの場合に、複数の光学信号が異なる角度/方向からPD/APDを照明する場合である。
図5に示したマイクロストラクチャ型Si柱体及び/又は穴のアレイ/パターン/ランダムPDも、Si柱体/穴のマイクロストラクチャが屈折率コントラストを増加させることによって損失性HCGとして一層最適化され且つ又Siの損失が低い波長、広帯域幅(>5Gb/s)PDとして又はPVとしてのこの適用例に対しては950−1100nm、に対するSiにおけるHCG反射器としても一層最適化されるように、Siの屈折率を減少させるために、図10に示した如きN/N層内に埋設型ボイドを有することが可能である。
ここに記載される全ての実施例におけるSi基板は、ドーピングしたか、意図的にドーピングしていないか、低ドーピングのSiか、又は埋設酸化物(BOX)又は絶縁体上シリコン(SOI)、この場合にもシリコン層はドープしたか、高度にドープしたか、軽度にドープしたか、又は意図的にドープしていないものとすることが可能、のいずれかとすることが可能である。BOX及びSOI層は、光学損失を減少させ、機械的柔軟性を増加させるためにSi基板の全て又は殆ど全てを除去することを可能とし、BOX及びSOIにおけるSiOの屈折率は赤外及び近赤外波長において約1.54であり、それはシリコンの屈折率の約半分である。SiOの低い屈折率もHCGの性能を改善させるが、底部照明型PD/APD/PVの場合には二酸化シリコン層を除去することが可能である。
図41(A)及び(B)は、幾つかの実施例に基づいて、埋設されているマイクロストラクチャ型ボイドを有するN−Si層上方のマイクロストラクチャ型柱体/穴アレイ装置の様相を示している断面図である。図41(A)に示されているバルク層4100はI−Si層4112及びN−Si層4110を包含している。マイクロストラクチャ型ボイド4102は上述したような技術を使用してN−Si層4110内に形成されている。図41(A)及び(B)における構造は、図25(A)及び(B)に示した1バージョンであるが、損失性HCG(Si及びGeのマイクロストラクチャ)に対する屈折率コントラストを改善するため及びフォトンに対して反射器低損失HCGを提供するために、N/N層4110内に埋設型ボイド4102を有している。柱体4124及び/又は穴4122のアレイはI−Si層4112内に形成されている。I−Geはエッチ領域4122内に再成長され、その結果、NSi層4110内にマイクロストラクチャボイド4102を具備する埋設型/埋込型Ge「I」層となっており、Siの実効屈折率を減少させ及び/又はSiが低損失である波長(950−1800nm)においてアノード表面から入るフォトンを反射させる。キャップ層4126はP−Ge又はP−Si再成長とすることが可能である。底部照明の場合には、反射防止コーティング4130を設ける場合がある。
図42(A)−(D)は、幾つかの実施例に基づいて、埋設されたマイクロストラクチャ型ボイドを有するN−Si層上方のマイクロストラクチャ型柱体/穴のアレイを具備するAPDの様相を示している断面図である。図42(A)−(D)に示したAPDは、図42(A)及び(B)に示したAPDのバージョンであるが、埋設型ボイド4202がN/N層4210内に包含されていて損失性HCG(Si及びGeのマイクロストラクチャ)に対する屈折率コントラストを改善し且つフォトン用の反射器低損失HCGを提供している点を除く。幾つかの実施例によれば、BOX/SOISiウエハを、最適なHCG損失性動作のための屈折率コントラストを改善するために埋設型ボイドを具備するか又は具備しない状態で、使用することも可能である。説明の便宜上、基本的な構造のみが示されている。電気的分離、パッシベーション、オーミックメタリゼーション、アニール、反射防止、ボンド/相互接続メタリゼーション、ガードリング等は示されていない。図42(A)はバルク層4200を示しており、それはN−Si層4206、I−Si層4208及び4212、及びP−Si層4210を包含している。マイクロストラクチャ型ボイド4202は上述したような技術を使用して、N−Si層4206内に形成される。図41(B)の場合における如く、I−Geをエッチした区域4222内に再成長させる。ボイド4202はN−Siの屈折率を減少させるためであり、というのは、光学フィールドは空気とSiとからなる平均実効屈折率だからである。ボイド4202がHCG効果用にパターン化される場合、頂部即ちアノード表面からAPD4220を照明するフォトンに対して「I」吸収領域4212を介しての最初の通過において吸収されなかったフォトンを反射させることが可能である。APDは底部即ちカソード側から照明させることも可能である。
図42(C)及び(D)はAPD4230の別の変形例を示しており、その場合には、ボイド4204はN層4206内のみならずI−Si増倍層4208内にも形成されていて、これらの層の実効屈折率及び容量を減少させている。注意すべきことであるが、マイクロストラクチャ型ボイドの長尺状の特質は半導体物質内の荷電キャリアの移動に与える影響は最小というものである。幾つかの実施例によれば、種々の形状及び密度のボイドを、吸収I層に加えて、APDのPアノード、Nカソード、P電荷及び/又はI増倍層内に形成させることが可能である。I増倍層4208及びI吸収層4212内のボイドの付加は実効容量を減少させることが可能であり、その結果、装置のRC時定数を短いものとさせることとなる。増倍ISi層4208内のボイド4204も実効屈折率を減少させ、そのことはHCG吸収モードにおける吸収係数を向上させることに寄与する。
Si、Ge、SiGe、InP,GaAs,GaN,InGaN,InGaAs,InGaAsP,InSb等のIII−Vのエピタキシャル成長/再成長/過剰成長/選択的区域成/エピタキシャル横方向過剰成長、ヘテロエピタキシー、ホモエピタキシー、ヘテロジーニアスエピタキシー等、化学蒸着(CVD)、金属有機化学蒸着(MOCVD)、原子層付着(ALD)、分子ビームエピタキシー(MBE)、気体源分子ビームエピタキシー(GSMBE)、プラズマエンハンスト化学蒸着(PECVD)、レーザアブレーション付着(LAD)等を使用することは当該技術において周知である。
幾つかの実施例によれば、Si及びSi上Ge以外の物質が使用される。例えば、ここに記載した技術はSi,Ge,III−V及びII−VI物質群及び基板の全ての組み合わせに適用することが可能である。
図43−46は、幾つかの実施例に基づいて、マイクロストラクチャ型要素に対しての種々の寸法、間隔、及び形状を例示している平面図である。図43は、固定された直径が100−5000nmの範囲であり且つ間隔が100−10000nmの範囲である一様に離隔されているマイクロストラクチャ型柱体及び/又は穴アレイ4300(埋設型又は埋込型ボイドにも適用可能)を示している。該柱体及び/又は穴はSi,Ge,SiGe又はIII−V又はII−VI物質群のその他の物質内に又はそれを使用して形成させることが可能である。例としては、GaAs,InP,InGaAs,InGaAsN,InGaAsP,InAs,GaN,InGaN,ZnTe,CdS,ZnSe,HgTeを含む。幾つかの実施例によれば、該マイクロストラクチャは、吸収性ポリマー、ガラス、及び/又はセラミックスの中に又はそれを使用して形成させることも可能である。
図44は、固定した直径を有しているが、非周期的等の非一様な間隔又はランダム/擬似ランダム、周期的及び非周期的の混合を具備しているマイクロストラクチャ型柱体及び/又は穴アレイ4400を示している。幾つかの実施例によれば、該アレイは一様に離隔された周期的であるが直径が変化するものとすることも可能である。図45は、可変の直径(100nm乃至5000nmの範囲)と可変の間隔(100nm乃至10000nmの範囲)の両方を有するマイクロストラクチャ型柱体及び/又は穴アレイ4500を示している。幾つかの実施例によれば、その変形例はランダム/擬似ランダム、又は1パターン又は複数のパターンの混合、又はそれらの任意の組み合わせとすることが可能である。図46は楕円、円形、矩形、ダブレット、トリプレット、及びスター等の種々の形状を有するマイクロストラクチャ型柱体及び/又は穴アレイ4600を示している。一般的に、フォトリソグラフィーマスク、又は電子ビーム直接書込を使用して発生させることが可能であるか又はインプリントリソグラフィ用のモールドで発生させることが可能な任意の形状を使用することが可能である。該柱体/穴アレイは、マイクロストラクチャ型穴の深さ又はマイクロストラクチャ型柱体/メサの長さにおける変形のみならず、異なる形状及び間隔の組み合わせを有することも可能である。該変形例は、単一のアレイ内において、周期的、非周期的、又はランダム(又は周期的、非周期的、及びランダムの混合)とすることが可能である。
幾つかの実施例によれば、或る適用例の場合に、Si又はGe又はIII−Vマイクロストラクチャ型柱体又は穴のアレイ寸法が、長さが約2μm(又は深さが約2μm)で、柱体/穴の直径が約390nmで、且つ中心間間隔が約1060nmが、吸収係数を50×を越えて向上させるのに適切であることが判明した。
幾つかの実施例によれば、該物質はSi,Ge,SiGe,Si上Ge,III−V,II−VI,Si上III−V,ポリマー、ガラス、セラミック及びそれらの任意の組み合わせとすることが可能である。III−V及びII−VIの例としては、InP,GaAs,AlGaAs,AlAs,AlInAs,InGaAs,InGaAsP,InAs,InGaAsN,InN,GaN,InSb,ZnSe,ZnTe,HgTe,CdSがある。又、III−V,II−VI及びGe等のIV族物質を、MOCVD,CVD,MBE,反応器においてSAG,VLS,ELOG成長方法を使用して、Siマイクロストラクチャ内に埋込させることが可能である。
図47−48は、幾つかの実施例に基づいて、可変の長さ及び深さを有するマイクロストラクチャ型柱体及び穴アレイを示している断面図である。図47は、異なる長さ、直径、及び間隔を有するマイクロストラクチャ型柱体アレイ4700を示している。幾つかの実施例によれば、柱体の直径は10nm乃至5000nmの範囲であり且つ柱体高さは0.1乃至10ミクロンの範囲である。図48は、異なる深さ、直径、及び間隔を有するマイクロストラクチャ型穴アレイ4800を示している。幾つかの実施例によれば、該穴は10nm乃至5000nmの範囲の直径及び0.1乃至10ミクロンの範囲の深さを有している。長さ及びエッチ深さにおける変形は付加的なリソグラフィマスキングステップによって達成させることが可能であり、その場合に、幾つかの領域が一層長いエッチのため又は一層短いエッチのためにマスクされて柱体の長さ及び穴の深さを異ならせる。長さ及び深さは徐々に又は急激に異なるものとさせることが可能である。パターン化グレーチング効果を、光学経路長を更に最適化させ且つ吸収係数用の向上要因を増加させるために、使用することが可能である。その他の使用としては、建設的及び破壊的光学干渉を使用して光トラップの形成、フレネルレンズの発生、光学信号のモードのマッチング、例えば波長分割多重においての波長選択性における又はスペクトロスコピー及びセンサー適用例用の波長セレクタとしてのフィルタの形成、を含む。理解すべきことであるが、アレイにおけるマイクロストラクチャ型柱体及び穴の寸法及び間隔を変えることは、建設的及び破壊的光学干渉となる場合があり、そのことも吸収係数の向上要因を増加させ、光トラッピングを改善し、フレネルレンズを形成し、光学信号の放射パターンのモードマッチングをさせることが可能な場合がある。
図49は、幾つかの実施例に基づいて、柱体と穴の両方の組み合わせを有するマイクロストラクチャ型表面を示している。マイクロストラクチャアレイ4900は、局所的又は全体的のいずれかで同一のマイクロストラクチャ型表面上に共存する柱体と穴とを包含している。柱体及び穴の両方が、マイクロストラクチャ型光検知器用の単一のアレイ(図49に示した如く)内に存在することが可能であり、又は穴と柱体とは異なるマイクロストラクチャ型光検知器用の別々のアレイ上に存在することが可能である。更に、穴は1個の柱体内に存在することが可能であり且つ柱体は1個の穴内に存在することが可能であり、且つこれらも全体的又は局所的なものとすることが可能である。柱体、穴、柱体内の穴、及び穴内の柱体は、任意の形状、例えば丸い穴内に矩形状柱体、はそれらの任意の組み合わせとして、吸収係数の向上、光トラッピング、建設的及び破壊的光学干渉、散乱、近接場効果、線形及び非線形光学効果、サブ波長効果、フレネルレンズ、波長選択、光学モードマッチング、回折、高コントラストグレーチング、ブレーズドグレーチング、高Q共振器、高コントラストグレーチングを使用する高度に反射性のミラー、を最適化させることが可能である。
該マイクロストラクチャ型柱体及び穴(及びそれらの変形例)アレイはSi,Ge,Si上Ge,Si上SiGe,Ge上SiGe内に製造させることが可能である。該アレイ構造はSi,Ge及びSiGeの任意の組み合わせとすることが可能である。該アレイは、もっぱら、Si又はGe、又はSiGe、又はSi上Ge、又はGe上Si、又は両側にSiGeを具備するSi上Geとすることが可能である。該構造は、又、Si,Ge及びSiGeと共にIII−V及びII−VI物質系、及びSi,Ge及びIII−V,II−VI物質系の任意の組み合わせを包含することが可能である。幾つかの実施例によれば、該アレイ構造は、又、ポリマー、ガラス、酸化物、窒化物、遷移金属の硫化物及び塩化物、セラミックス、石英、SOI、IOS、有機導電性及び非導電性ポリマー、グラフェン等の炭素をベースとする物質を包含することも可能である。
該マイクロストラクチャ型柱体、穴、ボイド、及びピラミッド、マイクロワイヤ、ナノワイヤ、マルチプル物質、SAGマイクロストラクチャ等の任意のその他の形状は、基板の表面に対して垂直ではない配向を有することが可能である。それらは単一の又は表面に対して垂直及び/又は垂直からずれることが可能な複数の配向を有することが可能である。それらはランダム、擬似ランダム、任意の角度での単一パターン又は複数のパターン配向とすることが可能であり、該角度はシリコン基板に対しての垂直に関して0度乃至90度の範囲とすることが可能であり、且つそれらは側壁上及び3つ全ての寸法上のものとすることが可能である。或る配向にあるマイクロストラクチャの場合、光センサーは或る照射方向に対して一層高い感度を有する場合がある。逆に、複数の配向及び/又はランダム又は擬似ランダム配向の場合には、光センサーは照射方向に対して殆ど無感度である場合がある。
半導体内の埋設型ボイドで実効屈折率を減少させることはPD/APD及びPV装置を超える適用例を有している。例えば、それは光導波路における適用例も有している。図50は、幾つかの実施例に基づいて、光リッジ導波路の断面図である。導波路5000はSiの実効屈折率を変えるためにボイド密度を使用している。幾つかの実施例によれば、Si以外の物質が使用され、例えば、Ge,SiGe等のその他の半導体、III−V半導体群のいずれか、又はセラミックス、ガラス、ポリマーなどのその他の物質である。Siフォトニック光導波路5000においては、リッジ5002及びスラブ5004がBOX(埋設型酸化物)Si基板5006上のSiから構成されている。Siの高い屈折率、即ち1100−1800nm波長において3.49、に起因して、固体Si導波路の寸法上公差は非常に重要である。既知のSiフォトニックコンポーネントは製造が困難であり且つ歩留まりが低く且つ温度に影響されやすい。幾つかの実施例によれば、ボイドがSi層内に埋設される。該ボイドの密度を変えることによって、複合Si−ボイドの屈折率を調節させることが可能である。図50の概略図において、リッジ導波路5000はスラブ5004上にボイド5014を有しており、且つリッジ5002上にボイド5012を有している。リッジ5002におけるボイド5012の密度はスラブ5004におけるボイド5014の密度よりも一層低い。従って、リッジ5002における屈折率はスラブ5004における屈折率よりも一層高く、その結果、リッジ光導波路が構成されている。導波路5000の光学モードは点線の楕円5022によって表されている。一層低い屈折率は寸法公差を減少させる上で寄与し、該光導波路は一層大きな寸法を有することが可能である。従って、幾つかの実施例によれば、屈折率はボイド密度を変えることにより変えることが可能であり、結合器等のその他のコンポーネントの製造を一層容易なものとしている。ボイドの寸法は、光導波路に依存して、10nm乃至1000nmの範囲のサブ波長とすることが可能である。
幾つかの実施例によれば、Siウエハの実効屈折率を調節するために、ボイドはシリコンウエハ(BOX/SOIシリコンウエハを含む)内に埋設されている。従って、埋設型ボイドを具備するSiウエハは、シリコンフォトニック回路用の開始プラットフォームとすることが可能である。それは、又、機械的柔軟性を許容するが尚且つシリコンの高品質及びその電気的特性を維持する大面積PVセル用のプラットフォームとすることも可能である。これは、又、柔軟性の或るディスプレイ及び機械的柔軟性及び/又は軽量を必要とするその他のエレクトロニクス用のプラットフォームとすることも可能である。幾つかの実施例によれば、ボイドの埋設又は埋込はSiのみに制限されるものではなく、Ge,GeSi,非晶質半導体,III−V,II−VI物質群,ポリマー,ガラス,石英,メタル,合金,セラミックス,及び柔軟性を必要とするその他の物質を包含する。幾つかの実施例において、該ボイドは特定の適用例に対してガス、液体又は固体で充填させることが可能である。例えばアニールを必要とすることの無いスピン・オン・ガラス等のゲルを、装置の完全性が衝撃に起因するクラック等で妥協される衝撃/クラック状態に対して、更なる劣化を防止するために半導体等の表面又は湿気、水、酸素などの環境に対して敏感な何らかの表面をシールするために使用することが可能である。ボイドは、又、クラック伝播を阻止する場合に優れている。
図51は、幾つかの実施例に基づいて、ボイドを使用してシリコン内に埋設した光導波路の断面図である。複合導波路5100は、或る位置における実効屈折率を選択的に変化させるためにSiボイドを使用してSi基板5106内に形成されている。Siの実効屈折率は、Siの所与の体積におけるボイドの全体積を調節することによって精密に調節することが可能である。図51に示した例においては、一層高い密度のボイド5114が一層低い密度のボイド5112の中心領域を取り囲んでいる。導波路5100の光学モードは点線の楕円5122によって表してある。
幾つかの実施例によれば、ボイドの寸法及び間隔は散乱損失を減少させ且つ製造を容易とさせるために最適化されている。又、ボイドの寸法及び間隔は或る適用例に対して調節することが可能である。例えば、HCGにおいて、その寸法及び間隔は近接場レジームを満足させるように選択され、その場合には、その寸法及び間隔は高屈折率物質における波長と低屈折率物質における波長とのほぼ間に存在している。殆どの場合において、実効屈折率を減少させるための適用例においては、ボイド及び周りの物質の寸法及び間隔はサブ波長レジーム内にあり、その場合に、ボイドを含むマイクロストラクチャは高又は低屈折率物質のいずれかにおける波長よりも小さいか又はかなり小さい。結合適用例の場合、回折レジームがマイクロストラクチャ(ボイド及び物質)寸法及び間隔である場合がある。それは、又、装置性能を最適化させるために2つ又はそれ以上のレジームの混合とすることが可能である。
アレイパターンは、その個々のマイクロストラクチャの分布が、全ての3つの寸法、配向及び間隔(オーバーラップする場合を含む)において、周期的、非周期的、チャープ、ランダム、擬似ランダム、一様、又は非一様とすることが可能なマイクロストラクチャの集合を包含することが可能である。幾つかの実施例によれば、該パターンはマイクロストラクチャ内のマイクロストラクチャを包含することが可能である。「アレイ」及び「パターン」と言う用語はここでは交換可能に使われている。
PD/APDは、典型的な伝送線インピーダンスの25−50Ωにおける毎秒1ギガビット(Gb/s)よりも一層大きなブロードバンド変調信号を抽出するために、アノードとカソードとの間でバイアスされる。幾つかの実施例によれば、外部バイアスが伝送線へ接続されているバイアスティー(bias-tee)で与えられて、RF信号がDC電源へ到達することをブロックし且つ該DCがPD/APDから離れた伝送線をバイアスすることをブロックする。該バイアスティーは、基本的に、インダクタとコンデンサとから構成されており、該インダクタは該RFをブロックし、且つ該コンデンサはPD/APDから離れた該DCをブロックする。−2乃至−30ボルトの外部バイアスが、適用例、PD又はAPD,及び所望される性能に依存して適用される。
PVモードにおいて、外部バイアスは印加されず且つ該PVは基本的には電流発生器である。全ての電気的接続はPVからのDC電流のためであり、それは、次いで、DC電流/電圧をAC電流/電圧へ変換させるコンバーターへ接続する。
PV装置はDCモードにあり、一方、データ及び遠距離通信用のPD/APDは全て1乃至100Gb/sの範囲の変調帯域幅を具備するRFである。キャリアの通過時間及び容量はPD及びAPDにおいて重要であるが、PVの場合にはそうでもない。
「変調帯域幅(modulation bandwidth)」及び「帯域幅(bandwidth)」という用語はここでは交換可能に使用されている。これらの用語は、両方とも、RF信号が光に刻印される場合の変調(例えば、レーザ又はLEDの)のことを意味している。この変調された光(「光学信号」とも呼称される)がPD/APDを照明すると、RF変調信号が抽出され且つ光から電気信号へ変換される。例えば、20Gb/sのPD/APDの帯域幅即ち変調帯域幅とは、20Gb/sにおけるデータレートでレーザ光ビーム上に刻印されたRF信号をPD/APDによって良好な信号信頼性即ち信号再現性でもって光から電気へ変換させることが可能であることを意味している。該レーザ/LEDは送信機であり且つ該PD/APDは受信機であり、該電気信号は、更に、相互インピーダンス増幅器などの増幅器によって更に処理させることが可能であり、且つ該信号は、応用特定集積回路(ASIC)コンピュータ(CPU中央処理装置)、ルータ、メモリ等においての更なる解析及び処理のために送られる。
幾つかの実施例によれば、Si及びGe及びGe,Si上GeでのSi再成長、埋設型又は埋込型ボイドがある場合及び/又は無い場合のフォトダイオード及びアバランシェフォトダイオードにおけるマイクロストラクチャ型柱体及び/又は穴に対する適用例は、データ及び遠距離通信においてである。幾つかの実施例によれば、これらの適用例は、ファイバーツーホーム、ローカルエリアネットワーク、データセンター光相互接続ボードツーボード、オンザボード、ラックツーラック、及びチップツーチップ、データセンター間、メトロエリアネットワーク、及び1cm未満から10km又はそれ以上に亘る距離用の光通信、を包含している。消費者空間における適用例は、又、ゲームボックス、パソコン、タブレット、スマートフォン等のモバイル装置、アニメーションスタジオ、及び1ギガビット/秒を越える帯域幅から利点が得られる何らかのものを含む。幾つかの実施例によれば、マイクロストラクチャ型PD及びAPDのその他の適用例はセンサーにおいてであり、その場合にはブロードバンド通信が重要であり、例えば、インフラストラクチャ、健康、環境、石油探査用のセンサー等があり、該センサーはデータ解析のためにデータセンターへ接続されている遠隔受信機と通信を行う。
幾つかの実施例によれば、マイクロストラクチャ型PD及びAPDのその他の適用例は解析機器及び光学テスト及び測定機器においてであり、その場合には、高感度及び帯域幅が重要である。
幾つかの実施例によれば、マイクロストラクチャ型PVは、センサーに電力を供給するために都市及び家庭へ電力を供給するためギガワットからワットまでにわたり電力発生のための環境発電においての適用例を有している。マイクロストラクチャ型PVは軽量であり且つ高効率であるので、それは、モバイル適用場面、スペース、太陽発電による輸送、家庭、オフィス及び工場におけるフォトンのリサイクルにおいて使用することが可能である。
Si,Ge,GeでのSi再成長,Si上Geにおける説明したマイクロストラクチャ、柱体、穴、及びボイドは、どのような形状及び形式とすることも可能であり、且つここに示した例に制限されるものではない。幾つかの実施例によれば、その寸法は10−10000nm(ナノメートル)の範囲である。マイクロストラクチャは、穴内柱体、柱体内穴などの互いの内とすることが可能であり、且つボイドは柱体内とすることが可能であり、且つヘテロ又はホモ半導体のいずれかの層内に埋設及び埋込させることが可能である。使用される物質は、Si,Ge,GeSi,Ge上Si、及びInP,GaN,InGaAsP,GaAsのIII−V族物質群、及びZnO,CdTe,CuInGaSe(CIGS),非晶質Si,又は非晶質半導体及び/又は単結晶及び多結晶半導体を含む。該物質は非晶質、単結晶、ヘテロ及び/又はホモ結晶の組み合わせとすることが可能である。該使用される物質は、又、半導体に制限されるものではなく、ポリマー、導電性、半導電性、非導電性ポリマー、有機及び/又は無機ポリマー、ガラス、導電性、半導電性、非導電性ガラスも包含する。半導体及び/又はメタルのナノ粒子をポリマー及びガラス内に埋設させることも可能である。半導体、ポリマー、及びガラスは同じマイクロストラクチャ内に別々に又は何らかの結合をして存在することが可能である。
幾つかの実施例によれば、シリコンフォトニック回路適用例において、図50に示したような光導波路は、光供給源及びフォトダイオード、アバランシェフォトダイオード及び光導電体などの光検知器と集積化させることが可能である。図52は、幾つかの実施例に基づいて、マイクロストラクチャ型PD/APDと集積化したリッジ導波路の断面図である。装置5200はリッジ導波路を包含しており、それはマイクロストラクチャ型Si上Ge(Ge on Si)フォトダイオード又はアバランシェフォトダイオード(増倍層が付加されている)へ端部結合(edge coupled)されている。リッジ導波路部分において、図50に示した如く、リッジ5202は一層低い密度のボイド5212を有しており且つスラブ5204は一層高い密度のボイド5214を有している。PD用のNドーピング(領域5224)を増加させるためにイオン注入が使用され、且つ図53に示したように電気信号を抽出するためにフォトダイオード上に共面状の送信線が形成されている。幾つかの実施例によれば、アノードコンタクト5226がP−Geから構成されている。カソードコンタクトが層5224に対して構成されている(説明の便宜上カソードコンタクトは図示されていない)。
図53は、幾つかの実施例に基づいて、集積化したリッジ導波路及び図52に示したマイクロストラクチャ型PD/APDの平面図である。装置5200は共面状送信線形態にある。幾つかの実施例によれば、リッジ部分5202は0.5乃至10μmの幅であり且つ0.1乃至10μmの深さである。シリコンフォトニック回路用のプラットフォームとして屈折率を変えるために、スラブ部分5204は、図52に示されている如く、一層高い密度のボイドを有している。共面状カソード5228及び5230は、図52に示したN−Siイオン注入領域5224とコンタクトしている。幾つかの実施例によれば、光学フィールドが吸収用Iマイクロストラクチャとオーバーラップするように光導波路上方にPD/APDを配置させることによって該光フィールドをエバネッセント的に結合させることが可能である。
図54(A)及び(B)は、幾つかの実施例に基づいて、ボイドを具備するSi上のマイクロ波送信線を示している。シリコン上の高ビットレート送信線は遅い波から損失そして分散まで多数の影響を蒙る。しかしながら、幾つかの実施例によれば、高密度のボイドを付加してシリコン物質の殆どを取り除くことによって、分散及び損失は緩和させることが可能であり且つ信号の完全性を回復させることが可能である。一つの利点は、送信線を種々のICチップへ接続させるためのアルミナ等の中間セラミックを使用すること無しに、シリコンはマイクロ波送信線に対してとICに対しての両方の基板とすることが可能であるということである。図54(A)は、シリコン5304内に埋設されている高密度ボイド5314を示している断面図であり、それはシリコンの実効比誘電率を低下させ、且つ横方向電気的導電性を崩壊させ、そのことは一層低いマイクロ波損失及び分散とさせることが可能であり、そのことはビットレートがマルチGb/sレンジ(例えば、40−60Gb/s)に増加する場合に重要である。共面状マイクロ波メタリック送信線5310が同一の基板上で電子装置(例えば、プロセッサ、記憶装置、入力−出力装置、ASIC、CPU,及びメモリ)へ又は電子装置から電気信号を移動させる手段として設けられている。図54(B)は平面図である。従来技術はマルチチップ担体を使用しており、そのことは付加的なパッケージングを必要とする。幾つかの実施例によれば、多くの装置を全て同一のシリコン基板上に搭載させることは著しくコストを低下させる。何故ならば、それは全て一度に製造することが可能であり且つ各チップに対しての付加的なパッケージングは必要ではないからである。幾つかの実施例によれば、ボイド5314は、横方向の抵抗を増加させるために、シリコンにおける経路長が横方向に著しく増加されるような態様で配置されている。幾つかの実施例によれば、プロトン及び/又は酸素のイオン注入を使用して電気抵抗を更に増加させることが可能である。図54(A)及び(B)は共面状配置を示しているが、幾つかの実施例によれば、その他のマイクロ波送信線配置(例えば、マイクロストリップ、スロットライン、及びストリップライン)が、高密度埋設型ボイドを有する半導体基板と共に、使用される。幾つかの実施例によれば、高密度ボイドの位置決め及び/又はその他の特性(例えば、寸法、形状、及び充填物質)を、遅い波効果を目下の適用例に対して最適であるような態様で操作するか又は「調整」することが可能であるように、選択することが可能である。
図55は、幾つかの実施例に基づいて、熱を発生するIC及び光射出器の熱伝導及び熱分離用のボイドの断面図である。シリコン5404内の埋設型ボイドは、ヘリウムガス、銅、アルミニウム、タングステン等のメタル、又は窒化硼素等のセラミック、又は炭素、グラフェン、ダイアモンド状炭素、又はヘテロ物質/メタルナノ粒子でドープしたセラミック、炭素ナノチューブ等の熱伝導体で充填させることが可能である。IC及びCPU5422及びレーザダイオード及びバイポーラトドライバ等の光射出器5420等の熱を発生する要素の下側のボイド5414は、高熱コンポーネントから熱を抽出してそれをヒートシンク5402へ指向させるために向上された熱伝導体で充填させることが可能である。高温要素間のボイド5412は、低い熱伝導状態に維持すべくそれは部分的に真空とさせるか又は、例えば、高温コンポーネント間又は熱が所望されない箇所のその他のコンポーネントへの熱クロストークを防止するために、窒素、アルゴン、二酸化炭素等のガスで充填させる。幾つかの実施例によれば、該ボイドは、低熱クロストークを維持するためにシリコン内の経路長が著しく増加されるような態様で、配置される。幾つかの実施例によれば、太陽に露呈される場合にPVをクールに維持するために図55の技術が使用される。幾つかの実施例によれば、過剰な熱から電気を発生させるために、ボイドと共に、熱電気装置を集積化させることが可能である。
図56は、幾つかの実施例に基づいて、横方向の電気抵抗を増加させるために横方向経路長を増加させ且つ横方向熱伝導を減少させるためのボイドの分布を示している断面図である。マイクロ波伝送線用及び/又は熱管理用の形態とされている埋設型ボイド5602は、ランダムに、擬似ランダムに、又は横方向の電気的及び熱的伝導が著しく減少され且つ垂直方向の電気的及び熱的伝導が著しく向上される複数の区域におけるパターンで、配置させることが可能である。横方向の電気的及び熱的伝導の向上が所望される区域が存在する場合があり、その場合には、伝導性チャンネルを形成するためにボイドはオーバーラップすることが可能である。
この特許出願は装置の性質及び動作を説明する場合に或る理論に言及しているが、この様な理論は現在の理解に基づくものであって、たとえ将来の開発がその理論が誤りであることを証明する場合であっても、ここの開示した装置の実際の動作に影響を与えるものではないことは明らかである。この特許明細書は、又、パラメータの数値範囲に言及しており、且つこの様な範囲からの実質的ではない逸脱は尚且つここに開示した発明の精神内のものであると理解すべきである。
明確性のために上記では幾らかの詳細について記載されているが、或る変更及び修正はその原理から逸脱すること無しに行うことが可能であることは明らかである。注意すべきことであるが、ここに記載したプロセス及び装置の両方を実現する多くの代替的な態様がある。従って、本実施例は、例示的なものであって、制限的なものではなく、且つここに記載されている一連の著作はここに与えられている詳細に制限されるべきものではなく、特許請求の範囲及び均等物の範囲内において修正することが可能なものである。

Claims (30)

  1. マイクロストラクチャ向上型光吸収を具備する光検知器において、
    カソード領域、
    アノード領域、
    前記カソード領域が前記アノード領域よりも一層正の電圧へ駆動される様に前記カソード領域と前記アノード領域との間に電圧を印加する形態とされている逆バイアス回路、及び
    前記カソード領域及びアノード領域と動作連結されており且つオプティカルファイバ通信用のソース信号からフォトンを吸収する形態とされており且つ互いに離隔されている複数個の穴からなる複数個のマイクロストラクチャを含んでいるSi及びGeの内の少なくとも一つからなる一つの層を具備しているエピタキシャル成長された結晶性のマイクロストラクチャ向上型フォトン吸収半導体領域であって、前記複数個の穴で意図的にマイクロストラクチャ向上型とされていない吸収領域と相対的に前記ソース信号の波長を含む波長範囲においてフォトンの吸収を増加させる形態とされている該複数個のマイクロストラクチャを包含している該マイクロストラクチャ向上型フォトン吸収半導体領域、を有しており、該複数個の穴は該マイクロストラクチャ向上型フォトン吸収半導体領域の厚さが増加された場合に量子効率が次第に増加し、一方帯域幅は次第に増加して最大となりその後次第に減少する特性を具備しており、且つ前記逆バイアス回路は前記複数個の穴の上方及び前記複数個の穴の間の区域の上方に存在している一つの導電層を含んでいる光検知器。
  2. 前記マイクロストラクチャが該波長範囲における最も長い波長と等しいか又はそれより短い少なくとも一つの寸法を有している請求項記載の光検知器。
  3. 前記マイクロストラクチャが周期的に離隔されたアレイに配置されている請求項1記載の光検知器。
  4. 前記マイクロストラクチャが非周期的に離隔、ランダムに離隔、又はマルチ周期的に離隔されているアレイに配置されている請求項1記載の光検知器。
  5. 更に、基板物質を包含しており、且つ前記マイクロストラクチャが前記基板物質の上部表面に対して垂直な主要長手軸を有している請求項1記載の光検知器。
  6. 前記マイクロストラクチャがそうでなければ同一の光検知器と比較して前記ソース信号の単一の方向に対する感度を減少させる配向とされている請求項1記載の光検知器。
  7. 前記光検知器がシリコンフォトダイオードである請求項1記載の光検知器。
  8. 前記光検知器が、5ギガビット/秒より大きなデータ帯域幅において、少なくとも60%の量子効率で850ナノメートルのソース信号波長において、前記ソース信号を検知する形態とされている請求項記載の光検知器。
  9. 前記光検知器が、10ギガビット/秒より大きなデータ帯域幅において、少なくとも60%の量子効率で850ナノメートルのソース信号波長において、前記ソース信号を検知する形態とされている請求項記載の光検知器。
  10. 前記光検知器が60%より大きな量子効率用の形態とされている請求項記載の光検知器。
  11. 前記光検知器がアバランシェフォトダイオードである請求項1記載の光検知器。
  12. 前記アバランシェフォトダイオードが、5ギガビット/秒より大きなデータ帯域幅にお いて、850ナノメートルのソース信号波長において、且つ2より大きな利得を有して、前記ソース信号を検知する形態とされている請求項1記載の光検知器。
  13. 更に、第2複数個のマイクロストラクチャを包含している増倍領域を有している請求項1記載の光検知器。
  14. 前記第2複数個のマイクロストラクチャが、P増倍層、N増倍層、及び電荷層からなるグループから選択される一つ又はそれ以上の増倍層内に延在する請求項1記載の光検知器。
  15. 前記光検知器が、1ギガビット/秒より大きなデータ帯域幅において、少なくとも40%の量子効率で980ナノメートルのソース信号波長において、前記ソース信号を検知する形態とされている請求項1記載の光検知器。
  16. 前記光検知器が、0.5ギガビット/秒より大きなデータ帯域幅において、少なくとも30%の量子効率で1000ナノメートルのソース信号波長において、前記ソース信号を検知する形態とされている請求項1記載の光検知器。
  17. 前記複数個のマイクロストラクチャが、同一のフォトン吸収長を有しているマイクロストラクチャが無い装置と比較した場合に、前記光検知器の容量を実効的に減少させる請求項1記載の光検知器。
  18. 前記マイクロストラクチャ向上型フォトン吸収半導体領域及び前記マイクロストラクチャがシリコン及びゲルマニウムから形成されている請求項1記載の光検知器。
  19. 前記マイクロストラクチャが、シリコンの一部をエッチング除去することによって形成されている請求項1記載の光検知器。
  20. 前記マイクロストラクチャ向上型フォトン吸収半導体領域がゲルマニウムである請求項1記載の光検知器。
  21. 更に、基板を有しており、及び、前記カソード、吸収及びアノード領域が前記基板上方に形成されており且つ前記光検知器が前記光検知器の基板側から前記ソース信号を受け取る形態とされている請求項2記載の光検知器。
  22. 前記アノード領域がエピタキシャル横方向過剰成長プロセスによって形成されたゲルマニウムP層を有している請求項2記載の光検知器。
  23. 前記光検知器が、1回目に前記ソース信号の一部を前記吸収領域を介して通過させ、表面から反射させ、且つその後に2回目に前記吸収領域を介して通過させる、形態とされている請求項2記載の光検知器。
  24. 更に、基板を有しており、及び、前記カソード、吸収及びアノード領域が前記基板上方に形成されており且つ前記光検知器が前記基板と反対側の前記光検知器の側に面する方向から前記ソース信号を受け取る形態とされている請求項2記載の光検知器。
  25. 前記アノード領域がシリコンP層を有している請求項2記載の光検知器。
  26. 前記光検知器がアバランシェフォトダイオードであって且つ更にシリコンから形成されている増倍領域を有している請求項2記載の光検知器。
  27. 前記アノード領域がエピタキシャル横方向過剰成長プロセスによって形成されているゲルマニウムP層を有している請求項2記載の光検知器。
  28. 前記アバランシェフォトダイオードが、1ギガビット/秒より大きなデータ帯域幅において、1750ナノメートル以上のソース信号波長において、且つ2より大きな利得を有して、前記ソース信号を検知する形態とされている請求項2記載の光検知器。
  29. 少なくとも一つのゲルマニウム層がシリコン層上にエピタキシャル的に成長されている請求項2記載の光検知器。
  30. 前記光検知器がアバランシェフォトダイオードであり且つ更にシリコンから形成されている増倍領域を有している請求項記載の光検知器。
JP2016515097A 2013-05-22 2014-05-22 マイクロストラクチャ向上型吸収感光装置 Active JP6602751B2 (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201361826446P 2013-05-22 2013-05-22
US61/826,446 2013-05-22
US201361834873P 2013-06-13 2013-06-13
US61/834,873 2013-06-13
US201361843021P 2013-07-04 2013-07-04
US61/843,021 2013-07-04
US201361905109P 2013-11-15 2013-11-15
US61/905,109 2013-11-15
PCT/US2014/039208 WO2014190189A2 (en) 2013-05-22 2014-05-22 Microstructure enhanced absorption photosensitive devices

Publications (2)

Publication Number Publication Date
JP2016526295A JP2016526295A (ja) 2016-09-01
JP6602751B2 true JP6602751B2 (ja) 2019-11-06

Family

ID=51934350

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016515097A Active JP6602751B2 (ja) 2013-05-22 2014-05-22 マイクロストラクチャ向上型吸収感光装置

Country Status (6)

Country Link
US (2) US9496435B2 (ja)
EP (1) EP3000134B1 (ja)
JP (1) JP6602751B2 (ja)
KR (3) KR102472078B1 (ja)
CN (1) CN105556680B (ja)
WO (1) WO2014190189A2 (ja)

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10700225B2 (en) 2013-05-22 2020-06-30 W&Wsens Devices, Inc. Microstructure enhanced absorption photosensitive devices
US10468543B2 (en) 2013-05-22 2019-11-05 W&Wsens Devices, Inc. Microstructure enhanced absorption photosensitive devices
US11121271B2 (en) 2013-05-22 2021-09-14 W&WSens, Devices, Inc. Microstructure enhanced absorption photosensitive devices
US10446700B2 (en) 2013-05-22 2019-10-15 W&Wsens Devices, Inc. Microstructure enhanced absorption photosensitive devices
WO2017112747A1 (en) * 2015-12-21 2017-06-29 W&Wsens Devices, Inc. Microstructure enhanced absorption photosensitive devices
WO2014190189A2 (en) 2013-05-22 2014-11-27 Shih-Yuan Wang Microstructure enhanced absorption photosensitive devices
WO2019018846A2 (en) * 2017-07-21 2019-01-24 W&Wsens, Devices Inc. PHOTOSENSITIVE ABSORPTION DEVICES ENHANCED BY MICROSTRUCTURES
US10644188B2 (en) * 2013-06-18 2020-05-05 John Farah Laser epitaxial lift-off GaAs substrate
CN103887157B (zh) * 2014-03-12 2021-08-27 京东方科技集团股份有限公司 光学掩膜板和激光剥离装置
US10366883B2 (en) * 2014-07-30 2019-07-30 Hewlett Packard Enterprise Development Lp Hybrid multilayer device
US9947814B2 (en) * 2014-11-03 2018-04-17 Artilux Inc. Semiconductor optical sensor
EP3221895A4 (en) 2014-11-18 2018-08-15 Shih-Yuan Wang Microstructure enhanced absorption photosensitive devices
CN104701431B (zh) * 2014-11-27 2017-03-29 厦门市三安光电科技有限公司 一种发光二极管的外延结构及其制作方法
US10032870B2 (en) * 2015-03-12 2018-07-24 Globalfoundries Inc. Low defect III-V semiconductor template on porous silicon
CN105070779A (zh) * 2015-07-07 2015-11-18 中国科学院半导体研究所 具有亚波长光栅结构的面入射硅基锗光电探测器及其制备方法
US10114238B2 (en) * 2015-07-21 2018-10-30 The Regents Of The University Of California Actively controllable color using high contrast metastructures
US10680131B2 (en) * 2015-07-27 2020-06-09 Hewlett Packard Enterprise Development Lp Doped absorption devices
US10514296B2 (en) * 2015-07-29 2019-12-24 Samsung Electronics Co., Ltd. Spectrometer including metasurface
US11867556B2 (en) 2015-07-29 2024-01-09 Samsung Electronics Co., Ltd. Spectrometer including metasurface
US11268854B2 (en) 2015-07-29 2022-03-08 Samsung Electronics Co., Ltd. Spectrometer including metasurface
US10658177B2 (en) 2015-09-03 2020-05-19 Hewlett Packard Enterprise Development Lp Defect-free heterogeneous substrates
US10134936B2 (en) * 2015-09-18 2018-11-20 Argo AI, LLC APD focal plane arrays with backside vias
US10483450B1 (en) * 2015-11-28 2019-11-19 Cody Brian Wabiszewski Internal electric converter
EP3411906A4 (en) * 2015-12-21 2019-10-09 W&SSENS Devices, Inc. MICROSTRUCTURE IMPROVED LIGHT-SENSITIVE ADSORPTION DEVICES
DE102015122804B4 (de) * 2015-12-23 2020-10-15 Infineon Technologies Ag Halbleitervorrichtung, enthaltend eine Wärmesenkenstruktur
CN109313726B (zh) * 2015-12-30 2023-07-11 谷歌有限责任公司 使用电介质减薄来减少量子设备中的表面损耗和杂散耦合
CN106960979A (zh) * 2016-01-08 2017-07-18 三星电子株式会社 纤维形电能采集和存储装置及其制造方法
US10586847B2 (en) 2016-01-15 2020-03-10 Hewlett Packard Enterprise Development Lp Multilayer device
JP6730038B2 (ja) * 2016-01-28 2020-07-29 京セラ株式会社 光電変換膜および光電変換装置
WO2017139008A1 (en) * 2016-02-11 2017-08-17 Stratio Broadband visible-shortwave infrared spectrometer
JP2017168577A (ja) * 2016-03-15 2017-09-21 住友電気工業株式会社 面発光半導体レーザを作製する方法
US11088244B2 (en) 2016-03-30 2021-08-10 Hewlett Packard Enterprise Development Lp Devices having substrates with selective airgap regions
CN105977335B (zh) * 2016-05-10 2017-09-29 武汉光电工业技术研究院有限公司 短波光学热探测器及其焦平面阵列器件
US20170271622A1 (en) * 2016-06-03 2017-09-21 Solar-Tectic, Llc High efficiency thin film tandem solar cells and other semiconductor devices
US10755866B2 (en) 2016-06-07 2020-08-25 The University Of Hong Kong Graphene-semiconductor based wavelength selective photodetector for sub-bandgap photo detection
JP7061753B2 (ja) * 2016-07-26 2022-05-02 コニカミノルタ株式会社 受光素子及び近赤外光検出器
EP3480861B1 (en) 2016-07-26 2021-04-07 Konica Minolta, Inc. Light-receiving element and near infrared light detector
CN106057927B (zh) * 2016-07-29 2018-01-19 何颖 一种光波导探测器
CN106129255B (zh) * 2016-08-25 2018-08-17 太原理工大学 基于超小周期银纳米柱阵列的有机太阳能电池及制备方法
JP6649207B2 (ja) * 2016-08-26 2020-02-19 株式会社東芝 受光装置
CN106353785B (zh) * 2016-09-05 2019-12-24 中国科学院高能物理研究所 基于雪崩光电二极管的传感器及探测器
CN107819046B (zh) * 2016-09-12 2019-10-22 中国科学院金属研究所 基于单根孪晶结构GaN纳米线的紫外光电探测器及制备方法
FR3057398B1 (fr) * 2016-10-10 2018-10-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Photodiode a double heterojonction
DE102016220492A1 (de) * 2016-10-19 2018-04-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Ladungslawinen-Photodetektor-System
US10317733B2 (en) * 2016-10-26 2019-06-11 Omnivision Technologies, Inc. Method to make LCOS oxide alignment layer by offset print
US10043936B1 (en) 2016-10-27 2018-08-07 Semiconductor Components Industries, Llc Avalanche diode, and a process of manufacturing an avalanche diode
US10553742B2 (en) * 2016-10-28 2020-02-04 Mitsubishi Electric Corporation Back-surface-incident type light-receiving device and optical module
CN117310742A (zh) * 2016-11-16 2023-12-29 应诺维思科技有限公司 激光雷达系统和方法
DE102016225344A1 (de) * 2016-12-16 2018-06-21 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. System zur Analyse von elektromagnetischer Strahlung und Bauelement zur Herstellung desselben
US10473853B2 (en) * 2016-12-22 2019-11-12 Sifotonics Technologies Co., Ltd. Fully integrated avalanche photodiode receiver
US10544042B2 (en) 2017-01-17 2020-01-28 International Business Machines Corporation Nanoparticle structure and process for manufacture
JP7060009B2 (ja) * 2017-03-22 2022-04-26 コニカミノルタ株式会社 レーザーレーダー装置
CN106848838B (zh) * 2017-04-06 2019-11-29 中国科学院半导体研究所 基于多孔DBR的GaN基VCSEL芯片及制备方法
GB2562481B (en) * 2017-05-15 2020-04-01 Rockley Photonics Ltd Germanium-based avalanche photodiode structure coupled to Si-waveguide
WO2018214069A1 (zh) * 2017-05-24 2018-11-29 深圳市汇顶科技股份有限公司 光学图像识别芯片、制造方法及终端设备
CN107425038B (zh) * 2017-06-09 2020-01-21 武汉天马微电子有限公司 一种有机发光显示面板及其制造方法、以及电子设备
WO2018229600A1 (en) * 2017-06-13 2018-12-20 3M Innovative Properties Company Light control films
US20190019903A1 (en) * 2017-07-15 2019-01-17 Jinlin Ye SILICON WAVEGUIDE INTEGRATED WITH SILICON-GERMANIUM (Si-Ge) AVALANCHE PHOTODIODE DETECTOR
GB201711783D0 (en) * 2017-07-21 2017-09-06 Univ Of Sussex Nuclear Microbattery
CN110914715B (zh) 2017-07-26 2023-09-22 深圳帧观德芯科技有限公司 辐射检测器及其制造方法
CN107403848B (zh) * 2017-09-08 2023-06-20 中国工程物理研究院电子工程研究所 一种背照式级联倍增雪崩光电二极管
KR101938990B1 (ko) * 2017-09-14 2019-01-15 한국과학기술원 광학 위상 어레이 칩 내 나노 메탈 안테나의 제작 방법 및 그 소자
CN108111784B (zh) * 2017-12-22 2020-06-26 成都先锋材料有限公司 一种生物活体影像监控系统
WO2019163580A1 (ja) * 2018-02-20 2019-08-29 株式会社村田製作所 半導体装置及び半導体装置の製造方法
FI3769343T3 (fi) * 2018-03-22 2023-09-01 Iee Sa Valoilmaisin
US10381801B1 (en) 2018-04-26 2019-08-13 Hewlett Packard Enterprise Development Lp Device including structure over airgap
CN108630781B (zh) * 2018-04-28 2020-10-20 中国科学院半导体研究所 3~5μm红外波段雪崩光电二极管探测器及其制作方法
WO2019218002A1 (en) * 2018-05-14 2019-11-21 The University Of Melbourne A photodetector
EP3821472A4 (en) * 2018-07-11 2022-03-02 SRI International LINEAR MODE AVALANCHE PHOTODIODES WITHOUT EXCESSIVE NOISE
WO2020023860A1 (en) * 2018-07-27 2020-01-30 Arizona Board Of Regents On Behalf Of The University Of Arizona Methods and systems for improving single-frequency operations of diode lasers
CN109270609B (zh) * 2018-10-17 2020-08-04 江南大学 一种利用双曲超材料光栅实现选择性吸波方法及吸波器
RU188920U1 (ru) * 2018-11-01 2019-04-29 федеральное государственное автономное образовательное учреждение высшего образования "Национальный исследовательский ядерный университет МИФИ" (НИЯУ МИФИ) Устройство для сбора солнечного излучения и генерации носителей заряда для прозрачных солнечных батарей
WO2020097758A1 (zh) * 2018-11-12 2020-05-22 京东方科技集团股份有限公司 阵列基板、显示面板、显示装置和阵列基板的制造方法
CN109449753B (zh) * 2018-11-15 2020-05-15 武汉云岭光电有限公司 Hcg反射镜层、垂直腔面发射激光器以及二者的制备方法
CN110880539B (zh) * 2018-12-06 2021-09-24 希烽光电科技(南京)有限公司 波导集成雪崩光电二极管
CN109742093A (zh) * 2018-12-18 2019-05-10 暨南大学 一种增强蓝光型硅基雪崩光电二极管阵列及其制备方法
FR3091024B1 (fr) * 2018-12-19 2021-03-05 St Microelectronics Crolles 2 Sas Photodiode à avalanche à photon unique
CN109786496A (zh) * 2018-12-29 2019-05-21 中国科学院长春光学精密机械与物理研究所 一种微结构硅基光电探测器及其制备方法
CN109860330B (zh) * 2019-01-11 2021-07-02 惠科股份有限公司 感光元件、x射线探测器及显示装置
CN109863509B (zh) * 2019-01-23 2024-04-09 深圳市汇顶科技股份有限公司 光电传感器及其制备方法
US10892373B2 (en) * 2019-02-07 2021-01-12 Newport Fab, Llc Germanium photodiode with silicon cap
CN111668324A (zh) * 2019-03-07 2020-09-15 苏州旭创科技有限公司 一种集成光栅反射结构的光探测器
US11870015B2 (en) * 2019-03-11 2024-01-09 Saphlux, Inc. Light conversion devices incorporating quantum dots
US11757072B2 (en) 2019-03-11 2023-09-12 Saphlux, Inc. Semiconductor devices incorporating quantum dots
WO2020200931A1 (en) * 2019-03-29 2020-10-08 Sony Corporation Metalens portion, electronic device and method
US11393938B2 (en) * 2019-04-02 2022-07-19 Utica Leaseco, Llc Laser-textured thin-film semiconductors by melting and ablation
US11115125B2 (en) * 2019-04-08 2021-09-07 Sifotonics Technologies Co., Ltd. Monolithic integrated coherent transceiver
CN110099230B (zh) * 2019-04-23 2021-12-28 Oppo广东移动通信有限公司 图像处理方法和装置,及存储介质
CN110087005B (zh) * 2019-04-23 2021-07-09 Oppo广东移动通信有限公司 一种彩色偏振式cis及图像处理方法、存储介质
CN113315934A (zh) * 2019-04-23 2021-08-27 Oppo广东移动通信有限公司 一种单色偏振式cis及图像处理方法、存储介质
US20200343043A1 (en) * 2019-04-29 2020-10-29 Spin Memory, Inc. Method for manufacturing a self-aligned magnetic memory element with ru hard mask
CN110312088B (zh) * 2019-06-10 2021-11-16 Oppo广东移动通信有限公司 一种像素单元电路及图像处理方法、存储介质
CN110336964B (zh) * 2019-06-11 2022-03-25 Oppo广东移动通信有限公司 一种cmos图像传感器及图像处理方法、存储介质
CN110290334B (zh) * 2019-06-26 2021-11-12 Oppo广东移动通信有限公司 像素单元电路及图像处理方法、存储介质及cmos图像传感器
CN110166698A (zh) * 2019-06-28 2019-08-23 Oppo广东移动通信有限公司 对焦方法、互补金属氧化物图像传感器、终端及存储介质
CN110310969B (zh) * 2019-07-08 2022-11-08 Oppo广东移动通信有限公司 一种像素结构、cis和终端
US10840132B1 (en) 2019-07-09 2020-11-17 Applied Materials, Inc. Methods for forming elongated contact hole ends
CN112582387A (zh) 2019-08-01 2021-03-30 文和文森斯设备公司 微结构增强吸收光敏装置
WO2021022576A1 (zh) * 2019-08-05 2021-02-11 上海新微技术研发中心有限公司 基于光子晶体的波导型锗光电探测器及制备方法
US11448891B2 (en) 2019-10-17 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Multifunctional collimator for contact image sensors
WO2021140177A1 (en) * 2020-01-09 2021-07-15 Osram Opto Semiconductors Gmbh Improvements in light detection with semiconductor photodiodes
US11545524B2 (en) * 2020-01-09 2023-01-03 Integrated Silicon Solution, (Cayman) Inc. Selector transistor with continuously variable current drive
US11921325B2 (en) 2020-02-27 2024-03-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
US11251219B2 (en) * 2020-03-10 2022-02-15 Sensors Unlimited, Inc. Low capacitance photo detectors
US11355540B2 (en) * 2020-04-15 2022-06-07 Visera Technologies Company Limited Optical device
US11158759B1 (en) 2020-04-16 2021-10-26 International Business Machines Corporation Chip carrier integrating power harvesting and regulation diodes and fabrication thereof
US11152520B1 (en) * 2020-05-07 2021-10-19 Globalfoundries U.S. Inc. Photodetector with reflector with air gap adjacent photodetecting region
US11495627B2 (en) * 2020-05-10 2022-11-08 United Microelectronics Corp. Single photon avalanche diode fabricated on a silicon-on-insulator substrate
CN111640813A (zh) * 2020-06-10 2020-09-08 北京工业大学 一种宽光谱高吸收太阳能电池
US11342472B2 (en) 2020-06-15 2022-05-24 Hewlett Packard Enterprise Development Lp Temperature insensitive optical receiver
US11289532B1 (en) 2020-09-08 2022-03-29 Argo Al, LLC Systems, methods, and devices for reducing optical and electrical crosstalk in photodiodes
CN112531073B (zh) * 2020-09-27 2022-09-20 北京工业大学 一种具有光子晶体结构的侧面入射型SOI基Si/SiGe HPT的制备方法
US11624615B2 (en) * 2020-10-05 2023-04-11 Anello Photonics, Inc. Ring waveguide based integrated photonics optical gyroscope with balanced detection scheme
CN112420857A (zh) * 2020-10-20 2021-02-26 北京工业大学 光子晶体SiGe/Si光敏晶体管探测器
KR102475560B1 (ko) * 2020-11-12 2022-12-09 한국과학기술원 향상된 성능을 갖는 박막화된 초격자 광검출기 및 그의 제조 방법
CN112382625B (zh) * 2020-11-13 2021-07-30 华南理工大学 一种氮化镓基有源器件的保护环
US11536914B2 (en) 2020-11-17 2022-12-27 Globalfoundries U.S. Inc. Photodetector array with diffraction gratings having different pitches
CN112490319B (zh) * 2020-11-27 2023-03-28 东华理工大学 一种利用湿法刻蚀具有微沟槽的AlGaAs/GaAs中子探测器
CN112490318A (zh) * 2020-11-27 2021-03-12 东华理工大学 一种具有PIN微结构的AlGaAs/GaAs中子探测器
US11482562B2 (en) 2020-12-30 2022-10-25 Applied Materials, Inc. Methods for forming image sensors
US20240105875A1 (en) * 2021-02-03 2024-03-28 Rensselaer Polytechnic Institute Silicon nitride waveguide coupled photodiode
CN113035979B (zh) * 2021-03-09 2022-08-19 南京大学 用于太阳能热光伏电池的吸收-辐射器结构的制备方法
CN113192975B (zh) * 2021-04-08 2023-12-01 深圳市华星光电半导体显示技术有限公司 显示装置及其制备方法
US20220404549A1 (en) * 2021-06-22 2022-12-22 Veo Photonics, Inc. Lateral waveguide photodetector coupler
US20220413101A1 (en) * 2021-06-23 2022-12-29 Aeluma, Inc. Lidar sensor for mobile device
US20230010538A1 (en) * 2021-06-23 2023-01-12 Aeluma, Inc. Photodetector module comprising emitter and receiver
CN115700928A (zh) * 2021-07-15 2023-02-07 上海新微技术研发中心有限公司 光电探测器及其制造方法
US11567277B1 (en) 2021-09-13 2023-01-31 Globalfoundries U.S. Inc. Distributed Bragg reflectors including periods with airgaps
CN113555416B (zh) * 2021-09-22 2021-12-31 四川上特科技有限公司 一种功率二极管器件
CN113964214A (zh) * 2021-11-25 2022-01-21 中国电子科技集团公司第四十四研究所 一种微黑体硅apd光电探测器及其制作方法
CN115000200A (zh) * 2022-05-24 2022-09-02 东南大学 一种分光与探测功能一体的硅基可见光探测器

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4292512A (en) 1978-06-19 1981-09-29 Bell Telephone Laboratories, Incorporated Optical monitoring photodiode system
FR2553583B1 (fr) * 1983-10-14 1986-03-21 Thomson Csf Limiteur de puissance elevee a diodes pin pour ondes millimetriques et procede de realisation des diodes
US4716449A (en) * 1984-03-14 1987-12-29 American Telephone And Telegraph Company At&T Bell Laboratories Nonlinear and bistable optical device
US4637129A (en) * 1984-07-30 1987-01-20 At&T Bell Laboratories Selective area III-V growth and lift-off using tungsten patterning
US4814847A (en) 1986-11-21 1989-03-21 Bell Communications Research, Inc. Ingaas semiconductor structures
JPH01145988A (ja) * 1987-12-01 1989-06-07 Takeshi Kato 取り消し機能付きエレベーターの操作装置
DE69112235T2 (de) * 1990-01-23 1996-06-13 Nippon Telegram & Telephone Optisches Gate-Array.
US5625636A (en) * 1991-10-11 1997-04-29 Bryan; Robert P. Integration of photoactive and electroactive components with vertical cavity surface emitting lasers
US5525828A (en) 1991-10-31 1996-06-11 International Business Machines Corporation High speed silicon-based lateral junction photodetectors having recessed electrodes and thick oxide to reduce fringing fields
US5341023A (en) * 1992-06-18 1994-08-23 International Business Machines Corporation Novel vertical-gate CMOS compatible lateral bipolar transistor
US5244749A (en) 1992-08-03 1993-09-14 At&T Bell Laboratories Article comprising an epitaxial multilayer mirror
JP2699807B2 (ja) * 1993-06-08 1998-01-19 日本電気株式会社 組成変調アバランシ・フォトダイオード
JP4077063B2 (ja) * 1997-05-27 2008-04-16 浜松ホトニクス株式会社 BiCMOS内蔵受光半導体装置
US5757057A (en) * 1997-06-25 1998-05-26 Advanced Photonix, Inc. Large area avalanche photodiode array
US6406984B1 (en) * 1997-10-06 2002-06-18 The United States Of America As Represented By The Secretary Of The Navy Method of making improved electrical contact to porous silicon using intercalated conductive materials
US7267948B2 (en) 1997-11-26 2007-09-11 Ut-Battelle, Llc SERS diagnostic platforms, methods and systems microarrays, biosensors and biochips
US5886374A (en) * 1998-01-05 1999-03-23 Motorola, Inc. Optically sensitive device and method
WO1999039391A1 (fr) 1998-01-30 1999-08-05 Hamamatsu Photonics K.K. DISPOSITIF A SEMI-CONDUCTEUR RECEPTEUR DE LUMIERE COMPORTANT UN BiCMOS INTEGRE ET UNE PHOTODIODE A AVALANCHE
US6027956A (en) * 1998-02-05 2000-02-22 Integration Associates, Inc. Process for producing planar dielectrically isolated high speed pin photodiode
US6785447B2 (en) 1998-10-09 2004-08-31 Fujitsu Limited Single and multilayer waveguides and fabrication process
US6177289B1 (en) * 1998-12-04 2001-01-23 International Business Machines Corporation Lateral trench optical detectors
US6879014B2 (en) 2000-03-20 2005-04-12 Aegis Semiconductor, Inc. Semitransparent optical detector including a polycrystalline layer and method of making
FR2829306B1 (fr) * 2001-09-05 2003-12-19 Cit Alcatel Composant optique semiconducteur et procede de fabrication d'un tel composant
US6724798B2 (en) 2001-12-31 2004-04-20 Honeywell International Inc. Optoelectronic devices and method of production
US6667528B2 (en) * 2002-01-03 2003-12-23 International Business Machines Corporation Semiconductor-on-insulator lateral p-i-n photodetector with a reflecting mirror and backside contact and method for forming the same
US7276749B2 (en) 2002-02-05 2007-10-02 E-Phocus, Inc. Image sensor with microcrystalline germanium photodiode layer
CN1293374C (zh) * 2002-04-17 2007-01-03 北京师范大学 能直接测量波长的新结构光电探测器及其探测方法
US6927382B2 (en) 2002-05-22 2005-08-09 Agilent Technologies Optical excitation/detection device and method for making same using fluidic self-assembly techniques
US7022544B2 (en) * 2002-12-18 2006-04-04 International Business Machines Corporation High speed photodiode with a barrier layer for blocking or eliminating slow photonic carriers and method for forming same
US6845034B2 (en) 2003-03-11 2005-01-18 Micron Technology, Inc. Electronic systems, constructions for detecting properties of objects, and assemblies for identifying persons
US8530509B2 (en) 2003-06-20 2013-09-10 Siga Technologies, Inc. Compounds, compositions and methods for treatment and prevention of orthopoxvirus infections and associated diseases
US7151006B2 (en) * 2003-11-04 2006-12-19 Varian Medical Systems Technologies, Inc. Process to reduce the dark current in semiconducting films
JP4046067B2 (ja) 2003-11-04 2008-02-13 ソニー株式会社 固体撮像素子の製造方法
US7251386B1 (en) 2004-01-14 2007-07-31 Luxtera, Inc Integrated photonic-electronic circuits and systems
US7138697B2 (en) * 2004-02-24 2006-11-21 International Business Machines Corporation Structure for and method of fabricating a high-speed CMOS-compatible Ge-on-insulator photodetector
JP2005259829A (ja) 2004-03-10 2005-09-22 Sumitomo Electric Ind Ltd 裏面入射型受光素子アレイ
US7115971B2 (en) 2004-03-23 2006-10-03 Nanosys, Inc. Nanowire varactor diode and methods of making same
CN1942957A (zh) 2004-04-15 2007-04-04 皇家飞利浦电子股份有限公司 带有掩模层的光学母盘基片和制造高密度浮雕结构的方法
DE102004031606B4 (de) * 2004-06-30 2009-03-12 Infineon Technologies Ag Integrierte Schaltungsanordnung mit pin-Diode und Herstellungsverfahren
US7340709B1 (en) 2004-07-08 2008-03-04 Luxtera, Inc. Method of generating a geometrical rule for germanium integration within CMOS
US7397101B1 (en) 2004-07-08 2008-07-08 Luxtera, Inc. Germanium silicon heterostructure photodetectors
WO2006047602A2 (en) * 2004-10-25 2006-05-04 University Of Rochester Methods of making energy conversion devices with substantially contiguous depletion regions
WO2006047560A2 (en) * 2004-10-25 2006-05-04 University Of Rochester Direct energy conversion devices with substantially contiguous depletion region
DE102004060365B4 (de) * 2004-12-15 2009-03-19 Austriamicrosystems Ag Bauelement mit Halbleiterübergang und Verfahren zur Herstellung
JP2006237186A (ja) 2005-02-24 2006-09-07 Mitsubishi Electric Corp 半導体受光素子およびその製造方法
US20100193768A1 (en) 2005-06-20 2010-08-05 Illuminex Corporation Semiconducting nanowire arrays for photovoltaic applications
US8461648B2 (en) * 2005-07-27 2013-06-11 Infineon Technologies Austria Ag Semiconductor component with a drift region and a drift control region
FR2889636B1 (fr) * 2005-08-05 2009-09-25 Alcatel Sa Dispositif optoelectronique integre comportant un amplificateur optique a semiconducteur et une photodiode
US7768085B2 (en) * 2005-10-11 2010-08-03 Icemos Technology Ltd. Photodetector array using isolation diffusions as crosstalk inhibitors between adjacent photodiodes
US20070170536A1 (en) 2006-01-25 2007-07-26 Sharp Laboratories Of America, Inc. Liquid phase epitaxial GOI photodiode with buried high resistivity germanium layer
JP4823711B2 (ja) 2006-02-16 2011-11-24 Hoya株式会社 パターン形成方法及び位相シフトマスクの製造方法
KR20080104047A (ko) * 2006-03-02 2008-11-28 아이스모스 테크날러지 코포레이션 광검출기 어레이에 대한 전면 전기적 콘택 및 이의 제조 방법
WO2007127103A2 (en) 2006-04-27 2007-11-08 Intematix Corporation Systems and methods for enhanced solar module conversion efficiency
US7924413B2 (en) * 2006-04-28 2011-04-12 Hewlett-Packard Development Company, L.P. Nanowire-based photonic devices
US7893348B2 (en) 2006-08-25 2011-02-22 General Electric Company Nanowires in thin-film silicon solar cells
JP4296193B2 (ja) 2006-09-29 2009-07-15 株式会社東芝 光デバイス
US7653124B2 (en) 2006-10-03 2010-01-26 Fujitsu Limited Monitoring differential phase-shifted keying demodulation
JP5135759B2 (ja) 2006-10-19 2013-02-06 富士電機株式会社 超接合半導体装置の製造方法
US20080229941A1 (en) 2007-03-19 2008-09-25 Babak Heidari Nano-imprinting apparatus and method
JP5300344B2 (ja) 2007-07-06 2013-09-25 キヤノン株式会社 光検出素子及び撮像素子、光検出方法及び撮像方法
WO2009038609A1 (en) * 2007-07-12 2009-03-26 Deese, Edward Solar photovoltaic structure comprising photon sensitive nanocells
US7772615B2 (en) * 2007-08-10 2010-08-10 Connector Optics Anti stark electrooptic medium and electrooptically modulated optoelectronic device based thereupon
US7795064B2 (en) 2007-11-14 2010-09-14 Jds Uniphase Corporation Front-illuminated avalanche photodiode
WO2009088071A1 (ja) 2008-01-10 2009-07-16 Nec Corporation 半導体受光素子及び光通信デバイス
US20090188557A1 (en) 2008-01-30 2009-07-30 Shih-Yuan Wang Photonic Device And Method Of Making Same Using Nanowire Bramble Layer
JP2009239260A (ja) 2008-03-07 2009-10-15 Mitsubishi Electric Corp 半導体レーザおよびその製造方法
JP2009218457A (ja) 2008-03-12 2009-09-24 Panasonic Corp 光半導体装置
US8129710B2 (en) 2008-04-24 2012-03-06 Hans Cho Plasmon enhanced nanowire light emitting diode
JP2010010450A (ja) 2008-06-27 2010-01-14 Mitsubishi Electric Corp 導波路型受光素子
US8298339B2 (en) 2008-08-04 2012-10-30 Xunlight Corporation Roll-to-roll continuous thin film PV manufacturing process and equipment with real time online IV measurement
CN102144298B (zh) * 2008-09-04 2013-07-31 昆南诺股份有限公司 纳米结构的光电二极管
US8877616B2 (en) 2008-09-08 2014-11-04 Luxtera, Inc. Method and system for monolithic integration of photonics and electronics in CMOS processes
KR100882240B1 (ko) 2008-09-11 2009-02-25 (주)플러스텍 질화물 반도체 발광소자 및 제조방법
US8357960B1 (en) * 2008-09-18 2013-01-22 Banpil Photonics, Inc. Multispectral imaging device and manufacturing thereof
US7956388B2 (en) * 2008-10-24 2011-06-07 Unisantis Electronics (Japan) Ltd. Solid-state image pickup element and solid-state image pickup device
CN102365753A (zh) 2008-10-30 2012-02-29 纳米太阳能公司 混合型透明导电电极
WO2010057060A2 (en) 2008-11-13 2010-05-20 Solexel, Inc. Methods and systems for manufacturing thin-film solar cells
KR101249292B1 (ko) 2008-11-26 2013-04-01 한국전자통신연구원 열전소자, 열전소자 모듈, 및 그 열전 소자의 형성 방법
KR101520029B1 (ko) * 2008-12-31 2015-05-15 삼성전자주식회사 고정세화 패턴을 갖는 광 변조기
GB0902569D0 (en) * 2009-02-16 2009-04-01 Univ Southampton An optical device
US8670471B2 (en) 2009-02-27 2014-03-11 California Institute Of Technology Photonic crystal cavities and related devices and methods
FR2943802B1 (fr) 2009-03-24 2011-09-30 Univ Paris Sud Modulateur optique a haut debit en semi-conducteur sur isolant
US8211735B2 (en) 2009-06-08 2012-07-03 International Business Machines Corporation Nano/microwire solar cell fabricated by nano/microsphere lithography
US8461451B2 (en) 2009-06-11 2013-06-11 Sharp Kabushiki Kaisha Vertical junction tandem/multi-junction PV device
WO2011001842A1 (en) 2009-07-03 2011-01-06 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and manufacturing method thereof
US7977637B1 (en) 2009-08-20 2011-07-12 Hrl Laboratories, Llc Honeycomb infrared detector
WO2012034078A1 (en) 2010-09-10 2012-03-15 Shih-Ping Wang Photovoltaic nanowire structures and related fabrication methods
US9349970B2 (en) 2009-09-29 2016-05-24 Research Triangle Institute Quantum dot-fullerene junction based photodetectors
US9324891B2 (en) * 2010-01-07 2016-04-26 Sharp Kabushiki Kaisha Solar cell, solar cell panel, and device comprising solar cell
KR101081062B1 (ko) 2010-03-09 2011-11-07 엘지이노텍 주식회사 발광 소자, 발광 소자 제조방법 및 발광 소자 패키지
JP5940252B2 (ja) * 2010-04-16 2016-06-29 株式会社半導体エネルギー研究所 表示装置
US8787776B2 (en) 2010-06-04 2014-07-22 The Governing Council Of The University Of Toronto Optical receiver with monolithically integrated photodetector
GB201012829D0 (en) 2010-07-30 2010-09-15 Oclaro Technology Ltd Enclosure for a laser package and laser package comprising same
US8569739B2 (en) 2010-12-16 2013-10-29 California Institute Of Technology Chemically-etched nanostructures and related devices
US8933506B2 (en) * 2011-01-31 2015-01-13 Alpha And Omega Semiconductor Incorporated Diode structures with controlled injection efficiency for fast switching
WO2012149441A2 (en) 2011-04-29 2012-11-01 The Regents Of The University Of California High efficiency vertical optical coupler using sub-wavelength high contrast grating
US20120292676A1 (en) * 2011-05-21 2012-11-22 James Pan Novel Very Fast Optic Nonvolatile Memory with Alternative Carrier Lifetimes and Bandgap Energies, Optic Random Access, and Mirrored "Fly-back" Configurations
US8368159B2 (en) 2011-07-08 2013-02-05 Excelitas Canada, Inc. Photon counting UV-APD
US9170387B2 (en) 2011-09-29 2015-10-27 Corning Cable Systems Llc Optical component assemblies
JP5832852B2 (ja) 2011-10-21 2015-12-16 浜松ホトニクス株式会社 光検出装置
DE102012201911B4 (de) * 2012-02-09 2022-09-22 Robert Bosch Gmbh Super-Junction-Schottky-Oxid-PiN-Diode mit dünnen p-Schichten unter dem Schottky-Kontakt
US20130230272A1 (en) 2012-03-01 2013-09-05 Oracle International Corporation Chip assembly configuration with densely packed optical interconnects
US9490385B2 (en) 2012-05-29 2016-11-08 Hewlett Packard Enterprise Development Lp Devices including independently controllable absorption region and multiplication region electric fields
JP6105258B2 (ja) 2012-11-05 2017-03-29 住友電気工業株式会社 半導体受光素子、光受光装置
WO2014190189A2 (en) 2013-05-22 2014-11-27 Shih-Yuan Wang Microstructure enhanced absorption photosensitive devices
US9431490B2 (en) * 2013-08-09 2016-08-30 Infineon Technologies Austria Ag Power semiconductor device and method
US20150054997A1 (en) 2013-08-23 2015-02-26 Aptina Imaging Corporation Image sensors having pixel arrays with non-uniform pixel sizes
US20160240718A1 (en) 2013-10-09 2016-08-18 Fci Americas Technology Llc Double-pass photodiode with embedded reflector
KR101695708B1 (ko) * 2014-01-09 2017-01-13 한국전자통신연구원 반도체 소자 및 그 제조방법
US9755096B2 (en) 2014-03-10 2017-09-05 Elenion Technologies, Llc Lateral Ge/Si avalanche photodetector
US9360554B2 (en) 2014-04-11 2016-06-07 Facet Technology Corp. Methods and apparatus for object detection and identification in a multiple detector lidar array
EP3221895A4 (en) * 2014-11-18 2018-08-15 Shih-Yuan Wang Microstructure enhanced absorption photosensitive devices
US10353267B2 (en) 2016-12-30 2019-07-16 Huawei Technologies Co., Ltd. Carrier-effect based optical switch

Also Published As

Publication number Publication date
WO2014190189A9 (en) 2016-01-07
EP3000134B1 (en) 2021-03-10
KR102593511B1 (ko) 2023-10-24
JP2016526295A (ja) 2016-09-01
US20160254407A1 (en) 2016-09-01
EP3000134A2 (en) 2016-03-30
KR102472078B1 (ko) 2022-11-29
US9496435B2 (en) 2016-11-15
WO2014190189A3 (en) 2015-01-22
CN105556680B (zh) 2017-12-22
KR20160039150A (ko) 2016-04-08
WO2014190189A2 (en) 2014-11-27
US20160126381A1 (en) 2016-05-05
EP3000134A4 (en) 2017-01-04
CN105556680A (zh) 2016-05-04
US10622498B2 (en) 2020-04-14
KR20220164070A (ko) 2022-12-12
KR102358584B1 (ko) 2022-02-04
KR20220019844A (ko) 2022-02-17

Similar Documents

Publication Publication Date Title
JP6602751B2 (ja) マイクロストラクチャ向上型吸収感光装置
US10468543B2 (en) Microstructure enhanced absorption photosensitive devices
US10446700B2 (en) Microstructure enhanced absorption photosensitive devices
US9818893B2 (en) Microstructure enhanced absorption photosensitive devices
Haverkort et al. Fundamentals of the nanowire solar cell: Optimization of the open circuit voltage
US7663202B2 (en) Nanowire photodiodes and methods of making nanowire photodiodes
CA2941586C (en) Germanium metal-contact-free near-ir photodetector
US20230215962A1 (en) Microstructure enhanced absorption photosensitive devices
US11309444B1 (en) Microstructure enhanced absorption photosensitive devices
CN111886704B (zh) 光检测器
CN115136328A (zh) 功率光电二极管、用于将光纤耦接到功率光电二极管的方法以及光纤供电系统
US11621360B2 (en) Microstructure enhanced absorption photosensitive devices
US20240063317A1 (en) Microstructure enhanced absorption photosensitive devices
Casalino et al. Recent advances in silicon photodetectors based on the internal photoemission effect
Emsley et al. Epitaxy-ready reflecting substrates for resonant-cavity-enhanced silicon photodetectors
Jung Mid infrared III-V semiconductor emitters and detectors

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180529

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180821

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181002

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190402

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190522

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190723

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190818

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190910

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191009

R150 Certificate of patent or registration of utility model

Ref document number: 6602751

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250