JP6539900B2 - 強誘電体デバイス及びその製造方法 - Google Patents

強誘電体デバイス及びその製造方法 Download PDF

Info

Publication number
JP6539900B2
JP6539900B2 JP2015528337A JP2015528337A JP6539900B2 JP 6539900 B2 JP6539900 B2 JP 6539900B2 JP 2015528337 A JP2015528337 A JP 2015528337A JP 2015528337 A JP2015528337 A JP 2015528337A JP 6539900 B2 JP6539900 B2 JP 6539900B2
Authority
JP
Japan
Prior art keywords
ferroelectric
gate
manufacturing
semiconductor
calcium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015528337A
Other languages
English (en)
Other versions
JPWO2015012359A1 (ja
Inventor
酒井 滋樹
滋樹 酒井
光恵 高橋
光恵 高橋
楠原 昌樹
昌樹 楠原
都田 昌之
昌之 都田
梅田 優
優 梅田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
National Institute of Advanced Industrial Science and Technology AIST
Original Assignee
National Institute of Advanced Industrial Science and Technology AIST
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by National Institute of Advanced Industrial Science and Technology AIST filed Critical National Institute of Advanced Industrial Science and Technology AIST
Publication of JPWO2015012359A1 publication Critical patent/JPWO2015012359A1/ja
Application granted granted Critical
Publication of JP6539900B2 publication Critical patent/JP6539900B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、強誘電体デバイス及びその製造方法に係り、例えば、ゲート絶縁膜が強誘電体を含む積層構造である半導体トランジスタなどの、強誘電体デバイス及びその製造方法に関するものである。
ゲート絶縁膜に強誘電体を用いたトランジスタは、データ記憶、データの読み出し、書き込みが単一のトランジスタで行われるため、次世代の高集積メモリとして期待されている。このトランジスタは、強誘電体の電気分極の向きに対応してトランジスタの電気伝導が制御される。導体、強誘電体、半導体のMFS(Metal-Ferroelectrics- Semiconductor)積層構造を持つMFSトランジスタが原型であるが、近年では、半導体と強誘電体の両方の特徴を損なわないように、その間にバッファとなる絶縁体を挿入した構造も研究されている(例えば、特許文献1、2参照)。この構造を持つトランジスタは、MFIS(Metal-Ferroelectrics-Insulator-Semiconductor)トランジスタと呼ばれている。
このMFSもしくはMFISトランジスタは、原理的には(1)電気分極を利用しているため、電源を切ってもデータが消失しない、(2)読み出し動作はトランジスタのソース・ドレイン間のチャネルの電気伝導を見るだけでよく、読み出し動作の後もデータの内容は破壊されない、(3)データの読み出し、書き込み速度がDRAM程度に高速であるという特徴を持つことが期待されている。
しかしながら、従来のMFSもしくはMFISトランジスタは、データを書き込み後、長くても1日程度でメモリトランジスタ動作として見るとデータが消えてしまうという問題を抱えていた(例えば、非特許文献1、2参照)。
すなわち、従来のMFSもしくはMFISトランジスタでは、実用化が可能である程度の期間データを保持していることができなかった。これは主として、バッファ層及び強誘電体のリーク電流が大きいため、強誘電体とバッファ層の界面付近に電荷が蓄積されこの電荷が強誘電体中の電気分極を遮蔽し、トランジスタのチャネルの電気伝導が強誘電体の電気分極によって制御できなくなるためである。
また、強誘電体にデータを書き込むときにMFSもしくはMFISに電圧を加える訳であるが、バッファ層の比誘電率が小さいと電圧の大部分がバッファ層の方に加わるという問題もあった。
それに対して本発明者は、特許文献3、特許文献4において、実用化が可能で、ある程度の期間データを保持することのできるMFSもしくはMFISトランジスタ、すなわち半導体強誘電体デバイス及びその製造方法を提供している。
特許文献3では、絶縁体バッファ層をHf1−XAl2X2+X+Yあるいは窒素をドープしたHfO2+uで構成することにより、絶縁体バッファ層と強誘電体の両方のリーク電流を低くえることができ、データ保持時間が真に充分長いメモリトランジスタが実現する技術を提供している。
特許文献4では、ソース領域とドレイン領域を有する半導体基板または半導体領域上に、ハフニウム酸化物を主成分とする絶縁体バッファ層、強誘電体膜およびゲート電極がこの順に積層されているトランジスタを有する半導体強誘電体記憶デバイスの製造方法であって、半導体表面処理、絶縁体バッファ層形成、強誘電体膜形成、ゲート電極形成および熱処理工程を含み、前記絶縁体バッファ層形成を、窒素と酸素のモル比が1:1〜1:10−7の混合ガスの雰囲気中にて行うことを特徴とする半導体強誘電体記憶デバイスの製造方法を提供している。
このMFSもしくはMFISトランジスタでは強誘電体として、Sr-Bi-Ta-OなどのストロンチウムSrとビスマスBiとタンタルTaの酸化物であるSBTを主成分とする強誘電体を用いることが多かったが、MFSもしくはMFISトランジスタの微細化の開発の中で、ゲート長並びに強誘電体膜の膜厚を小さくすることが要請されるようになった。
強誘電体の膜厚を200nm以下にしても広いメモリウィンドウを持つMFISトランジスタの研究に発明者は注力し、Sr-Bi-Ta-OなどのストロンチウムSrとカルシウムCaとビスマスBiとタンタルTaの酸化物であるSCBTを主成分とする強誘電体が好適なMFSもしくはMFISトランジスタを提供することを特許文献5および非特許文献3に公表した。微細な強誘電体デバイスの開発例として、SBTを強誘電体として用いたゲート長260nmのMFISトランジスタを公表した(非特許文献4)。
また、MFSもしくはMFISトランジスタは、そのゲートスタックを、強誘電体層もしくはバッファ層と強誘電体層の積層で被包することで、メモリウィンドウが広がり、側壁保護層としての役割を兼ねることが記されている(特許文献6)。
特開2001−291841号公報 特開2002−353420号公報 特開2004-304143号公報 特開2009−44195号公報 WO2013183547 A1 特許第4822547号公報
S. Migita et al., Integrated Ferroelectrics Vol. 40, pp.135-143, 2001 右田真司他、電子情報通信学会論文誌 Vol.J85-C No.1(2002年1月号)pp.14-22 Wei Zhang, Mitsue Takahashi and Shigeki Sakai, Semiconductor Science and Technology, vol.28, 085003 (7pp), 2013. Le Van Hai, Mitsue Takahashi and Shigeki Sakai, 2011IEEE Proceedings of the 3rd InternationalMemory Workshop p.175.
Sr-Ca-Bi-Ta-OなどのストロンチウムSrとカルシウムCaとビスマスBiとタンタルTaの酸化物であるSCBTは、Sr-Bi-Ta-OなどのストロンチウムSrとビスマスBiとタンタルTaの酸化物であるSBTよりも、MFSもしくはMFISトランジスタなどの強誘電体デバイスを微細化していくために好適な材料であるが、これまでパルスレーザ堆積(PLD)法で成膜してきた。PLD法は実験室での研究には優れているが、生産性、量産性に優れた方法ではない。組成の制御性が優れている訳でもない。
また、SCBTを有機金属気相成長(MOCVD)法で成膜するに際して、MFSもしくはMFISトランジスタなどの強誘電体デバイス用途に適した錯体原料、特にCaを導入するための錯体原料については知られておらず、SCBTの最適な組成も不明であった。
また近年、非特許文献4のようにMFSもしくはMFISトランジスタの微細化研究がゲート長260nmまで進展し、従来のゲートスタックの側面保護を目的とする第2の強誘電体層の物理膜厚も薄く、100nm以下に、より好ましくは10nm以下に、することが求められている。
しかし、微細化研究をさらに進めてゲート長が260nmよりも短い強誘電体トランジスタを実際に作製してみると、ゲート長が260nm以上の強誘電体トランジスタでは生じない各種の課題が生じることを本発明者は知見した。例えば、メモリウィンドウが狭くなること、データ保持時間が低下することなどである。
さらに、微細化に伴い高集積化され密集して配置される場合には隣接MFSもしくはMFISトランジスタ間の物理的距離が短いため、データの誤書込みを防ぐ目的で、隣接MFSもしくはMFISトランジスタ間の素子分離を目的とする絶縁体には比誘電率が低くSiO換算膜厚が大きいことが求められている。この隣接トランジスタ間の素子分離を目的とする絶縁体の大部分を比誘電率が高いことで知られる強誘電体が占めることは、強誘電体デバイスの微細化を進めるうえで好ましくない。
また、ゲートスタックの側面はエッチングやイオン注入による損傷を受けるが、ゲート長が微細化された強誘電体デバイスではゲートスタックに占める側面近傍の体積が相対的に大きくなりエッチングダメージやイオン注入ダメージの影響が大きく顕在化することがわかった。ゲートスタックの側面のエッチングダメージの回復の目的では、ゲートスタック中の第1の強誘電体の側面に、他の絶縁体を介さずに、第1の強誘電体と同じ構成元素による第2の強誘電体を接触させた状態で熱処理することが好ましいことを知見した。
また、強誘電体デバイスの製造では一般に、ゲートスタック中の第1の強誘電体の強誘電性を発現させるための熱処理の工程が必要である。第2の強誘電体をゲート側面に成膜した後に熱処理した場合、半導体、例えばSi、の表面が酸化することによって比誘電率の小さい半導体酸化物、例えばSiO、の膜が半導体の表面に成長することは避けられない。この半導体酸化物の比誘電率が小さく物理膜厚が大きいほど、すなわちSiO換算膜厚が大きいほど、MFSもしくはMFISゲート導体に印加される総電圧のうち第1の強誘電体に分配される電圧が減る。その結果、MFSもしくはMFISトランジスタなどの強誘電体デバイスのメモリウィンドウが小さくなる不都合が起こる。
この比誘電率の小さい半導体酸化物は、エッチング後のゲートスタックの外側すなわち側面に近い側の半導体表面において、側面から離れた内側よりも厚く成長する傾向がある。従って、ゲートスタックに占める側面近傍の体積が相対的に大きくなる微細化された強誘電体デバイスの製造では特に、外界からゲートスタックへの酸素の透過を抑制する役割をするのに十分な物理膜厚と適した材料による絶縁体でゲートスタックを被覆してから熱処理することが必要である。
なお、以上に述べた各種の課題は本発明者が初めて見出したものである。
本発明の目的は、強誘電体デバイスの特徴である不揮発記憶保持と多数回書換え耐性を持ちながら、従来の成膜方法よりも生産性・量産性と材料組成の制御性に優れた成膜方法により、適切な成膜原料を使って適切な組成で成膜されたメモリウィンドウの広い強誘電体SCBTを用い、ゲートスタックの側面のエッチングダメージを緩和しかつ半導体表面の酸化成長を抑制でき、メモリウィンドウも広く微細化にも適応した強誘電体デバイスの製造が可能な強誘電体デバイスの製造方法及び強誘電体デバイスを提供することである。
請求項1に係る発明は、半導体の上に絶縁体、第1の強誘電体、導体がこの順に堆積した積層構造、もしくは、半導体の上に第1の強誘電体、導体、がこの順に堆積した積層構造のいずれかを有し、前記第1の強誘電体はストロンチウムを含む錯体、カルシウムを含む錯体、ビスマスを含む錯体とタンタルを含む錯体を溶媒に溶解した原料溶液を搬送ガス中に分散した気液2相状態の原料ガスを、気液2相状態を維持したまま気化室に導入し気化室において気化を行った後成膜室へ導入する有機金属気相成長法により作製する強誘電体デバイスの製造方法であり、前記カルシウムを含む錯体がCa[Ta(OC
(OCOCH)]であることを特徴とする強誘電体デバイスの製造方法。
請求項2に係る発明は、半導体の上に、絶縁体、第1の強誘電体、導体がこの順に堆積した積層から成るゲートスタック、もしくは、第1の強誘電体、導体がこの順に堆積した積層から成るゲートスタックのいずれかを有し、前記ゲートスタック側面の少なくとも第1の強誘電体に接触するように第2の強誘電体と比誘電率10以下の絶縁体をこの順に積層した後、第1の強誘電体の結晶化もしくは多結晶化のための熱処理を行うことを特徴とする強誘電体デバイスの製造方法。
請求項3に係る発明は、半導体の上に、絶縁体、第1の強誘電体、導体がこの順に堆積した積層から成るゲートスタック、もしくは、第1の強誘電体、導体がこの順に堆積した積層から成るゲートスタックのいずれかを形成し、前記ゲートスタック側面の少なくとも第1の強誘電体に接触するようにストロンチウムとカルシウムとビスマスとタンタルの酸化物を主成分とする第2の強誘電体を有機金属気相成長法で作製することを特徴とする請求項2記載の強誘電体デバイスの製造方法である。
請求項4に係る発明は、前記第2の強誘電体は5nm以上100nm以下の厚さであることを特徴とする請求項2又は3に記載の強誘電体デバイスの製造方法である。
請求項5に係る発明は、前記第2の強誘電体は5nm以上10nm以下の厚さであることを特徴とする請求項4に記載の強誘電体デバイスの製造方法である。
請求項6に係る発明は、前記第2の強誘電体が、ストロンチウムとカルシウムとビスマスとタンタルを含む錯体を溶媒に溶解した原料溶液を搬送ガス中に分散した気液2相状態の原料ガスを、気液2相状態を維持したまま気化室に導入し気化室において気化を行った
後成膜室へ導入する有機金属気相成長法により作製されることを特徴とする請求項2ないし5のいずれか1項に記載の強誘電体デバイスの製造方法である。
請求項7に係る発明は、前記第2の強誘電体を作製した後、前記ゲートスタック側面の第2の強誘電体を残して非ゲート部の半導体表面の第2の強誘電体を除去し、その状態で半導体表面にソース・ドレイン領域を形成する請求項2ないし6のいずれか1項記載の強誘電体デバイスの製造方法である。
請求項8に係る発明は、前記第2の強誘電体の除去はマスクレスで行う請求項7記載の強誘電体デバイスの製造方法である。
請求項9に係る発明は、前記半導体表面の第2の強誘電体の除去はRIE法により行う請求項7又は8に記載の強誘電体デバイスの製造方法である。
請求項10に係る発明は、前記第1の強誘電体の主成分はストロンチウムとカルシウムとビスマスとタンタルの酸化物であってストロンチウムとカルシウムの比を1-x:xと表した時にxが0.5以下であることを特徴とする請求項1ないし9のいずれか1項に記載の強誘電体デバイスの製造方法である。
請求項11に係る発明は、前記第2の強誘電体の主成分はストロンチウムとカルシウムとビスマスとタンタルの酸化物であってストロンチウムとカルシウムの比を1-x:xと表した時にxが0.5以下であることを特徴とする請求項2ないし9のいずれか1項に記載の強誘電体デバイスの製造方法である。
請求項12に係る発明は、ゲート長が200nm以下である請求項2ないし11のいずれか1項記載の強誘電体デバイスの製造方法である。
請求項13に係る発明は、前記ゲート長が、130nm以上である請求項12記載の強誘電体デバイスの製造方法である。
請求項14に係る発明は、前記ゲート長が、160nm以下である請求項12又は13記載の強誘電体デバイスの製造方法である。
請求項15に係る発明は、半導体の上に絶縁体、第1の強誘電体、導体がこの順に堆積されている積層構造、もしくは、半導体の上に第1の強誘電体、導体がこの順に堆積されている積層構造のいずれかを有し、前記第1の強誘電体はストロンチウムとカルシウムとビスマスとタンタルの酸化物を主成分とする強誘電体であり、前記第1の強誘電体のゲート長が200nm以下である強誘電体デバイスである。
請求項16に係る発明は、半導体の上に、絶縁体、第1の強誘電体、導体がこの順に積層されて成るゲートスタック、もしくは、第1の強誘電体、導体がこの順に積層されて成るゲートスタックのいずれかを有し、前記第1の強誘電体はストロンチウムとカルシウムとビスマスとタンタルの酸化物を主成分とする強誘電体であり、前記第1の強誘電体のゲート長が200nm以下であり、前記ゲートスタック側面の少なくとも第1の強誘電体に接触するように第2の強誘電体と比誘電率10以下の絶縁体がこの順に積層されていることを特徴とする強誘電体デバイスである。
請求項17に係る発明は、前記ゲート長が、130nm以上である請求項15又は16記載の強誘電体デバイスである。
請求項18に係る発明は、前記ゲート長が、160nm以下である請求項15ないし17のいずれか1項記載の強誘電体デバイスである。
請求項19に係る発明は、前記第2の強誘電体はストロンチウムとカルシウムとビスマスとタンタルの酸化物を主成分とする強誘電体であることを特徴とする請求項16に記載の強誘電体デバイスである。
請求項20に係る発明は、半導体表面にはその熱酸化物を実質的に含んでいないことを特徴とする請求項15ないし19のいずれか1項記載の強誘電体デバイスである。
請求項21に係る発明は、前記第1の強誘電体は、ソース・ドレインに注入する不純物を含んでいないことを特徴とする請求項15ないし20のいずれか1項に記載の強誘電体デバイスである。
請求項22に係る発明は、前記第2の強誘電体は5nm以上100nm以下の厚さであることを特徴とする請求項16に記載の強誘電体デバイスである。
請求項23に係る発明は、前記第2の強誘電体は5nm以上10nm以下の厚さであることを特徴とする請求項22に記載の強誘電体デバイスである。
請求項24に係る発明は、前記第1の強誘電体の主成分はストロンチウムとカルシウムとビスマスとタンタルの酸化物であってストロンチウムとカルシウムの比を1-x:xと表した時にxが0.5以下であることを特徴とする請求項15ないし23のいずれか1項に記載の強誘電体デバイスである。
請求項25に係る発明は、前記第2の強誘電体の主成分はストロンチウムとカルシウムとビスマスとタンタルの酸化物であってストロンチウムとカルシウムの比を1-x:xと表した時にxが0.5以下であることを特徴とする請求項16に記載の強誘電体デバイスである。
本願発明によれば、データの書き込みと読み出しを1個のトランジスタサイズにより実現することができ、しかも書き込んだデータは、実用上十分な長時間消失することがない。
そして、読み出し後も、データの内容は、破壊されない。本願発明に係る強誘電体デバイスは、広範囲の用途の半導体メモリ、さらには半導体論理回路中の安定な一時記憶デバイス等、多様な回路の中で用いることができる。さらに、強誘電体デバイスを微細化しても広いメモリウィンドウが達成される。
本発明は、ゲートスタックの容積が小さいときに特に有効である。すなわち、ゲート長が200nm以下の場合にはデータ保持時間の短期化、メモリウィンドウの幅狭化が特に生じやすいが、本発明の場合にはかかる場合であっても長期の保持時間、広いメモリウィンドウを呈することができる。
ゲートスタックの側壁に、本発明に係る有機金属気相成長法により第1の強誘電体膜と同じ第2の強誘電体よりなる側壁層を形成した場合に長期のデータ保持時間、広いメモリウィンドウが達成される。スパッタリング法、あるいは他のMOCVD法により側壁層を形成した場合にはかかる効果は達成できない。なぜならスパッタリング法では段差被覆性が良くない。従来の他のMOCVDでは、膜中にCをコンタミネーションとして含有してしまい、これが、データ保持時間を縮めているのではないかと推測される。
マスクレスでの異方性エッチングにより、基板上の第2の強誘電体をエッチング除去し、ソース・ドレインを形成するため、工程の省略化が可能となる。
従来の堆積法では、側壁上への堆積は基板上への堆積よりも膜厚が薄くなるため、異方性エッチングによってもゲートスタックの側壁にダメージを与える可能性が高い。しかし、本発明による堆積法では、側壁上への堆積と基板上への堆積とで膜厚及び緻密さに大きな違いがでない。従って、側壁の堆積膜に大きなダメージを与えることなく基板面の堆積膜をエッチング除去することが可能となる。
側壁層の厚さは100nm以下が好ましく、10nm以下がより好ましい。側壁層は、エッチングダメージの回復という観点からはある程度厚い方が好ましい。しかし、側壁層があまりに厚すぎると側壁層も含めたトランジスタ1個あたりのサイズが大きくなってしまう。本発明における側壁層はSCBTで形成しており、100nm以下の厚さでも十分にエッチングダメージの回復に寄与し、ひいては、長期のデータ保持時間を達成することが可能となる。10nm以下でも同様である。ただ、下限としては、5nm以上が好ましい。
本願発明に係る強誘電体デバイスの断面の概念的構造図である。 実施例1に係り、ゲート電圧とドレイン電流の関係を示すグラフである。 実施例1に係り、しきい値電圧の経時変化を示すグラフである。 実施例2に係り、ゲート電圧とドレイン電流の関係を示すグラフである。 実施例3に係り、ゲート電圧とドレイン電流の関係を示すグラフである。 実施例4に係り、ゲート電圧とドレイン電流の関係を示すグラフである。 実施例4に係り、ゲート電圧とドレイン電流の関係を示すグラフである。 比較例1に係り、ゲート電圧とドレイン電流の関係を示すグラフである。 実施例6に係り、ゲート電圧とドレイン電流の関係を示すグラフである。 実施例6に係り、書換え回数耐性テストの結果を示すグラフである。 実施例6に係り、データ保持特性の結果を示すグラフである。 本発明の実施に使用できるMOCVD装置の断面図である。 実施例7に係り、ゲート電圧とドレイン電流の関係を示すグラフである。 本願発明に係る強誘電体デバイスの断面の概念的構造図である。 実施例8に係り、書換え回数耐性テストの結果を示すグラフである。 実施例8に係り、データ保持特性の結果を示すグラフである。 本願発明に係る強誘電体デバイスの断面の概念的構造図である。 実施例9に係り、ゲート電圧とドレイン電流の関係を示すグラフである。 実施例9に係り、データ保持特性の結果を示すグラフである。 本願発明に係る強誘電体デバイスの断面の概念的構造図である。 実施例10に係り、ゲート電圧とドレイン電流の関係を示すグラフである。 本願発明に係る強誘電体デバイスのゲートスタックの断面の例である。
1 半導体
2 絶縁体
3 強誘電体
4 導体(ゲート電極)
5、5a 半導体中のソース領域
6、6a 半導体中のドレイン領域
7 半導体中のソース領域とドレイン領域を除く領域
8 第2の強誘電体である側壁層
9 比誘電率10以下の絶縁体である保護層
20 気化管
22 気化部
92 ガス通路
93 キャリアガス
94 ガス導入口

95 原料溶液
96 原料供給孔
97 ガス出口
98 分散部
図1は、本願発明に係る強誘電体デバイスの一例である。本願発明に係るデバイスは、まず半導体1を用意する。ここで、半導体1は、薄膜多結晶シリコンでも、単結晶シリコン基板であっても、ゲルマニウム基板であっても、シリコンとゲルマニウムの混晶であっても、あるいはSiCやGaAsその他の化合物半導体であってもよく、その種類を限定しない。さらに、半導体基板の代わりにSOI(silicon on insulator)基板を用いてもよい。
半導体1の一つの面に絶縁体2の面2aを接続する。絶縁体2としてハフニウムの酸化物HfO2+uあるいはハフニウムとアルミニウムの酸化物Hf1−xAl2x2+x+yを形成する。xの範囲は、熱的安定性、化学的安定性の強化のために、また大きい比誘電率を持つために、0<x<0.7であると特によい。yの範囲は、良好な絶縁性を示す点で−0.2<y<0.2であると特によい。また、uの範囲も良好な絶縁性を示す点で−0.2<u<0.2であると特によい。HfO2+uとHf1−xAl2x2+x+yの厚さtは、データ書込みすなわち電気分極状態の決定のための印加電圧の絶対値を小さくするために、4nm<t<40nmの範囲にあると特によい。
絶縁体2のもう一方の面2bに第1の強誘電体の面3aを接続する。本発明においては、第1の強誘電体として、Sr-Bi-Ta-OなどのストロンチウムSrとカルシウムCaとビスマスBiとタンタルTaの酸化物であるSCBTを主成分とする強誘電体を用いる。
第1の強誘電体の厚さdは、データ書き込みすなわち電気分極状態の決定のための印加電圧の絶対値を小さくするために、20nm<d<600nmの範囲が好ましい。より好ましくは、80nm<d<300nmであり、さらに好ましくは100nm<d<210nmである。
第1の強誘電体のもう一方の面3bに導体4を接続する。導体4は、導電性のよい材料であればAuやPtやIrのような金属でも、TiNやTaNのような窒化物でもIrOやRuOのような酸化物でも何でもよい。また、これらの積層、例えば、Pt/TiN/Ti、IrO/Ir等、であってもよい。
なお、半導体1は、ソース領域5とドレイン領域6を持つことが一般的である。ソース領域5とドレイン領域6がn型であれば、ソース領域5とドレイン領域6を除く領域7はp型となる。半導体1の中のソース領域5とドレイン領域6がn型であれば、ソース領域5とドレイン領域6を除く領域7はp型となる。
なお、絶縁体2は積極的に形成しないこともある。その場合は、半導体1の一つの面に第1の強誘電体の面3aを接続する。絶縁体2を積極的に形成しない場合においても、本願発明の強誘電体デバイスの製造方法に含まれる熱処理工程によって、半導体1と第1の強誘電体との間の界面に半導体1の酸化物が自動的に成長し、結果的に絶縁体2と同様の機能を持つ層が挿入されることがある。
[作用]
データを記憶する源は、第1の強誘電体の電気分極であり、強誘電性を発現するためには、形成時もしくはその後の熱処理工程で温度を上げて、第1の強誘電体を結晶もしくは多結晶の状態にする必要がある。この結晶化温度もしくは多結晶化温度は、通常650℃〜950℃である。一般に、この温度が高い方が結晶性はよく、強誘電性もよい。この結晶化もしくは多結晶化のための熱処理工程の時間は、典型的には20分〜1時間である。
半導体1としてシリコン基板を用いる場合、シリコン中のソース領域とドレイン領域を形成するためには、不純物の活性化のため低くても950℃から1050℃程度で短時間(典型的には30秒以下)の熱処理が必要である。絶縁体2は、第1の強誘電体の結晶化もしくは多結晶のための熱処理工程を経る標準的な作製プロセスではソース領域とドレイン領域を形成するための熱処理工程も経る。
絶縁体2の材料が適切でない場合には、これらの熱処理工程で結晶化してしまい、結晶粒と結晶粒の間の粒界を通ってリーク電流が流れる。本願発明の実施の形態のように絶縁体2の材料がHfO2+uあるいはHf1−xAl2x2+x+yである場合は、上記強誘電体の結晶化もしくは多結晶化のための熱処理工程でも、あるいは上記不純物活性化の熱処理工程でも、絶縁体2は、結晶化せず、アモルファスの状態を維持しているか、または、一部結晶化してもアモルファスの状態の部分と共存している。従って、絶縁体2のリーク電流を低くえることができる。さらには、HfO2+u、Hf1−xAl2x2+x+yだけでなく、ハフニウムとシリコンを構成元素とする酸化物Hf−Si−Oやハフニウムとランタンを構成元素とする酸化物Hf−La−O、ハフニウムとランタンとアルミニウムを構成元素とする酸化物Hf−La−Al−O、ハフニウムとタンタルを構成元素とする酸化物Hf−Ta−Oなど、Hfをベースとした酸化物、およびそれらの積層を、絶縁体2に採用することもできる。
アモルファスの状態の絶縁体2の表面は、結晶化された状態と比較して平坦である。アモルファスの状態の絶縁体2の表面からは第1の強誘電体の結晶成長のための種結晶ができ難く、第1の強誘電体の結晶粒が小さく、第1の強誘電体はち密になりリーク電流も低くえることができる。一方、絶縁体2が結晶化してしまうと結晶粒と粒界により表面の凹凸が増す。この結晶粒には第1の強誘電体の種結晶ができやすく第1の強誘電体の結晶粒が大きくなり第1の強誘電体のリーク電流も大きくなる。このようにHfO2+uあるいはHf1−xAl2x2+x+yで絶縁体2を構成すると、絶縁体2と第1の強誘電体の両方のリーク電流を低くえることができ、データ保持時間が真に充分長いメモリトランジスタが実現する。Hf1−xAl2x2+x+yは、熱処理工程に対してアモルファス状態を維持する温度がHfO2+uよりさらに高まるので、結晶化温度の高い物性を有する強誘電体を第1の強誘電体に用いるときに特によい。
[製造方法]
次に製造方法について述べる。言うまでもなく、本発明の製造方法は以下の方法に限定されるものではない。
絶縁体2の形成法は、原理を限定せず、例えば、物理的気相成長法では、パルスレーザ堆積法(レーザアブレーション(PLD)法ともいう)、スパッタリング法、蒸着法などが有効であり、化学成長法ではMOCVD(metal organic chemical vapor deposition:有機金属気相成長)法、MOD(metal organic decomposition:有機金属分解)法、ゾルゲル法、原子層堆積(ALD)法なども有効である。
第1の強誘電体および導体4の形成法も、原理を限定せず、例えば、物理的気相成長法では、パルスレーザ堆積法、スパッタリング法、電子ビーム蒸着法などの蒸着法などが有効であり、化学成長法は、MOCVD法、MOD法、ゾルゲル法なども有効である。
以下では、半導体1として単結晶シリコン(Si)基板を用い、絶縁体2の形成法としてパルスレーザ堆積法を、第1の強誘電体の形成法としてMOCVD法を用いた製造方法の例を述べる。
半導体1の表面処理
RCA洗浄などの標準的なSiの洗浄法で単結晶Si基板の表面を洗浄後希フッ酸あるいは緩衝フッ酸で表面の残留酸化物を除去する。その後に、故意に酸化物、窒化物、酸窒化物等の形成等の表面処理を追加してもよい。半導体1は、単結晶Si基板に限らず、薄膜多結晶シリコンでも、ゲルマニウム基板であっても、シリコンとゲルマニウムの混晶であっても、あるいはSiCやGaAsその他の化合物半導体であることもあり、その種類を限定しない。さらに、半導体基板の代わりにSOI(silicon on insulator)基板を用いることもある。
2.絶縁体2の形成
絶縁体2は、例えばパルスレーザ堆積(PLD)法で形成する。SiOのような約3.9の小さい誘電率を持つ酸化層の形成を抑えるために形成中Siの温度は低温(室温〜550℃)の条件におく。絶縁体2がハフニウムとアルミニウムを構成元素とする酸化物である場合は、ターゲット組成は、Hf1−xAl2x2+x+yとする。あるいは、HfO2+uとAlを用いてよい。この場合、両ターゲットを同時に蒸発(スパッタ)させてもよいが、両ターゲットを交互に1ないし複数回ずつ蒸発させ、熱処理によりHf1−xAl2x2+x+yを合成するようにしてもよい。HfO2+uとAlを交互に堆積するとき、HfO2+uを先に堆積することが特によい。さらには、HfO2+u、Hf1−xAl2x2+x+yだけでなくHf−Si−OやHf−La−O、Hf−La−Al−O、Hf−Ta−OなどHfをベースとした酸化物、およびそれらの積層を、絶縁体2に採用することもできる。
絶縁体2がハフニウムを構成元素とする酸化物である場合は、ターゲット組成は、HfO2+uとする。絶縁体2は、酸化物であるので、形成中、酸素ガスを導入する。
Siと絶縁体2の界面への低誘電率酸化物の形成を抑制し小さくするため酸素ガスに窒素ガスを混合すると特によい。窒素は、絶縁体2の中の構造欠陥を低減させ、リーク電流を小さくすることにも役立つ。酸素と窒素の混合ガスを用いる場合、混合モル比は、N:O=1:1〜1:10−7である。
また、Hf1−xAl2x2+x+yとHfO2+uのターゲット自体が酸素を含み、Hf原子と Al原子は酸化物を容易に形成するので、絶縁体2の形成中は窒素ガスだけの導入でもよい。その場合、混合モル比は窒素ガス中に含まれる残留酸素量によって決まる。典型的には残留酸素による混合モル比はN:O=1:10−5〜1:10−7であるが、酸素ガスの窒素ガスに対するモル比はそれより小さくてもよい。
窒素ガスを含む雰囲気中で形成することにより絶縁体2には窒素元素が添加物として添加されることになる。それにより上記の効果がもたらされる。窒素元素の含有量は1x1019cm−3から1x1022cm−3の範囲とするのがよい。その範囲は5x1019cm−3から5x1021cm−3とするのが特によい。
なお、絶縁体2は積極的に形成しないこともある。その場合は、半導体1の上に直接共第1の強誘電体を形成する。絶縁体2を積極的に形成しない場合においても、本願発明の強誘電体デバイスの製造方法に含まれる熱処理工程によって、半導体1と第1の強誘電体との間の界面に半導体1の酸化物が自動的に成長し、結果的に絶縁体2と同様の機能を持つ層が挿入されることがある。
3.第1の強誘電体の形成
本発明において第1の強誘電体はSr-Ca-Bi-Ta-Oなどのストロンチウムとカルシウムとビスマスとタンタルの酸化物であるSCBTを主成分とする強誘電体である。
第1の強誘電体の形成はMOCVD法で行うことが好ましい。
以下に、MOCVD法が好ましい理由を、本発明をなすに際して得た知見とともに説明する。
本発明者は、強誘電体を薄くしても広いメモリウィンドウを確保するための技術を鋭意探究していた。数多くの実験を重ねる中で、Ca添加がメモリウィンドウを広げる可能性があるのではないかとの知見を得た。実験を重ねたところ、Caを添加したからといって必ずしもメモリウィンドウが広がるわけではなく、広がる場合もありまた広がらない場合もあった。
形成されたSCBTの組成を調べたところ、ある範囲のCa添加においてのみ、メモリウィンドウの広がりを見せることを見出した。
対照実験としてPLD法でSCBTを形成した場合には、レーザーアブレーションターゲットの元素組成は固定されているため、複数ターゲットを組み合わせる方法も試したが、ストロンチウムとカルシウムとビスマスとタンタルの組成比の制御調整は困難であった。複数ターゲットを組み合わせる方法では、SCBTの形成完了までに要する手間も増え、生産性も優れなかった。この点において、MOCVD法では、原料錯体を含む溶媒の流量を制御すれば、生産性よく元素組成の制御調整が行える。
他の方法に比べて組成の制御を精度よく行うことができるMOCVD法でのSCBTの膜の形成について検討を行った。先ず、本発明者は、Sr、Ca、Bi、Ta系の酸化物強誘電体の形成によりよく適合するCaの錯体の開発を行った。
その結果、新たにCa[Ta(OC(OCOCH)](以下「CT−1」と略記することもある。)を得た。
この錯体は常温において液体であり、有機溶剤のエチルシクロヘキサン(ECH)に対して任意の割合で十分に混合溶解することも確認した。この溶剤ECHによって希釈された錯体を使用し、本発明者によって既に開発された気液2相混合流方式を備えたMOCVD装置を用いて成膜の検証を行った。
その結果、成膜された膜はより優れた組成制御性を示し、膜内の均一性にも優れ、また、膜同士のばらつきも小さくすることができた。この方法によればCaの組成をより自在に制御することができるため、バブリング法(液体原料を収納する容器にバブリング用のガスを導入することにより気化を行なう方法)よりも歩留りよく強誘電体を形成することができる。したがって、この方法によることが一般的なバブリング法によることよりも好ましい。
3−1 MOCVD装置
MOCVD装置としては例えば図12に示す装置を用いればよい。
本例では、分散部を構成する分散部本体98の内部に形成されたガス通路92と、ガス通路92に加圧されたキャリアガス93を導入するためのガス導入口94と、ガス通路92を通過するキャリアガスに原料溶液95を供給し、原料溶液95をミスト化するための手段(原料供給孔)96と、ミスト化された原料溶液95を含むキャリアガス(原料ガス)を気化部22に送るためのガス出口97と、ガス通路92内を流れるキャリアガスを冷却するための手段(冷却水)18と、を有する分散部98と、一端がMOCVD装置の反応管に接続され、他端が分散部98のガス出口97に接続された気化管20と、気化管20を加熱するための加熱手段(ヒーター)21と、を有し、前記分散部98から送られてきた、原料溶液が分散されたキャリアガスを加熱して気化させるための気化部22と、を有し、ガス出口97の外側に細孔101を有する輻射防止部102を設けてある。
なお、ガス通路の断面積は0.10〜0.5mmが好ましい。0.10mm未満では加工が困難である。0.5mmを超えるとキャリアガスを高速化するために高圧のキャリアガスを大流量用いる必要が生じてしまう。大流量のキャリアガスを用いると、反応チャンバーを減圧(例:1.0Torr)に維持するために、大容量の大型真空ポンプが必要になる。排気容量が、1万リットル/ min(at 1.0Torr)を超える真空ポンプの採用は困難であるから、工業的な実用化を図るためには、適正な流量、即ち、ガス通路面積0.10〜0.5mmが好ましい。
このガス通路92の一端にはガス導入口94が設けられている。ガス導入口94にはキャリアガス(例えばN ArHe)源(図示せず)が接続されている。
分散部本体98のほぼ中央の側部には、ガス通路92に連通せしめて原料供給孔96を設けてあり、原料溶液95をガス通路92に導入して、原料溶液95をガス通路92を通過するキャリアガスに原料溶液95を分散させ原料ガスとすることができる。
ガス通路92の一端には、気化部22の気化管20に連通するガス出口97が設けられている。
分散部本体98には、冷却水18を流すための空間11が形成されており、この空間内に冷却水18を流すことによりガス通路92内を流れるキャリアガスを冷却する。あるいはこの空間の代わりに例えばペルチェ素子等を設置し冷却してもよい。分散部98のガス通路92内は気化部22のヒーター21による熱影響を受けるためガス通路92内において原料溶液の溶剤と有機金属錯体との同時気化が生ずることなく、溶剤のみの気化が生じてしまう。そこで、ガス通路92内を流れる原料溶液が分散したキャリアガスを冷却することにより溶剤のみの気化を防止する。特に、原料供給孔96より下流側の冷却が重要であり、少なくとも原料供給孔96の下流側の冷却を行う。冷却温度は、溶剤の沸点以下の温度である。例えばTHFの場合67℃ 以下である。特に、ガス出口97における温度が重要である。
本例ではさらに、ガス出口97の外側に細孔101を有する輻射防止部102を設けてある。なお、103104はOリングなどのシール部材である。この輻射防止部102は、例えば、テフロン(登録商標)、ステンレス、セラミックなどにより構成すればよい。本発明者の知見によれば、従来技術においては、気化部における熱が、輻射熱としてガス出口97を介してガス通路92内におけるガスを過熱してしまう。従って、冷却水18により冷却したとしてもガス中の低融点成分がガス出口97近傍に析出してしまう。
輻射防止部は、かかる輻射熱がガスに伝播することを防止するための部材である。従って、細孔101の断面積は、ガス通路92の断面積より小さくすることが好ましい。1/2以下とすることが好ましく、1/3以下とすることがより好ましい。
また、分散部を冷却することにより、長期間にわる使用に対してもガス通路内(特にガス出口)における炭化物による閉塞を生ずることがない。
分散部本体98の下流側において、分散部本体98は気化管20に接続されている。分散部本体98と気化管20との接続は継手24により行われ、この部分が接続部23となる。
気化部22は気化管20と加熱手段(ヒーター)21とから構成される。ヒーター21は気化管20内を流れる原料溶液が分散したキャリアガスを加熱し気化させるためのヒーターである。ヒーター21としては、従来は円筒型ヒーターやマントルヒーターを気化管20の外周に貼り付けることにより構成するが、気化管の長さ方向に対して、均一な温度になるよう加熱するには、熱容量が大きい液体や気体を熱媒体に用いる方法が最も優れている。
気化管20としては、例えばSUS316Lなどのステンレス鋼を用いることが好ましい。気化管20の寸法は、気化ガスの温度が十分に加熱される長さに適宜決定すればよいが、例えば、SCBT原料溶液0.04ccmを気化する場合には、外径3/4インチ、長さ数百mmのものを用いればよい。
気化管20の下流側端はMOCVD装置の反応管に接続されるが、本例では気化管20に酸素供給手段として酸素供給口25を設けてあり、所定の温度に加熱された酸素をキャリアガスに混入せしめ得るようにしてある。
3−2 形成プロセスに好適な条件は以下の通りである。
(原料溶液)
[Sr、Ta]
Sr、Taの錯体としては、ビスタンタル
ペンタエトオキサイド 2-メトオキシエトオキサイドストロンチウムSr[Ta(OC(OCOCH)](ST−1)が好ましい。
溶媒としては例えば、エチルシクロヘキサン(ECH)を用いることができる。
溶媒中の濃度としては0.05〜0.25mol/Lが好ましい。また、流量としては、0.05〜0.25sccmが好ましい。
SrとTaとは別々の錯体を使用する場合には、Srの錯体としては、Sr(C1119が好ましい。溶媒としては例えば、ECHを用いることができる。
Taの錯体としては、Ta(OC(PET)が好ましい。
溶媒としては例えば、ECHを用いることができる。SrTaの錯体としてST−1を用いたとしても、Taの膜中の含有量を調整するためPETを用いることは有効である。 溶媒中の濃度としては0.05〜0.20mol/Lが好ましい。
また、流量としては、0.15sccm以下が好ましい。
[Bi]
Biの錯体としては、トリス−1−メトオキシ−2−メチル−2−プロポキシ ビスマスBi(C11(Bi(MMP))が好ましい。
溶媒としては例えば、ECHを用いることができる。
溶媒中の濃度としては0.05〜0.25mol/Lが好ましい。また、流量としては、0.10〜0.25sccmが好ましい。
[Ca]
Caの錯体としては、Ca[Ta(OC(OCOCH)](CT−1)を用いる。なお、Ca[Ta(OC(OCOCH)](CT−1)は、Caと2モルのMeOCOHとの反応を利用し、先ずCa(OCOMe)を生成する。生成されたCa(OCOMe)と2モルのPET(Ta(OC)を反応させCT−1(Ca[Ta(OC(OCOCH)])を合成する合成方法で作成することができる。
溶媒を必要とする場合は、例えば、ECHを用いることができる。
溶媒中の濃度としては、0.05〜0.25mol/Lが好ましい。また、流量としては、0.01〜0.15sccmが好ましい。
(気液2相流の作成)
気液2相流を作成するためには次の流量で液体原料と搬送ガスとを供給することが好ましい。
・原料溶液
ST−1原料溶液:0.03〜0.15sccm
CT−1原料溶液:0.01〜0.10sccm
Bi(MMP):0.10〜0.25sccm
PET原料溶液 :0〜0.16sccm
・搬送ガス(各原料に対して)
不活性ガス:0.2〜0.5SLM
・図12に示す装置において、原料溶液(95a、95b、・・・)のそれぞれを5つの原料供給孔96からガス通路92に供給し、かつキャリアガス93をガス通路92に流すと、キャリアガス93は原料溶液をせん断して微細粒子状を形成する。この微細粒子状の原料溶液はキャリアガス93中に分散し、気液2相流が形成される。この気液2相流は気化管20に導入されるまで冷却されるため、気液2相状態が維持されたまま気化管20内に導入される。
(酸化性ガスの導入)
酸化性ガスは気化後のガスに導入すればよい。ただ、原料ガスと混合する前(気液2相流が形成される前)にキャリアガス中に一部または全部の酸化性ガスを導入しておくことは、膜中におけるCの量が激減し、ひいてはリーク量を減少させことから好ましい場合がある。
(気化)
気化室の温度は、200〜300℃が好ましい。200℃未満では、十分な気化が得られない。一方、300℃を越えると気化室の管壁温度が原料の分解温度を超え、管壁において原料が分解され原料の不必要な消耗にもまたMOCVD装置の汚染にも繋がることとなる。なお、かかる観点から180〜250℃がより好ましい。
(成膜室)
気化された原料は成膜室に導入される。気化した原料は基板表面で反応し、強誘電体膜を形成する。この場合、成膜室(Chamber)の上流約50cm〜80cmの位置に反応酸素を供給することが望ましい。供給する反応酸素の量は0.5SLM〜2.6SLMが好ましい。
成膜室における基板の温度は、330〜750℃が好ましい
330℃未満では錯体の分解が不十分であり、750℃を超えると基板表面の酸化膜形成が無視できなくなる。
成膜室における圧力は、300〜660Paが好ましい。
4.導体4の形成
導体4の材料としては、貴金属のプラチナ(Pt)、ルテニウム(Ru)、イリジウム(Ir)およびその酸化物が好適な例として挙げられる。形成方法は、電子ビーム加熱の蒸着法あるいはスパッタリング法、が一般的である。また、MOCVD法も適用できる。
5.熱処理工程
第1の強誘電体の結晶化もしくは多結晶化のための熱処理を行う。熱処理のタイミングは、以下の4種のケースがあるが、これらの内少なくとも1つのケースを実行する。
(1)上記工程3(第1の強誘電体の形成)の最中の熱処理。
(2)工程3(第1の強誘電体の形成)の後に第1の強誘電体の形成用装置と同じかまたは別の真空容器内で熱処理。
(3)工程3(第1の強誘電体の形成)の後、かつ、工程4(導体4の形成)の前に、アニール炉での熱処理。
(4)工程4(導体4の形成)の後にアニール炉での熱処理。
第1の強誘電体がSCBTの場合、結晶化もしくは多結晶化のための熱処理温度は700〜900℃が好ましい。より好ましくは、740〜830℃である。その範囲においては好適な熱処理時間は10分〜60分である。
この熱処理工程において、例えば半導体1がシリコン基板である場合にはその表面に酸素が供給されシリコン酸化物が成長することがある。このとき、絶縁体2に窒素元素が添加されている場合には窒素の添加により酸素の移動が抑制されるため、シリコン酸化物が成長してもシリコン酸化物の厚さを小さく抑えることが出来る。
また、鋭意研究を重ねる内に、SCBTを主成分とする強誘電体の成膜を中核の技術とするMFISもしくはMFSの微細な強誘電体デバイスの製造方法およびその製造方法で作製された微細な強誘電体デバイスの発明に至った。微細な強誘電体デバイスの典型的な例として、図1に示すような強誘電体電界効果トランジスタを挙げる。図1では、ソース領域の端Aは、ゲート電極の端Bが半導体表面に下ろす垂線と表面の交点Cよりトランジスタチャンネル(つまり点Cと点Fの間の領域)の内側に入っていることが好ましい。同様にドレイン領域の端Dはゲート電極の端Eが半導体表面に下ろす垂線と表面の交点Fよりトランジスタチャンネルの内側に入っていることが好ましい。
実施例1〜5では、ゲート長が10マイクロメートルと大きかったためにソース領域とドレイン領域をあらかじめ形成したSi基板を用いることが出来た。ところが、フォトリソグラフィ工程で用いる光学露光装置あるいは電子ビーム露光装置のマスク合わせ精度に接近するくらいのゲート長の短い微細トランジスタでは、ソース領域の端Aとドレイン領域の端Dの何れか一方がトランジスタチャンネルの外に出るようなことが起こってしまい、余計な抵抗成分がソース・ドレイン間の特性に含まれることになり、トランジスタの特性を著しく損ねることになる。
これを避けるため微細トランジスタの作製では自己整合的にゲートを形成する作製工程が有効であることが知られている。実施例6〜10に、自己整合的にゲートを形成した微細な強誘電体デバイスの作製の例を示した。微細な強誘電体デバイスの作製においては、エッチングやイオン注入によるゲートスタックの側面の損傷の影響が相対的に大きいため、良質な強誘電体デバイスの製造が困難となる。鋭意研究を重ね、ゲートスタックの形成後に、第2の強誘電体すなわち側壁層8をゲートスタックの側面に接触させ、比誘電率10以下の絶縁体である保護層9で覆った後、第1の強誘電体の結晶化もしくは多結晶化のための熱処理を行うことが好適な結果をもたらすことを発見した。第2の強誘電体は材料を限定しないが、第1の強誘電体と同種の材料であると特に良い。
以下では、本願発明に係る図14、図17、図20に記載の断面図を持つ強誘電体デバイスの製造方法の概要を表す。図14は実施例6と7に、図17は実施例8に、図20は実施例9に、各々対応する。図14はまた、実施例10にも対応するが、実施例10は特に側壁8が8nmと薄い場合である。
まず、図14に記載の断面の概念的構造図を持つ強誘電体デバイスの製造方法の概要を表す。
1.半導体1の表面処理
半導体1を用意し、表面処理を行う。例えば、前述の段落番号(003)で述べた半導体1の表面処理を、微細な強誘電体電界効果トランジスタのための製造方法でも採用する。ここで、半導体1は、薄膜多結晶シリコンでも、単結晶シリコン基板であっても、ゲルマニウム基板であっても、シリコンとゲルマニウムの混晶であっても、あるいはSiCやGaAsであってもよく、その種類を限定しない。さらに、半導体基板の代わりにSOI(silicon on insulator)基板を用いてもよい。
2.絶縁体2の形成
例えば、前述の段落番号(0040)で述べた絶縁体2の形成を、微細な強誘電体電界効果トランジスタのための製造方法でも採用する。
3.第1の強誘電体の形成
例えば、前述の段落番号(004)−(004)で述べたMOCVD法もしくはPLD等の他の方法による第1の強誘電体の形成を、微細な強誘電体電界効果トランジスタのための製造方法でも採用する。
4.導体4の形成
前記の段落番号(003)で述べた電子ビーム蒸着やスパッタリング法やMOCVD法を、微細な強誘電体電界効果トランジスタのための製造方法でも採用する。導体4は、導電性のよい材料であれば何でもよい。AuやPtやIrやRuのような金属でも、TiNやTaNのような窒化物でもIrOやRuOのような酸化物でもよい。また、これらの積層、例えば、Pt/TiN/Ti、IrO2/Ir等、であってもよい。
5.ゲートマスクの形成
光学露光もしくは電子ビーム描画とその後の現像でゲートマスクを導体4の上に形成する。
6.ゲートエッチング
反応性イオンエッチング(RIE)法、イオンミリング法などのエッチング方法により、上記ゲートマスクで覆われていない部分を上から導体4、第1の強誘電体、絶縁体2の順に除去し、半導体1の表面を露出させる。この方法により、半導体1上にゲートスタックを形成することができる。このような、半導体1上に形成されたゲートスタックは例えば、図22(a)(b)(c)(d)に記載のような断面を呈する。ゲートエッチングの異方性が高く理想的な場合には図22(a)のように角柱状にゲートスタックが形成される。しかし実際のエッチングではエッチングの最中に、ゲートマスクが後退したり、2次生成物が側面に付着したりすることによって、図22(b)のように側面にテーパーが付くこともあり、また、膨らみやくぼみや不連続な段差が残ることもあり、辺が丸みを帯びることもある。さらに、強誘電体デバイスの微細化に伴い高集積化され密集して配置される場合には、例えば図22(c)のように微細加工により側面の辺が丸みを帯び、ひとつのゲートスタックとそれに隣接するゲートスタックとの間の距離はゲートスタックの占有底面の径に近づく。高度に高集積化されたゲートスタックは例えば図22(d)のように見えることもある。
7.イオン注入
ソース領域(図14の5a)とドレイン領域(図14の6a)を形成するため、半導体1の露出した表面にイオン注入法で不純物をドープする。
8.ゲートマスクの除去
ゲートマスクの材料が有機材料の場合は、酸素プラズマ中でのアッシングによって、もしくはアセトンなどの有機溶剤を用いた洗浄によって、ゲートエッチング後のゲートマスクの残留物を除去する。上記ゲートエッチングの最中にゲートマスクが結果的に全部自動的に消失することもある。このゲートエッチング後のゲートマスクの残留物を除去する工程は、ゲートエッチングの工程の直後に行うこともできる。この場合は、イオン注入の工程でイオンが第1の強誘電体まで浸入することを導体4が阻止する。
9.第2の強誘電体すなわち側壁層8の形成
段落番号(007)で記載のゲートエッチングの工程で、エッチングダメージがゲートスタックの側面に発生する。より詳しくは、イオンミリング法では主にエッチングされた材料のゲートスタックの側面への再付着があり、RIE法では、反応性ガスと被エッチング材料の2次生成物が、ゲートスタックの側面と、半導体1の表面にも生じる。
また、段落番号(007)記載のイオン注入の工程では、加速されたイオンがゲートスタックの側面にもある程度注入され、イオン注入によるダメージが生じる。
ゲートスタックの側面ではこのように、エッチングやイオン注入による損傷を受けるため、例えばゲート長200nm以下のように微細化された強誘電体デバイスではゲートスタックに占める側面近傍の体積が相対的に大きくなりエッチングダメージやイオン注入ダメージの影響がより顕在化し、良質な強誘電体デバイスの製造が困難となる。鋭意研究を重ね、第2の強誘電体すなわち側壁層8をゲートスタックの側面に接触させることが好適な結果をもたらすことを発見した。第2の強誘電体は材料を限定しない。
ストロンチウムとカルシウムとビスマスとタンタルの酸化物であるSCBTを主成分とする強誘電体を側壁層8としてゲートスタックの側面に接触させることが好適な結果をもたらすことを発見した。例えば、エッチングによってゲートスタックを形成した後で、全面に、MOCVD法によりSCBTを主成分とする強誘電体を厚さは100nm以下、より好ましくは10nm以下で形成する。MOCVD法は、半導体上にゲートスタックが複数起立しているような凹凸の大きい表面にも均一に薄膜を付着させることが可能であるという優れた段差被覆性を特徴とし、側壁層8の形成方法として適している。
10.比誘電率10以下の絶縁体である保護層9の形成
MOCVD法によりSCBTを主成分とする強誘電体を形成後、保護層9としてシリコン酸化物やアルミニウム酸化物等の絶縁体をさらに堆積することもできる。微細な強誘電体電界効果トランジスタの高速動作を重視する際には、シリコン酸化物やアルミニウム酸化物等の比誘電率10以下の比較的小さい誘電率を持つ絶縁体が、強誘電体電界効果トランジスタの素子間分離を目的とする絶縁体の電気容量を下げることに役立つので好適である。シリコン酸化物やアルミニウム酸化物の堆積法は、簡単にはスパッタリング法で堆積でき、それ以外にはMOCVD法でも、MOD法でも堆積できる。
11.熱処理工程
第1の強誘電体の結晶化もしくは多結晶化のための熱処理を行う。
上記1.から11.までの工程を終えた後、ゲート電極のコンタクト穴開けの工程とゲート電極・ドレイン電極の穴けの工程を経て、微細な強誘電体デバイス、この場合は強誘電体電界効果トランジスタ、の電気的特性が測定できる。上述の製造方法による微細な強誘電体デバイスの断面の概念的構造図を図14に示した。
微細な強誘電体デバイスに用いる側壁層8の物理膜厚は100nm以下と薄く、より好ましくは10nm以下にする。微細化に伴い高集積化された強誘電体デバイスが密集して配置される場合、側壁層8、保護層9の積層すなわち隣接デバイス間で素子分離の役割を担う絶縁体は、保護層9に対して側壁層8を相対的に薄くすることで比誘電率を低くすなわちSiO換算膜厚を大きくすることができ、隣接デバイス間でのデータの誤書込みを防ぐことが出来る。
さらに、第2の強誘電体すなわち側壁層8の形成方法とイオン注入のタイミングを変更した例として、図17に記載の断面の概念的構造図を持つ強誘電体デバイスの製造方法の概要を表す。始めに、図14に記載の構造を持つ微細な強誘電体デバイスと同様の方法で、ゲートエッチングにより半導体1上にゲートスタックを形成する。ゲートスタックの側面、半導体1の露出面、導体4の表面の上に、側壁8と成るSCBTを主成分とする強誘電体を、MOCVD法により形成し、その後に、異方性の強いエッチング、例えば、RIE法、イオンミリング法等で、かつ、ゲートスタックの側面と平行な方向により速くエッチングの進む条件を用いて、半導体1の表面が再度露出するまで前記強誘電体を除去する。MOCVD法ではゲートスタックの側面にも十分に強誘電体が堆積するので、この除去工程を経ると、ゲートスタックの側面に選択的に側壁8の強誘電体が残る。
次に、ソース領域(図17の5b)とドレイン領域(図17の6b)を形成する目的で半導体1の露出した表面にイオン注入法で不純物をドープする。この上の全面に比誘電率10以下の絶縁体である保護層9としてシリコン酸化物やアルミニウム酸化物等の絶縁体を堆積する。第1の強誘電体の結晶化もしくは多結晶化のための熱処理を行った後、ゲート電極のコンタクト穴開けの工程とゲート電極・ドレイン電極の穴きの工程を経て、図17に記載の、高速動作性に優れた強誘電体デバイス、この場合は強誘電体電界効果トランジスタができ、その電気的特性が測定できる。ソース領域(図17の5b)とドレイン領域(図17の6b)を形成する目的で半導体1にドープした不純物は、イオン注入直後はゲートスタックの側面より外側の、側壁8の端の近傍まで達する。熱処理を経ることで、半導体1にドープした不純物は拡散する。ゲートスタックの側面に選択的に残る側壁8は十分薄いため、拡散後に余計な抵抗分がソース・ドレイン間の特性に含まれることはない。
また、第2の強誘電体すなわち側壁層8の形成方法とイオン注入のタイミングを変更した別の例として、図20に記載の断面の概念的構造図を持つ強誘電体デバイスの製造方法の概要を表す。
まず、図14に記載の構造を持つ微細な強誘電体デバイスと同様の方法で、ゲートエッチングにより導体1上にゲートスタックを形成後、浅いソース領域(図20の5c)とドレイン領域(図20の6c)を形成する目的で半導体1の露出した表面にイオン注入法で不純物を浅くドープする。前記ドープの深さは、イオン注入の際の加速エネルギーの大きさによって主に制御出来る。ゲートスタックの側面、半導体1の露出面、導体4の表面の上に、側壁8としてMOCVD法によりSCBTを主成分とする強誘電体を形成し、その後に、異方性の強いエッチング、例えば、RIE法、イオンミリング法等で、かつ、ゲートスタックの側面と平行な方向により速くエッチングの進む条件を用いて、半導体1の表面が再度露出するまで前記強誘電体を除去する。前述のように、MOCVD法ではゲートスタックの側面にも十分に強誘電体が堆積するので、この除去工程を経ると、ゲートスタックの側面に選択的に強誘電体が残る。
その後、5cと6cよりも深いソース領域(図20の5d)とドレイン領域(図20の6d)を形成する目的で、イオン注入法で不純物をドープする。この上の全面に比誘電率10以下の絶縁体である保護層9としてシリコン酸化物やアルミニウム酸化物等の絶縁体を堆積する。第1の強誘電体の結晶化もしくは多結晶化のための熱処理を行った後、ゲート電極のコンタクト穴開けの工程とゲート電極・ドレイン電極の穴けの工程を経て、図20に記載の、高速動作性に優れ、かつ、ゲート長の微細化に伴うソース領域とドレイン領域の近接が引き起こすソース・ドレイン間リーク電流の増加を抑制する効果を持つような、強誘電体デバイス、この場合は強誘電体電界効果トランジスタができ、その電気的特性が測定できる。
(実施例1)
本願発明に係る実施例1として、図1に記載の構造を有するトランジスタを製造した。用いた材料と厚さは、以下の通りである。
・半導体1:ソース領域とドレイン領域をあらかじめ形成したSi基板
・絶縁体2:HfO、厚さ7nm
・第1の強誘電体すなわち強誘電体3:SCBT、厚さ200nm
・導体4 :Pt、厚さ200nm、
ゲート長(ソース領域からドレイン領域に向かってのゲート金属の長さ)10マイクロメートル
・ソース領域5・ドレイン領域6の導電型:n型
・領域7の導電型:p型
絶縁体2であるHfOは、パルスレーザ堆積法で形成した。用いたレーザはKrFエキシマーレーザである。レーザエネルギーは、1パルス当たり250mJ、パルスの繰り返し周波数2Hzである。基板温度220℃である。導入ガスは、窒素ガスである。この導入ガスによる堆積室の圧力は、0.11Torr(14.7Pa)である。
強誘電体3であるSCBTは図12に示すMOCVD装置を用いて形成した。
液体材料
ST−1(濃度0.1M):0.088sccm
CT−1(濃度0.1M):0.041sccm
Bi(MMP):(濃度0.2M):0.122sccm
PET(濃度0.1M):0.032sccm
*溶媒はECH
基板温度:360℃
成膜室圧力:400Pa
酸化性ガス:酸素、1.8SLM
成膜時間:1748秒
このMOCVD法で成膜したSCBTの組成比をラザフォード後方散乱分光法 (RBS法)で分析したところ、成膜後のSCBT中のストロンチウムとカルシウムの組成比は、MOCVDの液体材料であるST−1とCT−1の流量の比にほぼ等しかった。この実施例1の強誘電体3のストロンチウムとカルシウムの比を1-x:xと表すと、x=0.32であった。
導体4として、Ptを電子ビーム蒸着法で堆積後、フォトリソグラフィ法とイオンビームエッチング法で不要なPt部分を除去しゲート電極4を形成した。ゲート電極形成後、SCBTを結晶化させるため、大気圧酸素中800℃において30分間熱処理した。測定のためのソース電極とドレイン電極を形成するため、フォトリソグラフィ法とイオンビームエッチング法でSCBTとHfOの積層の一部を除去した。
[トランジスタの電気的特性の測定]
実施例1のトランジスタの、ドレイン電流Iのゲート電圧V依存性(I−V特性)を図2に示す。ドレイン電極にドレイン電圧V=0.1Vを印加し、ソース電極にソース電圧Vsを印加し、基板電極に基板電圧Vsubを印加した。V=Vsub=0Vの条件でこのI−V特性を測定した。図2から分かるように、ゲート電圧Vの−4Vと6V間の往復の掃引に対して、MFISトランジスタ特有のヒステリシス曲線がみられ、左右のヒステリシス曲線の差がメモリウィンドウである。I=2x10−6Aを与える電圧値をしきい値電圧と判定し、左側ブランチ(ヒステリシス環状曲線のうち左側の曲線)のしきい値電圧と右側ブランチ(ヒステリシス環状曲線のうち右側の曲線)のしきい値電圧の差を取るとメモリウィンドウは0.89Vであった。
次に、パルス電圧印加により2値のデータ書込み後のデータ保持特性を示す。1値に対応するデータ書込み時に、6Vで0.1sのパルス電圧をゲート電極に与えた後、データ保持時に、適当な時間間隔で読出し動作を行った。データ保持時にはゲート電極に保持電圧1.4Vを与えた。データ読出し時にはV=0.1Vとして1.1Vと2.1Vの間Vを掃引し、Iを測定し、I=10−6Aとなる電圧値をしきい値電圧と判定しこれを読み取った。図3の下側の曲線がその結果に相当する。次に、もう1値に対応するデータ書込み時に、−4Vで0.1sのパルス電圧をゲート電極に与えた後、データ保持時に、適当な時間間隔で読出し動作を行った。データ保持時にはゲート電極に保持電圧1.4Vを与えた。上記と同じデータ読出し動作を行い、しきい値電圧を読み取った。図3の上側の曲線がその結果に相当する。10秒経過後もこれら2値に相当する2つのしきい値電圧は明確に区別でき、両曲線の外挿線を描いてみるとしきい値電圧の差が10年後においても0.1V以上はあることが分かる。
(実施例2)
実施例2は、実施例1とは異なるMOCVD液体材料の流量を採用し、成膜時間も変更した。また、結晶化のための熱処理時間も変更した。他の条件は実施例1と同じである。すなわち
液体原料
ST−1(濃度0.1M):0.106sccm
CT−1(濃度0.1M):0.049sccm
Bi(MMP):(濃度0.2M):0.146sccm
PET(濃度0.1M):0.038sccm
成膜時間:1457秒
熱処理条件:大気圧酸素中800℃において60分間熱処理
図4にI−V特性を示す。図2と同様の測定を行いゲート電圧の−4Vと6V間の往復の掃引を行った。I=2x10−6Aでメモリウィンドウ0.84Vを得た。
(実施例3)
本実施例では、実施例12とは異なる基板温度を採用した。MOCVD液体材料の流量と成膜時間も変更した。また、結晶化のための熱処理時間は1時間とした。他の条件は実施例1と同じである。すなわち、
基板温度:450℃
液体原料
ST−1(濃度0.1M):0.097sccm
CT−1(濃度0.1M):0.032sccm
Bi(MMP)3:(濃度0.2M):0.140sccm
PET(濃度0.1M):0.032sccm
成膜時間:1940秒
熱処理条件:大気圧酸素中800℃において30分間熱処理
なお、得られたSCBTの膜厚は240nmであった。
図5にI−V特性を示す。図2と同様の測定を行いゲート電圧の−4Vと6V間の往復の掃引でメモリウィンドウ0.75V(I=2x10−6Aにおける値)を得た。
(実施例4)
本実施例では、実施例1〜3と異なり、酸化性ガスを酸素とアルゴンの混合ガスとした。
MOCVD液体材料の流量と成膜時間も変更した。また、結晶化のための熱処理時間は1時間とした。基板温度は400℃である。他の条件は実施例1と同じである。
すなわち下記の通りである。
酸化性ガス:酸素0.6SLM、アルゴン1.2SLM
基板温度:400℃
液体材料
ST−1(濃度0.1M):0.106sccm
CT−1(濃度0.1M):0.049sccm
Bi(MMP):(濃度0.2M):0.156sccm
PET(濃度0.1M):0.028sccm
成膜時間:1700秒
熱処理条件:大気圧酸素中800℃において30分間熱処理
なお、得られたSCBTの膜厚は240nmであった。
図6にId−Vg特性を示す。図2と同様の測定を行いゲート電圧の−4Vと6V間の往復の掃引でメモリウィンドウ0.67V(I=2x10−6Aにおける値)を得た。
(実施例5)
実施例5は、CaとSrの組成比が等しくなるようなMOCVD液体材料の流量を採用し、成膜時間も変更した。他の条件は実施例1と同じである。すなわち
液体材料
ST−1(濃度0.1M):0.064sccm
CT−1(濃度0.1M):0.065sccm
Bi(MMP):(濃度0.2M):0.122sccm
PET(濃度0.1M):0.032sccm
成膜時間:1750秒
熱処理条件:大気圧酸素中800℃において30分間熱処理
図7にI−V特性を示す。図2と同様の測定を行いゲート電圧の−4Vと6V間の往復の掃引でメモリウィンドウ0.62V(I=2x10−6Aにおける値)を得た。
(比較例)
本例では、強誘電体としてSBTを形成した。
液体材料として次の材料を用いた。
他の点は実施例1と同じである。
液体材料
ST−1(濃度0.1M):0.129sccm
Bi(MMP):(濃度0.2M):0.130sccm
PET(濃度0.1M):0.032sccm
*溶媒はECH
成膜時間:1664秒
図8にI−V特性を示す。図2と同様の測定を行いゲート電圧の−4Vと6V間の往復の掃引でメモリウィンドウは0.46V(I=2x10−6Aにおける値)であった。
(実施例6)
本願発明に係る実施例6、7として、図14に記載の構造を有する強誘電体電界効果トランジスタを製造した。
本例では、次の手順で強誘電体電界効果トランジスタを製造した。
1.半導体1の表面処理
・Si基板クリーニング:緩衝HFに浸け表面酸化膜を除去後、超純水で洗浄。
2.絶縁体2の形成
絶縁バッファ層堆積:
堆積方法:PLD法
材質:窒素ドープのHfO
厚さ:7nm
基板温度:220℃。
3.第1の強誘電体すなわち強誘電体3の形成
・強誘電体膜堆積:
堆積方法:PLD法
PLDターゲット組成:Sr0.8Ca0.2BiTa
厚さ:150nm
基板温度:415℃。
このPLD法で成膜したSCBTの組成比をラザフォード後方散乱分光法(RBS法)で分析したところ、成膜後のSCBT中のストロンチウムとカルシウムの組成比は、PLDのターゲット中のストロンチウムとカルシウムの組成比にほぼ等しかった。
4.導体4の形成
・ゲート電極材料堆積:電子ビーム蒸着法で150nm厚のPtを堆積。
5.ゲートマスクの形成
・電子ビームレジスト塗布:レジスト材はSAL601H−SR7。
・電子ビーム露光とポストベークを経て、NMD3で現像、超純水でリンス。
6.ゲートエッチング
・ゲート電極材料Ptのエッチング:
エッチング方法:イオンビームエッチング(イオンミリング)法
イオン種:Arイオン
加速電圧:1.1kV.
・強誘電体と絶縁バッファ層のエッチング:
エッチング方法:ICP高密度プラズマ型のRIE法
エッチングガス:BClとArの混合ガス
アンテナRF電力:700W
バイアス電力:400W。
7.ゲートマスクの除去
・残留電子ビームレジスト除去:ICP高密度プラズマ型のRIE法で酸素ガスを導入し実施。
8.イオン注入
・イオン注入:
注入イオン:Pイオン、
加速エネルギー:15keV
ドーズ量:1.5x1014cm−2
9.第2の強誘電体すなわち側壁層8の形成
・MOCVDでSCBTを堆積:
酸化性ガス:酸素1.8SLM
基板温度:360℃
液体材料
ST−1(濃度0.1M):0.088sccm
CT−1(濃度0.1M):0.041sccm
Bi(MMP):(濃度0.2M):0.122sccm
PET(濃度0.1M):0.032sccm
成膜時間:300秒
厚さ:28nm
10.比誘電率10以下の絶縁体である保護層9の形成
・RFマグネトロンスパッタリング法で200nm厚のSiOを堆積
11.熱処理工程
・熱処理:大気圧酸素雰囲気中で813℃で30分
12.コンタクトホール形成
・ゲートコンタクトホール形成:フォトリソグラフィとイオンビームエッチングでゲートコンタクトホールを形成後、Tiを電子ビーム蒸着し、フォトレジストをリフトオフ法で除去
・ソース・ドレインコンタクトホール形成:フォトリソグラフィとイオンビームエッチングでソース・ドレインコンタクトホールを形成後、フォトレジストを除去
実施例6で製造したゲート長が約150nmの強誘電体電界効果トランジスタの断面を、走査型電子顕微鏡で観察した。
(実施例6で製造した強誘電体電界効果トランジスタの特性評価)
図9はI−V特性であり、ゲート電圧の−4Vと6V間の往復の掃引で、メモリウィンドウは0.89V(I=1x10−7Aにおける値)であった。図10は書換え回数耐性テストの結果である。パルス高+6Vでパルス幅10マイクロ秒の正極性のパルスとパルス高−4Vでパルス幅10マイクロ秒の負極性のパルスを連続して加えた電圧波を一周期とする書込みパルスサイクルを繰り返しゲート電極に与え、その間はソース電圧、ドレイン電圧、基板電圧はすべて0にした。
図10の図中のマーカーのところで、書込みパルスの供給を一旦止め、ドレイン電圧0.1Vの条件でI−V特性を測定した。ゲート電圧は−4Vと6Vの間、往復掃引した。得られたI−V曲線の左側のブランチがI=1x10−6Aとなる電圧値が左側ブランチのしきい値電圧(Vthl)であり、右側のブランチがI=1x10−6Aとなる電圧値が右側ブランチのしきい値電圧(Vthr)である。この読み出し動作が終わると書込みパルスサイクル供給を開始し、書込みパルスサイクルの供給が累積109回まで、VthlとVthrを測定した。図10から10回までの書換え耐性があることが分かる。
図11は、データ保持特性の結果である。6Vで0.1sのパルスをゲート電極に与えた後、データ保持のモードに入り適当な時間間隔で読出し動作を行った。データ保持時にはゲート電極に保持電圧1.4Vを与えた。読出し時にはV=0.1Vとして1.0Vと2.1Vの間Vを掃引し、Iを測定し、I=10−5Aとなる電圧値(しきい値電圧)を読み取った。図9の下側の曲線がその結果である。また、−4Vで0.1sのパルスをゲート電極に与えた後、データ保持のモードに入り適当な時間間隔で読出し動作を行った。データ保持時にはゲート電極に保持電圧1.4Vを与えた。上記と同じ読出し動作を行い、しきい値電圧を読み取った。図9の上側の曲線がその結果である。5.625x10秒(約1週間)経過後も両方の状態のしきい値は明確に区別できた。
(実施例7)
本願発明に係る実施例7として、図14に記載の構造を有する強誘電体電界効果トランジスタを製造した。
本例では、次の手順で強誘電体電界効果トランジスタを製造した。
1.半導体1の表面処理
・Si基板クリーニング:緩衝HFに浸け表面酸化膜を除去後、超純水で洗浄。
2.絶縁体2の形成
・絶縁バッファ層堆積:PLD法で窒素ドープの7nm厚のHfOを堆積。基板温度2
20℃。
3.第1の強誘電体すなわち強誘電体3の形成
・強誘電体膜堆積:PLD法でSCBTを150nm堆積。基板温度415℃。
4.導体4の形成
・ゲート電極材料堆積:電子ビーム蒸着法で150nm厚のPtを堆積。
5.ゲートマスクの形成
・電子ビームレジスト塗布:レジスト材はSAL601H−SR7。
・電子ビーム露光とポストベークを経て、NMD3で現像、超純水でリンス。
6.ゲートエッチング
・ゲート電極材料Ptのエッチング:イオンビームエッチング(イオンミリング)法でAr+イオンの加速電圧は1.1kV.
・強誘電体と絶縁バッファ層のエッチング:ICP高密度プラズマ型のRIE法。エッチングガスはBClとArの混合ガス。アンテナRF電力600Wでバイアス電力は400W。
7.ゲートマスクの除去
・残留電子ビームレジスト除去:ICP高密度プラズマ型のRIE法で酸素ガスを導入し実施。
8.イオン注入
・イオン注入:
注入イオン:Pイオン
加速エネルギー:10keV
ドーズ量:1.0x1014cm−2
9.第2の強誘電体すなわち側壁層8の形成
・MOCVDでSCBTを堆積:
酸化性ガス:酸素1.8SLM
基板温度:360℃
成膜室圧力:400Pa
液体原料
ST−1(濃度0.1M):0.104sccm
CT−1(濃度0.1M):0.025sccm
Bi(MMP):(濃度0.2M):0.122sccm
PET(濃度0.1M):0.032sccm
成膜時間:230秒
厚さ:22nm
10.比誘電率10以下の絶縁体である保護層9の形成
・RFマグネトロンスパッタリング法でSiOを300nm厚に堆積
11.熱処理工程
・熱処理:大気圧酸素雰囲気中で813℃で30分
12.コンタクトホール形成
・ゲートコンタクトホール形成:フォトリソグラフィとイオンビームエッチングでゲートコンタクトホールを形成後、Tiを電子ビーム蒸着し、フォトレジストをリフトオフ法で除去
・ソース・ドレインコンタクトホール形成:フォトリソグラフィとイオンビームエッチングでソース・ドレインコンタクトホールを形成後、フォトレジストを除去
実施例7で製造したゲート長が140nmの強誘電体電界効果トランジスタの断面を、集束Gaイオンビーム加工後に走査型電子顕微鏡で観察した。図14に示した構造であることを確認した。第1の強誘電体すなわち強誘電体3はPLD法で、第2の強誘電体すなわち側壁層8はMOCVD法で、両者は異なる方法で成膜されたが、同種のSCBT材料であるため走査型電子顕微鏡では強誘電体3と側壁層8との境界は判別し難く、導体4のPtがSCBTに包まれて保護層9のSiO2からは隔離されているように見えた。
(実施例7で製造した強誘電体電界効果トランジスタの特性評価)
図13はI−V特性であり、ゲート電圧の−4Vと6V間の往復の掃引で、メモリウィンドウは0.98V(I=1x10−7Aにおける値)であった。I−V特性を取ったときの他の電圧条件は以下の通りである。ドレイン電圧0.1V、ソース電圧0V基板電圧0V。
(実施例8)
本願発明に係る実施例8として、図17に記載の構造を有する強誘電体電界効果トランジスタを製造した。
本例では、次の手順で強誘電体電界効果トランジスタを製造した。
1.半導体1の表面処理
・Si基板クリーニング:緩衝HFに浸け表面酸化膜を除去後、超純水で洗浄。
2.絶縁体2の形成
絶縁バッファ層堆積:
堆積方法:PLD法
材質:窒素ドープHfO
厚さ:7nm
基板温度:220℃。
3.第1の強誘電体すなわち強誘電体3の形成
強誘電体膜堆積:
堆積方法:PLD法
材質:SCBT
厚さ:150nm
基板温度:415℃。
4.導体4の形成
・ゲート電極材料堆積:電子ビーム蒸着法で150nm厚のPtを堆積。
5.ゲートマスクの形成
・電子ビームレジスト塗布:レジスト材はSAL601H−SR7。
・電子ビーム露光とポストベークを経て、NMD3で現像、超純水でリンス。
6.ゲートエッチング
・ゲート電極材料Ptのエッチング:
イオンビームエッチング(イオンミリング)法
Arイオン
加速電圧:1.1kV.
・強誘電体と絶縁バッファ層のエッチング:
ICP高密度プラズマ型のRIE法
エッチングガス:BClとArの混合ガス
アンテナRF電力:700W
バイアス電力:400W。
7.ゲートマスクの除去
・残留電子ビームレジスト除去:ICP高密度プラズマ型のRIE法で酸素ガスを導入し実施。
8.第2の強誘電体すなわち側壁層8の形成
・MOCVDでSCBTを堆積
酸化性ガス:酸素1.8SLM
基板温度:360℃
液体材料
ST−1(濃度0.1M):0.088sccm
CT−1(濃度0.1M):0.041sccm
Bi(MMP):(濃度0.2M):0.122sccm
PET(濃度0.1M):0.052sccm
成膜時間:520秒
厚さ:53nm
・上記MOCVDで堆積したSCBTのエッチング。
非ゲート部分のシリコン表面が露わになるまでマスクレスでエッチング。ICP高密度プラズマ型のRIE法でエッチングした。エッチングガスはBClとArの混合ガス。アンテナRF電力600Wでバイアス電力は300W。MOCVD法は段差部分の被覆性が良いので、ゲート側面の部分のSCBTはこのエッチング工程を経ても残る。
9.イオン注入
注入イオン:Pイオン
加速エネルギー:15keV
ドーズ量:1.5x1014cm−2
10.比誘電率10以下の絶縁体である保護層9の形成
形成方法:RFマグネトロンスパッタリング法
材質:SiO
厚さ:200nm
11.熱処理工程
・熱処理:大気圧酸素雰囲気中で813℃で30分
12.コンタクトホール形成
・ゲートコンタクトホール形成:フォトリソグラフィとイオンビームエッチングで形成後、Tiを電子ビーム蒸着し、フォトレジストをリフトオフ法で除去
・ソース・ドレインコンタクトホール形成:フォトリソグラフィとイオンビームエッチングで形成後、フォトレジストを除去
実施例8で製造したゲート長が150nmの強誘電体電界効果トランジスタの断面を、走査型電子顕微鏡で観察した。なお、側壁層8と保護層9がゲートスタックの側面で残っていることも、この観察によって確認した。
(実施例8で製造した強誘電体電界効果トランジスタの特性評価)
図15は書換え回数耐性テストの結果である。パルス高+6Vでパルス幅10マイクロ秒の正極性のパルスとパルス高−4Vでパルス幅10マイクロ秒の負極性のパルスを連続して加えた電圧波を一周期とする書込みパルスサイクルを繰り返しゲート電極に与え、その間はソース電圧、ドレイン電圧、基板電圧はすべて0にした。図15の図中のマーカーのところで、書込みパルスの供給を一旦止め、ドレイン電圧0.1Vの条件でI−V特性を測定した。ゲート電圧は−3Vと5Vの間、往復掃引した。得られたId−Vg曲線の左側のブランチがI=1x10−6Aとなる電圧値が左側ブランチのしきい値電圧(Vthl)であり、右側のブランチがId=1x10−7Aとなる電圧値が右側ブランチのしきい値電圧(Vthr)である。この読み出し動作が終わると書込みパルスサイクル供給を開始し、書込みパルスサイクルの供給が累積10回まで、VthlとVthrを測定した。
図から10回までの書換え耐性があることが分かる。図16は、データ保持特性の結果である。6Vで0.1sのパルスをゲート電極に与えた後、データ保持のモードに入り適当な時間間隔で読出し動作を行った。データ保持時にはゲート電極に保持電圧1.0Vを与えた。読出し時にはV=0.1Vとしゲート電極に1.3Vを与え、Iを測定した。図16の上側の曲線(オン状態)がその結果である。また、−4Vで0.1sのパルスをゲート電極に与えた後、データ保持のモードに入り適当な時間間隔で読出し動作を行った。データ保持時にはゲート電極に保持電圧1.0Vを与えた。上読出し時にはV=0.1Vとしゲート電極に1.3Vを与え、Iを測定した。図16の下側の曲線(オフ状態)がその結果である。一週間以上後である6.3x10秒経過後もオン状態とオフ状態は明確に区別できた。
(実施例9)
本願発明に係る実施例9として、図20に記載の構造を有する強誘電体電界効果トランジスタを製造した。
本例では、イオン注入工程を2度おこなった。
主たるプロセスの手順を以下に示す。
1.半導体1の表面処理
・Si基板クリーニング:緩衝HFに浸け表面酸化膜を除去後、超純水で洗浄。
2.絶縁体2の形成
・絶縁バッファ層堆積:
成膜方法:PLD法
膜組成:窒素ドープHfO
膜厚:7nm厚
基板温度:220℃。
3.第1の強誘電体すなわち強誘電体3の形成
・強誘電体膜堆積:
成膜方法:PLD法
PLDターゲット組成:Sr0.8Ca0.2Bi3Ta
膜厚:150nm
基板温度:415℃
4.導体4の形成
・ゲート電極材料堆積:電子ビーム蒸着法で150nm厚のPtを堆積。
5.ゲートマスクの形成
・電子ビームレジスト塗布:レジスト材はSAL601H−SR7。
・電子ビーム露光とポストベークを経て、NMD3で現像、超純水でリンス。
6.ゲートエッチング
・ゲート電極材料Ptのエッチング:イオンビームエッチング(イオンミリング)法でAr+イオンの加速電圧は1.1kV.
・強誘電体と絶縁バッファ層のエッチング:ICP高密度プラズマ型のRIE法。エッチングガスはBClとArの混合ガス。アンテナRF電力700Wでバイアス電力は400W。
7.ゲートマスクの除去
・残留電子ビームレジスト除去:ICP高密度プラズマ型のRIE法で酸素ガスを導入し実施。
8.イオン注入1(一度目のイオン注入)
注入イオン:P+イオン
ドーズ量:1.0 x 1013 cm-2
加速エネルギー:10keV
9.第2の強誘電体すなわち側壁層8の形成
・MOCVDでSCBT堆積:
酸化性ガス:酸素1.8SLM
基板温度:360℃
液体原料
ST−1(濃度0.1M):0.088sccm
CT−1(濃度0.1M):0.041sccm
Bi(MMP):(濃度0.2M):0.122sccm
PET(濃度0.1M):0.052sccm
成膜時間:520秒
厚さ:51nm
・上記MOCVDで堆積したSCBTのエッチング。
非ゲート部分のシリコン表面が露わになるまでマスクレスでエッチング。ICP高密度プラズマ型のRIE法でエッチングした。エッチングガスはBClとArの混合ガス。アンテナRF電力600Wでバイアス電力は300W。MOCVD法は段差部分の被覆性が良いので、SCBTはこのエッチング工程を経てもゲートスタックの側面に残る。
10.イオン注入2(2度目のイオン注入):
注入イオン:Pイオン
加速エネルギー:15keV
ドーズ量:1.5x1014cm−2
11.比誘電率10以下の絶縁体である保護層9の形成
形成方法:RFマグネトロンスパッタリング法
材質:SiO
厚さ:200nm
12.熱処理工程
・熱処理:大気圧酸素雰囲気中で813℃で30分
13.コンタクトホール形成
・ゲートコンタクトホール形成:フォトリソグラフィとイオンビームエッチングで形成後、Tiを電子ビーム蒸着し、フォトレジストをリフトオフ法で除去
・ソース・ドレインコンタクトホール形成:フォトリソグラフィとイオンビームエッチングで形成後、フォトレジストを除去
実施例9で製造したゲート長が130nmの強誘電体電界効果トランジスタの断面を、走査型電子顕微鏡で観察した。なお、側壁層8と保護層9が側面で残っていることも、この観察によって確認した。
(実施例9で製造した強誘電体電界効果トランジスタの特性評価)
図18はId−Vg特性であり、ゲート電圧の−4Vと6V間の往復の掃引で、メモリウィンドウは1.06V(I=1x10−7Aにおける値)であった。
図19は、データ保持特性の結果である。6Vで0.1sのパルスをゲート電極に与えた後、データ保持のモードに入り適当な時間間隔で読出し動作を行った。データ保持時にはゲート電極に保持電圧1.4Vを与えた。読出し時にはV=0.1Vとして1.0Vと2.1Vの間Vを掃引し、Iを測定し、I=10−5Aとなる電圧値(しきい値電圧)を読み取った。図9の下側の曲線がその結果である。
また、−4Vで0.1sのパルスをゲート電極に与えた後、データ保持のモードに入り適当な時間間隔で読出し動作を行った。データ保持時にはゲート電極に保持電圧1.4Vを与えた。上記と同じ読出し動作を行い、しきい値電圧を読み取った。図9の上側の曲線がその結果である。5.625x10秒(約1週間)経過後も両方の状態のしきい値は明確に区別できた。
(実施例10)
本願発明に係る実施例10として、図14に記載の構造を有し、第1の強誘電体すなわち強誘電体3としてSCBTをPLD法で120nm形成し、第2の強誘電体すなわち側壁層8としてSCBTをMOCVD法で8nm形成した強誘電体電界効果トランジスタを製造した。製造の手順を以下に示す。
1.半導体1の表面処理
・Si基板クリーニング:緩衝HFに浸け表面酸化膜を除去後、超純水で洗浄。
2.絶縁体2の形成
・絶縁バッファ層堆積:PLD法で窒素ドープの7nm厚のHfOを堆積。基板温度220℃。
3.第1の強誘電体すなわち強誘電体3の形成
・強誘電体膜堆積:
堆積方法:PLD法
材質:SCBT
厚み:120nm
基板温度:415℃。
4.導体4の形成
・ゲート電極材料堆積:
堆積方法:スパッタ蒸着法
厚み:120nm
材質:Pt。
5.ゲートマスクの形成
・無機マスク用にスパッタ蒸着法で200nm厚のSiOを堆積。
・電子ビームレジスト塗布:レジスト材はSAL601H−SR7。
・電子ビーム露光とポストベークを経て、NMD3で現像、超純水でリンス。
・SiOをICP高密度プラズマ型のRIE法でエッチングして無機マスクを形成。エッチングガスはCF4とArの混合ガス。
6.ゲートエッチング
・ゲート電極材料Ptのエッチング:
エッチング法:イオンビームエッチング(イオンミリング)法
イオン:Arイオン
加速電圧:1.1kV
・強誘電体と絶縁バッファ層のエッチング:
エッチング法:ICP高密度プラズマ型のRIE法
エッチングガス:BClとArの混合ガス
アンテナRF電力:600W
バイアス電力:400W
7.ゲートマスクの除去
・残留電子ビームレジスト除去:ICP高密度プラズマ型のRIE法で酸素ガスを導入して実施。
8.イオン注入
・イオン注入:
注入イオン:Pイオン
加速エネルギー:12keV
ドーズ量:6x1013cm−2
9.第2の強誘電体すなわち側壁層8の形成
・MOCVDでSCBT堆積:
酸化性ガス:酸素1.8SLM
基板温度:360℃
成膜室圧力:400Pa
液体原料
ST−1(濃度0.1M):0.088sccm
CT−1(濃度0.1M):0.041sccm
Bi(MMP):(濃度0.2M):0.134sccm
PET(濃度0.1M):0.052sccm
成膜時間:78秒
厚さ:8nm
10.比誘電率10以下の絶縁体である保護層9の形成
・RFマグネトロンスパッタリング法でSiOを200nm厚に堆積
11.熱処理工程
・熱処理:大気圧酸素雰囲気中で813℃で30分
12.コンタクトホール形成
・ゲートコンタクトホール形成:フォトリソグラフィとイオンビームエッチングでゲートコンタクトホールを形成後、Tiを電子ビーム蒸着し、フォトレジストをリフトオフ法で除去
・ソース・ドレインコンタクトホール形成:フォトリソグラフィとイオンビームエッチングでソース・ドレインコンタクトホールを形成後、フォトレジストを除去
実施例10で製造したゲート長が160nmの強誘電体電界効果トランジスタの断面を、集束Gaイオンビーム加工後に走査型電子顕微鏡で観察した。図14の構造でゲートスタックの外側を保護層9のSiO2の厚膜が包んでいることを確認した。側壁層8のSCBTは薄すぎて走査型電子顕微鏡の観察では明瞭に確認できなかった。
(実施例10で製造した強誘電体電界効果トランジスタの特性評価) 図21はId−Vg特性であり、ゲート電圧の−4Vと6V間の往復の掃引で、メモリウィンドウは0.88V(Id=1x10−8Aにおける値)であった。Id−Vg特性を取ったときの他の電圧条件は以下の通りである。ドレイン電圧0.1V、ソース電圧0V基板電圧0V。
上述の実施例6、7、8、9、10のいずれの場合でもゲートエッチングで形成されたゲートスタックの側面に第2の強誘電体と比誘電率10以下の絶縁体をこの順に積層し、熱処理を経て、優れたMFIS型の強誘電体電界効果トランジスタを実現している。
以上の実施例はすべてMFIS型の強誘電体デバイスに関するものであるが、特許文献5で開示されるようなMFS型の強誘電体デバイスに対してもMFIS型と同様の製造方法が適用できる。

Claims (25)

  1. 半導体の上に絶縁体、第1の強誘電体、導体がこの順に堆積した積層構造、もしくは、半導体の上に第1の強誘電体、導体、がこの順に堆積した積層構造のいずれかを有し、前記第1の強誘電体はストロンチウムを含む錯体、カルシウムを含む錯体、ビスマスを含む錯体とタンタルを含む錯体を溶媒に溶解した原料溶液を搬送ガス中に分散した気液2相状態の原料ガスを、気液2相状態を維持したまま気化室に導入し気化室において気化を行った後成膜室へ導入する有機金属気相成長法により作製する強誘電体デバイスの製造方法であり、前記カルシウムを含む錯体がCa[Ta(OC(OCOCH)]であることを特徴とする強誘電体デバイスの製造方法。
  2. 半導体の上に、絶縁体、第1の強誘電体、導体がこの順に堆積した積層から成るゲートスタック、もしくは、第1の強誘電体、導体がこの順に堆積した積層から成るゲートスタックのいずれかを有し、前記ゲートスタック側面の少なくとも第1の強誘電体に接触するように第2の強誘電体と比誘電率10以下の絶縁体をこの順に積層した後、第1の強誘電体の結晶化もしくは多結晶化のための熱処理を行うことを特徴とする強誘電体デバイスの製造方法。
  3. 半導体の上に、絶縁体、第1の強誘電体、導体がこの順に堆積した積層から成るゲートスタック、もしくは、第1の強誘電体、導体がこの順に堆積した積層から成るゲートスタックのいずれかを形成し、前記ゲートスタック側面の少なくとも第1の強誘電体に接触するようにストロンチウムとカルシウムとビスマスとタンタルの酸化物を主成分とする第2の強誘電体を有機金属気相成長法で作製することを特徴とする請求項2記載の強誘電体デバイスの製造方法。
  4. 前記第2の強誘電体は5nm以上100nm以下の厚さであることを特徴とする請求項2又は3に記載の強誘電体デバイスの製造方法。
  5. 前記第2の強誘電体は5nm以上10nm以下の厚さであることを特徴とする請求項4に記載の強誘電体デバイスの製造方法。
  6. 前記第2の強誘電体が、ストロンチウムとカルシウムとビスマスとタンタルを含む錯体を溶媒に溶解した原料溶液を搬送ガス中に分散した気液2相状態の原料ガスを、気液2相状態を維持したまま気化室に導入し気化室において気化を行った後成膜室へ導入する有機金属気相成長法により作製されることを特徴とする請求項2ないし5のいずれか1項に記載の強誘電体デバイスの製造方法。
  7. 前記第2の強誘電体を作製した後、前記ゲートスタック側面の第2の強誘電体を残して非ゲート部の半導体表面の第2の強誘電体を除去し、その状態で半導体表面にソース・ドレイン領域を形成する請求項2ないし6のいずれか1項記載の強誘電体デバイスの製造方法。
  8. 前記第2の強誘電体の除去はマスクレスで行う請求項7記載の強誘電体デバイスの製造方法。
  9. 前記半導体表面の第2の強誘電体の除去はRIE法により行う請求項7又は8に記載の強誘電体デバイスの製造方法。
  10. 前記第1の強誘電体の主成分はストロンチウムとカルシウムとビスマスとタンタルの酸化物であってストロンチウムとカルシウムの比を1-x:xと表した時にxが0.5以下であることを特徴とする請求項1ないし9のいずれか1項に記載の強誘電体デバイスの製造方法。
  11. 前記第2の強誘電体の主成分はストロンチウムとカルシウムとビスマスとタンタルの酸化物であってストロンチウムとカルシウムの比を1-x:xと表した時にxが0.5以下であることを特徴とする請求項2ないし9のいずれか1項に記載の強誘電体デバイスの製造方法。
  12. ゲート長が200nm以下である請求項2ないし11のいずれか1項記載の強誘電体デバイスの製造方法。
  13. 前記ゲート長が、130nm以上である請求項12記載の強誘電体デバイスの製造方法。
  14. 前記ゲート長が、160nm以下である請求項12又は13記載の強誘電体デバイスの製造方法。
  15. 半導体の上に絶縁体、第1の強誘電体、導体がこの順に堆積されている積層構造、もしくは、半導体の上に第1の強誘電体、導体がこの順に堆積されている積層構造のいずれかを有し、前記第1の強誘電体はストロンチウムとカルシウムとビスマスとタンタルの酸化物を主成分とする強誘電体であり、前記第1の強誘電体のゲート長が200nm以下である強誘電体デバイス。
  16. 半導体の上に、絶縁体、第1の強誘電体、導体がこの順に積層されて成るゲートスタック、もしくは、第1の強誘電体、導体がこの順に積層されて成るゲートスタックのいずれかを有し、前記第1の強誘電体はストロンチウムとカルシウムとビスマスとタンタルの酸化物を主成分とする強誘電体であり、前記第1の強誘電体のゲート長が200nm以下であり、前記ゲートスタック側面の少なくとも第1の強誘電体に接触するように第2の強誘電体と比誘電率10以下の絶縁体がこの順に積層されていることを特徴とする強誘電体デバイス。
  17. 前記ゲート長が、130nm以上である請求項15又は16記載の強誘電体デバイス。
  18. 前記ゲート長が、160nm以下である請求項15ないし17のいずれか1項記載の強誘電体デバイス。
  19. 前記第2の強誘電体はストロンチウムとカルシウムとビスマスとタンタルの酸化物を主成分とする強誘電体であることを特徴とする請求項16に記載の強誘電体デバイス。
  20. 半導体表面にはその熱酸化物を実質的に含んでいないことを特徴とする請求項15ないし19のいずれか1項記載の強誘電体デバイス。
  21. 前記第1の強誘電体は、ソース・ドレインに注入する不純物を含んでいないことを特徴とする請求項15ないし20のいずれか1項に記載の強誘電体デバイス。
  22. 前記第2の強誘電体は5nm以上100nm以下の厚さであることを特徴とする請求項16に記載の強誘電体デバイス。
  23. 前記第2の強誘電体は5nm以上10nm以下の厚さであることを特徴とする請求項22に記載の強誘電体デバイス。
  24. 前記第1の強誘電体の主成分はストロンチウムとカルシウムとビスマスとタンタルの酸化物であってストロンチウムとカルシウムの比を1-x:xと表した時にxが0.5以下であることを特徴とする請求項15ないし23のいずれか1項に記載の強誘電体デバイス。
  25. 前記第2の強誘電体の主成分はストロンチウムとカルシウムとビスマスとタンタルの酸化物であってストロンチウムとカルシウムの比を1-x:xと表した時にxが0.5以下であることを特徴とする請求項16に記載の強誘電体デバイス。
JP2015528337A 2013-07-25 2014-07-24 強誘電体デバイス及びその製造方法 Active JP6539900B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2013155085 2013-07-25
JP2013155085 2013-07-25
JP2013155094 2013-07-26
JP2013155094 2013-07-26
JP2014067218 2014-03-27
JP2014067218 2014-03-27
PCT/JP2014/069584 WO2015012359A1 (ja) 2013-07-25 2014-07-24 強誘電体デバイス及びその製造方法

Publications (2)

Publication Number Publication Date
JPWO2015012359A1 JPWO2015012359A1 (ja) 2017-03-02
JP6539900B2 true JP6539900B2 (ja) 2019-07-10

Family

ID=52393392

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015528337A Active JP6539900B2 (ja) 2013-07-25 2014-07-24 強誘電体デバイス及びその製造方法

Country Status (6)

Country Link
US (2) US9818869B2 (ja)
JP (1) JP6539900B2 (ja)
KR (1) KR102125746B1 (ja)
CN (1) CN105493265B (ja)
TW (1) TWI664674B (ja)
WO (1) WO2015012359A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6367152B2 (ja) * 2015-06-08 2018-08-01 東芝メモリ株式会社 記憶装置
JP6814915B2 (ja) * 2015-09-18 2021-01-20 アドバンストマテリアルテクノロジーズ株式会社 強誘電体メモリ及びその製造方法、強誘電体膜及びその製造方法
US9947687B2 (en) 2016-06-08 2018-04-17 Micron Technology, Inc. Memory cells comprising a programmable field effect transistor having a reversibly programmable gate insulator
WO2018008609A1 (ja) * 2016-07-06 2018-01-11 国立研究開発法人産業技術総合研究所 半導体記憶素子その他の素子およびその製造方法
JP7248966B2 (ja) * 2016-07-06 2023-03-30 国立研究開発法人産業技術総合研究所 半導体記憶素子、電気配線、光配線、強誘電体ゲートトランジスタ及び電子回路の製造方法並びにメモリセルアレイ及びその製造方法
WO2019066875A1 (en) * 2017-09-28 2019-04-04 Intel Corporation FIELD EFFECT TRANSISTORS HAVING A FERROELECTRIC OR ANTI-FERROELECTRIC GRID DIELECTRIC STRUCTURE
US10615176B2 (en) 2017-11-22 2020-04-07 International Business Machine Corporation Ferro-electric complementary FET
US10790304B2 (en) 2018-07-26 2020-09-29 Micron Technology, Inc. Integrated assemblies comprising ferroelectric transistors and non-ferroelectric transistors
DE102018212736B4 (de) * 2018-07-31 2022-05-12 Christian-Albrechts-Universität Zu Kiel Ferroelektrische Halbleitervorrichtung mit einer einen Mischkristall aufweisenden ferroelektrischen Speicherschicht und Verfahren zu deren Herstellung
JP7097777B2 (ja) * 2018-08-10 2022-07-08 東京エレクトロン株式会社 成膜装置および成膜方法
KR20210037973A (ko) * 2019-09-30 2021-04-07 삼성전자주식회사 박막 구조체 및 이를 포함하는 전자 소자
DE102020127584B4 (de) * 2020-05-28 2024-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Dreidimensionale speichervorrichtung mit ferroelektrischemmaterial
US12051749B2 (en) 2020-06-23 2024-07-30 Taiwan Semiconductor Manufacturing Company Limited Interfacial dual passivation layer for a ferroelectric device and methods of forming the same
KR20230041502A (ko) * 2021-09-17 2023-03-24 삼성전자주식회사 강유전체 전자 소자 및 그 결함 밀도 추출 방법

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4822547B1 (ja) 1969-06-20 1973-07-06
JP3152859B2 (ja) 1994-09-16 2001-04-03 株式会社東芝 半導体装置の製造方法
JP3103916B2 (ja) * 1997-07-09 2000-10-30 ソニー株式会社 強誘電体キャパシタおよびその製造方法並びにそれを用いたメモリセル
DE19850852A1 (de) * 1998-11-04 2000-05-11 Siemens Ag Ferroelektrischer Transistor und Verfahren zu dessen Herstellung
US6151241A (en) * 1999-05-19 2000-11-21 Symetrix Corporation Ferroelectric memory with disturb protection
US6495878B1 (en) * 1999-08-02 2002-12-17 Symetrix Corporation Interlayer oxide containing thin films for high dielectric constant application
JP4445091B2 (ja) 2000-04-07 2010-04-07 康夫 垂井 強誘電体記憶素子
JP5016416B2 (ja) * 2001-01-18 2012-09-05 株式会社渡辺商行 気化器及び気化方法
US6531324B2 (en) 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
US6441417B1 (en) * 2001-03-28 2002-08-27 Sharp Laboratories Of America, Inc. Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same
JP2002305289A (ja) * 2001-04-05 2002-10-18 Hitachi Ltd 半導体集積回路装置およびその製造方法
CN100423266C (zh) * 2002-08-20 2008-10-01 独立行政法人产业技术综合研究所 半导体-铁电体存储器设备以及制造该设备的工艺
JP4803845B2 (ja) 2002-08-20 2011-10-26 独立行政法人産業技術総合研究所 半導体強誘電体記憶デバイスの製造方法
JP4887481B2 (ja) * 2002-08-20 2012-02-29 独立行政法人産業技術総合研究所 半導体強誘電体記憶デバイス
US6714435B1 (en) * 2002-09-19 2004-03-30 Cova Technologies, Inc. Ferroelectric transistor for storing two data bits
US6876536B2 (en) * 2002-12-27 2005-04-05 Tdk Corporation Thin film capacitor and method for fabricating the same
US9121098B2 (en) * 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
JP4192008B2 (ja) * 2003-02-18 2008-12-03 株式会社渡辺商行 気化器及び気化器の洗浄方法並びに気化器を用いた装置
JP4375560B2 (ja) * 2004-12-07 2009-12-02 セイコーエプソン株式会社 トランジスタ型強誘電体メモリの製造方法
WO2007116442A1 (ja) * 2006-03-30 2007-10-18 Fujitsu Limited 半導体装置及びその製造方法
JP2008016626A (ja) * 2006-07-05 2008-01-24 Toshiba Corp 半導体装置及びその製造方法
JP4822547B2 (ja) * 2007-03-28 2011-11-24 独立行政法人産業技術総合研究所 強誘電体を有する電界効果トランジスタ型記憶素子及びその製造方法
JP2008219026A (ja) 2008-03-31 2008-09-18 Watanabe Shoko:Kk 原料溶液の気化方法
US8304823B2 (en) * 2008-04-21 2012-11-06 Namlab Ggmbh Integrated circuit including a ferroelectric memory cell and method of manufacturing the same
US20120181584A1 (en) * 2011-01-19 2012-07-19 Ru Huang Resistive Field Effect Transistor Having an Ultra-Steep Subthreshold Slope and Method for Fabricating the Same
JP5561300B2 (ja) 2012-03-26 2014-07-30 富士通セミコンダクター株式会社 半導体装置の製造方法
WO2013183547A1 (ja) 2012-06-05 2013-12-12 独立行政法人産業技術総合研究所 半導体強誘電体記憶トランジスタおよびその製造方法

Also Published As

Publication number Publication date
CN105493265A (zh) 2016-04-13
TW201515100A (zh) 2015-04-16
TWI664674B (zh) 2019-07-01
KR102125746B1 (ko) 2020-06-23
CN105493265B (zh) 2018-10-16
US20180130909A1 (en) 2018-05-10
US9818869B2 (en) 2017-11-14
US20160247932A1 (en) 2016-08-25
KR20160034917A (ko) 2016-03-30
WO2015012359A1 (ja) 2015-01-29
JPWO2015012359A1 (ja) 2017-03-02

Similar Documents

Publication Publication Date Title
JP6539900B2 (ja) 強誘電体デバイス及びその製造方法
TW449924B (en) Ferroelectric field effect transistors for nonvolatile memory applications having functional gradient material and method for making same
US8901706B2 (en) Thermally stable high-K tetragonal HFO2 layer within high aspect ratio deep trenches
US7226795B2 (en) Semiconductor-ferroelectric storage devices and processes for producing the same
US6469334B2 (en) Ferroelectric field effect transistor
CN103477419B (zh) 用于通过固相扩散形成超浅掺杂区域的方法
JP3803583B2 (ja) 超格子材料を製造する高速ランプアニール法
US20140134823A1 (en) High-k perovskite materials and methods of making and using the same
US20240172451A1 (en) Capacitive memory structure, memory cell, electronic device, and methods thereof
KR100378276B1 (ko) 절연 재료, 절연막 피복 기판, 그 제조 방법 및 박막 소자
US8377718B2 (en) Methods of forming a crystalline Pr1-xCaxMnO3 (PCMO) material and methods of forming semiconductor device structures comprising crystalline PCMO
US7329548B2 (en) Integration processes for fabricating a conductive metal oxide gate ferroelectric memory transistor
US20050069643A1 (en) MOCVD selective deposition of C-axis oriented PB5GE3O11 thin films on In2O3 oxides
Park et al. Integration of large single-grain Pb (Zr, Ti) O 3 into low-temperature polycrystalline silicon thin-film transistors for system-on-glass display
KR20040079884A (ko) 갈륨나이트라이드를 기판으로한 페로브스카이트 구조의강유전체 박막트랜지스터 및 그 제조방법
Kim et al. Depolarization mitigated in ferroelectric Hf 0.5 Zr 0.5 O 2 ultrathin films (< 5 nm) on Si substrate by interface engineering.
JP2005019976A (ja) High−kゲート酸化物上のC軸方向Pb5Ge3O11薄膜のMOCVD選択的堆積
Li et al. Selective Deposition of C-axis Oriented Pb5Ge3O11 on the Patterned High k Gate Oxide by MOCVD Processes
JP2009054753A (ja) 強誘電体装置及びその製造方法
JP2008028197A (ja) 強誘電体膜およびその製造方法、強誘電体キャパシタ、強誘電体メモリおよびその製造方法
JP2008078580A (ja) 半導体装置の製造方法
JP2007115906A (ja) 強誘電体キャパシタ

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20170721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180803

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181015

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181015

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190405

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190424

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190522

R150 Certificate of patent or registration of utility model

Ref document number: 6539900

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250