JP6224737B2 - キャパシタンスを高めた金属−酸化物−金属(mom)キャパシタ - Google Patents

キャパシタンスを高めた金属−酸化物−金属(mom)キャパシタ Download PDF

Info

Publication number
JP6224737B2
JP6224737B2 JP2015561376A JP2015561376A JP6224737B2 JP 6224737 B2 JP6224737 B2 JP 6224737B2 JP 2015561376 A JP2015561376 A JP 2015561376A JP 2015561376 A JP2015561376 A JP 2015561376A JP 6224737 B2 JP6224737 B2 JP 6224737B2
Authority
JP
Japan
Prior art keywords
metal
electrode
mom capacitor
substrate
conductive gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015561376A
Other languages
English (en)
Other versions
JP2016511548A (ja
Inventor
シア・リ
ビン・ヤン
Original Assignee
クアルコム,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアルコム,インコーポレイテッド filed Critical クアルコム,インコーポレイテッド
Publication of JP2016511548A publication Critical patent/JP2016511548A/ja
Application granted granted Critical
Publication of JP6224737B2 publication Critical patent/JP6224737B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • H01L27/0727Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors
    • H01L27/0733Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors in combination with capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/86Electrodes with an enlarged surface, e.g. formed by texturisation having horizontal extensions
    • H01L28/88Electrodes with an enlarged surface, e.g. formed by texturisation having horizontal extensions made by patterning layers, e.g. by etching conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/92Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by patterning layers, e.g. by etching conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors with potential-jump barrier or surface barrier
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

関連出願の相互参照
本出願は、その全体が参照により本明細書に明確に組み込まれている、2013年3月5日に出願した本願の譲受人が所有する米国非仮特許出願第13/784,895号の優先権を主張するものである。
本開示は、概して、半導体デバイス中の金属−酸化物−金属(MOM:metal−oxide−metal)キャパシタに関する。
技術の進歩は、より小さくかつより強力なコンピューティングデバイスをもたらした。たとえば、小さく、軽量で、かつユーザによって容易に運べる携帯型ワイヤレス電話機、携帯情報端末(PDA)、およびページングデバイスなどの、ワイヤレスコンピューティングデバイスを含む多様な携帯型パーソナルコンピューティングデバイスが現在存在する。より具体的には、セルラー電話機およびインターネットプロトコル(IP)電話機などの、携帯型ワイヤレス電話機は、ワイヤレスネットワークを介して音声およびデータパケットを通信することができる。また、そのようなワイヤレス電話機は、インターネットにアクセスするために使用され得る、ウェブブラウザアプリケーションなどの、ソフトウェアアプリケーションを含む、実行可能な命令を処理することができるプロセッサなどの、電子デバイスを含む。したがって、これらのワイヤレス電話機は、かなりの計算能力を含むことができる。
電子デバイスは、インダクタ、抵抗器、およびキャパシタなどの、受動部品を含むことができ、これらは、集積回路(IC)のチューニング、フィルタリング、インピーダンスマッチング、および利得制御に広く使用されている。様々なタイプのキャパシタの中で、金属−酸化物−金属(MOM)キャパシタは、アナログ同調回路、スイッチドキャパシタ回路、フィルタ、共振器、アップコンバージョンおよびダウンコンバージョンミキサ、ならびにアナログ/ディジタル(A/D)変換器において使用される。そのような用途におけるキャパシタの困難な課題は、ICの小さい表面積を追求しながら、大きいキャパシタンス値を与えるまたはキャパシタンスのレベルを維持するMOMキャパシタを有することを含む。
相補型金属−酸化物−半導体(CMOS)製作プロセスを使用して形成される従来型の金属−酸化物−金属(MOM)キャパシタでは、電極は、基板上に形成した複数の金属層を含むことができる。従来型のMOMキャパシタのキャパシタンスは、隣接する対の電極の各メタル層間のキャパシタンスを含む隣接する対の電極のキャパシタンスに基づくことが可能である。従来型のMOMキャパシタの表面積(すなわち、「フットプリント」)を増加させずに従来型のMOMキャパシタのキャパシタンスを大きくするための一方法は、電極中に導電性ゲート材料またはコンタクトメタルを含ませることによる。たとえば、導電性ゲート材料を含ませることによって、追加のキャパシタンスが、導電性ゲート材料と隣接する電極の導電性ゲート材料との間に形成され得る。追加のキャパシタンスは、隣接する電極の2つのコンタクトメタル部分間に同様に形成され得る。従来型のMOMキャパシタのキャパシタンスをさらに大きくするために、追加の電極が追加され得る。しかしながら、従来型のMOMキャパシタの表面積は、CMOS製作プロセスに関係する設計ルールによって制約されることがあり、それが、従来型のMOMキャパシタが表面積の望ましくない増加をともなわずに特定のキャパシタンスを実現することを妨げることがある。
本開示に従って形成されるMOMキャパシタは、基板に接続された電極対を含み、従来型のMOMキャパシタと比較して高めたキャパシタンスを可能にする。たとえば、第1の電極対は、基板に両者とも接続された(たとえば、接触するまたは「延伸する」)第1の電極および第2の電極を含むことができ、このように、基板まで「延伸しない」電極を含むMOMキャパシタと比較して追加のキャパシタンスを形成することができる。
さらに、第1の電極および第2の電極の材料は、第1の電極と第2の電極との間の距離が1つまたは複数の製作設計ルール(たとえば、CMOS製作設計ルール)によって規定される「最小」許容距離に実質的に等しくなるように選択され得る。たとえば、設計ルールに従って、導電性ゲート材料とコンタクトメタルとの間の許容距離は、2つの隣接するコンタクトメタルまたは2つの隣接する導電性ゲート材料間の許容距離よりも小さくてもよい。したがって、第1の電極中に導電性ゲート材料を含ませ、第2の電極中にコンタクトメタルを含ませることによって、第1の電極と第2の電極との間の距離が、短縮されることが可能であり、このように、MOMキャパシタのより高密度の電極対を可能にすることができる。したがって、第1の電極と第2の電極との間の距離を短縮することは、MOMキャパシタのキャパシタンスを大きくすることができ、MOMキャパシタの表面積を減少させることが可能であり、これが、MOMキャパシタの設計によって指定された特定の表面積を超えずにMOMキャパシタの大きなキャパシタンスを可能にすることができる。
特定の実施形態では、MOMキャパシタデバイスは、基板に結合された導電性ゲート材料を含む。MOMキャパシタデバイスは、導電性ゲート材料に結合された第1のメタル構造をさらに含む。MOMキャパシタデバイスは、基板に結合されかつ第1のメタル構造に近接する第2のメタル構造をさらに含む。第1のメタル構造は、ビア構造の使用によって第1の高位(higher)メタル構造に結合される。
別の特定の実施形態では、MOMキャパシタデバイスを形成する方法は、第1の電極を形成するステップを含む。第1の電極は、導電性ゲート材料を含む。方法は、第2の電極を形成するステップをさらに含む。第2の電極は、コンタクトメタルを含む。第2の電極は、第1の電極に近接する。
別の特定の実施形態では、MOMキャパシタデバイスは、基板に結合された電荷蓄積を可能にするための第1の手段を含む。電荷蓄積を可能にするための第1の手段は、導電性ゲート材料を含む。MOMキャパシタデバイスは、基板に結合された電荷蓄積を可能にするための第2の手段をさらに含む。電荷蓄積を可能にするための第2の手段は、コンタクトメタルを含む。電荷蓄積を可能にするための第2の手段は、電荷蓄積を可能にするための第1の手段に近接する。
別の特定の実施形態では、非一時的コンピュータ可読媒体は、プロセッサによって実行されるときに、プロセッサにMOMキャパシタデバイスの製作を始めさせるプロセッサ実行可能な命令を含む。MOMキャパシタデバイスは、第1の電極を形成するステップによって製作される。第1の電極は、導電性ゲート材料を含む。MOMキャパシタデバイスは、第2の電極を形成するステップによってさらに製作される。第2の電極は、コンタクトメタルを含む。第2の電極は、第1の電極に近接する。
開示した実施形態のうちの少なくとも1つによって提供される1つの特定の利点は、従来型のMOMキャパシタと比較してMOMキャパシタの高めたキャパシタンスである。たとえば、MOMキャパシタの電極中に導電性ゲート材料とコンタクトメタルの両方を含ませることによって、MOMキャパシタのキャパシタンスが、大きくされ得る(たとえば、MOMキャパシタのキャパシタンスは、導電性ゲート材料とコンタクトメタルとの間のキャパシタンスを含む)。さらに、導電性ゲート材料とコンタクトメタルとの間の距離(たとえば、ゲート−コンタクト間(gate−to−contact)「ピッチ」)が、2つの隣接する導電性ゲート材料間の距離(たとえば、ゲート間(gate−to−gate)ピッチ)または2つの隣接するコンタクトメタル間の距離(たとえば、コンタクト間(contact−to−contact)ピッチ)よりも小さいので、導電性ゲート材料およびコンタクトメタルの交互の配置は、導電性ゲート材料およびコンタクトメタルの他の構成と比較してMOMキャパシタの表面積の縮小を可能にする。加えて、MOMキャパシタのキャパシタンスは、電極間の距離(たとえば、ゲート−コンタクト間ピッチ)に基づいてさらに高められる。
本開示の他の態様、利点および特徴は、下記の項:図面の簡単な説明、発明を実施するための形態、および特許請求の範囲を含む全体の出願を概観した後で明らかになるであろう。
金属−酸化物−金属(MOM)キャパシタを図示する特定の実施形態の図である。 絶縁性基板上に配置されたMOMキャパシタを図示する特定の実施形態の斜視図である。 シリコン基板上に配置されたMOMキャパシタを図示する特定の実施形態の斜視図である。 MOMキャパシタの上面図を図示する特定の実施形態の図である。 MOMキャパシタを形成する方法の第1の例示の実施形態のフローチャートである。 MOMキャパシタを形成する方法の第2の例示の実施形態のフローチャートである。 MOMキャパシタを形成する方法の第3の例示の実施形態のフローチャートである。 MOMキャパシタを形成する方法の第4の例示の実施形態のフローチャートである。 MOMキャパシタを形成する方法の第5の例示の実施形態のフローチャートである。 MOMキャパシタを含む通信デバイスのブロック図である。 MOMキャパシタを含む電子デバイスを製造するための製造プロセスの特定の例示の実施形態のデータ流れ図である。
図1を参照すると、金属−酸化物−金属(MOM)キャパシタの特定の実施形態が描かれ、全体として100と表される。MOMキャパシタ100は、基板102上に形成された第1の電極140および第2の電極142を含む。
第1の電極140は、基板102に結合された導電性ゲート材料104を含む。たとえば、導電性ゲート材料104は、図1に描かれたように介在するゲート誘電体層103を介して基板102に結合されてもよい。第1の電極140は、導電性ゲート材料104を含む第1のメタル構造106をさらに含む。たとえば、第1のメタル構造106は、導電性ゲート材料104から全体が形成されてもよい、または第1のメタル構造106の下側部分が、導電性ゲート材料104を含んでもよく、一方で第1のメタル構造106の上側部分(たとえば、相補型金属−酸化膜−半導体(CMOS)設計に関係する「メタル0」層ローカル配線(local connection))は、下側部分とは異なる金属または材料から形成されてもよい。第1のメタル構造106は、第1のビア構造108を介して第1の高位(higher)メタル構造110(たとえば、「メタル1」層構造)に結合される。例示すると、第1の高位メタル構造110は、半導体デバイスの1つまたは複数の上部メタル層内に1つまたは複数のメタルラインを含むことができる。
第2の電極142は、基板102に結合された第2のメタル構造112を含む。たとえば、図1に示したように、第2のメタル構造112は、基板102に結合されたコンタクトメタル120を含む。コンタクトメタル120は、トランジスタのソースコンタクトまたはドレインコンタクトなどの、トランジスタのコンタクトとしての使用に適切である金属を含むことができる。特定の実施形態では、導電性ゲート材料104およびコンタクトメタル120は、異なる材料である。たとえば、導電性ゲート材料104は、金属ゲート窒化チタン(TiN)膜であってもよく、コンタクトメタル120は、タングステンであってもよい。コンタクトメタル120用にタングステンを使用することは、基板102中への銅の拡散を減少させることができる。第2のメタル構造112は、全体がコンタクトメタル120から形成され得る、またはコンタクトメタル120から形成された下側部分および銅などの別の導電性金属から形成された上側部分(たとえば、「メタル0」層ローカル配線)を有することができる。第2のメタル構造112は、第2のビア構造114を介して第2の高位メタル構造116(たとえば、「メタル1」層構造)に結合される。例示すると、第2の高位メタル構造116は、半導体デバイスの1つまたは複数の上部メタル層中に1つまたは複数のメタルラインを含むことができる。
動作中には、第1の電極140および第2の電極142は、対応する電圧に従って各々バイアスされることが可能であり、第1の電極140は、電荷を伝導することができる(たとえば、第1の電極140および第2の電極142は、平板キャパシタ内の導電性プレートに対応することができる)。キャパシタンスは、第1の電極140と第2の電極142との間に存在することができる。たとえば、キャパシタンスは、第1の高位メタル構造110と第2の高位メタル構造116との間の第1のキャパシタンス、第1のビア構造108と第2のビア構造114との間の第2のキャパシタンス、および第1のメタル構造106の少なくとも一部と第2のメタル構造112の少なくとも一部との間の第3のキャパシタンスに基づくキャパシタンスCを含むことができる。キャパシタンスCは、基板102まで延びる電極(たとえば、基板102に結合された導電性ゲート材料を含む、または基板102に結合されたコンタクトメタルを含む電極)を含まない従来型のMOMキャパシタのキャパシタンスに対応することがある。MOMキャパシタ100のキャパシタンスは、導電性ゲート材料104を含む第1のメタル構造106の一部とコンタクトメタル120を含む第2のメタル構造112の一部との間のキャパシタンスCをやはり含む。したがって、第1の電極140および第2の電極142が基板102まで延びるので、MOMキャパシタ100のキャパシタンス(たとえば、CおよびC)は、基板102上のMOMキャパシタ100のフットプリント(たとえば、表面積)を増加させずに大きくなる。
さらに、第1の電極140が導電性ゲート材料104を含み、かつ第2の電極142がコンタクトメタル120を含むので、MOMキャパシタ100は、2つの隣接する電極が導電性ゲート材料を各々含む場合または2つの隣接する電極がコンタクトメタルを各々含む場合の構成と比較して、大きいキャパシタンスを有する。例示すると、導電性ゲート材料104を含む第1のメタル構造106およびコンタクトメタル120を含む第2のメタル構造112は、従来のトランジスタ製作処理に従って形成され得る。たとえば、導電性ゲート材料104および/またはゲート誘電体層103は、従来のトランジスタゲート形成プロセスに従って形成されてもよく、コンタクトメタル120は、従来のトランジスタソースおよび/またはドレインコンタクトメタル堆積および形成に従って形成されてもよい。たとえば、導電性ゲート材料104およびコンタクトメタル120は、CMOS製作プロセスに従って(たとえば、半導体デバイスの他の構成要素を形成するために使用されるCMOS製作プロセス中に)堆積され、パターニングされてもよい。
加えて、第1の電極140中に導電性ゲート材料104を含ませることによって、および第2の電極142中にコンタクトメタル120を含ませることによって、第1の電極140と第2の電極142との間の距離は、「最小」許容ゲート−コンタクト間ピッチ130に実質的に等しくなり得る。最小ゲート−コンタクト間ピッチ130は、最小許容コンタクト間ピッチ134または最小許容ゲート間ピッチ132よりも小さくなるように、工業規格によってまたは特定の製作施設によって指定される設計ルールなどの、1つまたは複数の製作設計ルールによって規定され得る。たとえば、最小許容コンタクト間ピッチ134は、コンタクトメタル120とコンタクトメタルを含む第3の電極138との間のピッチに関係付けられてもよい。最小許容ゲート間ピッチ132は、導電性ゲート材料104と導電性ゲート材料を含む第4の電極136との間のピッチに関係付けられてもよい。結果として、第1の電極140と第2の電極142との間の距離は、隣接する電極がコンタクトメタル120を含む(そして最小許容コンタクト間ピッチ134によって制限される)他の構成、または隣接する電極が、設計ルールに基づいて導電性ゲート材料104を含む(そして最小許容ゲート間ピッチ132によって制限される)構成において可能であるはずであるものよりも小さくなり得る。
認識されるであろうように、第1の電極140中に導電性ゲート材料104を含ませ、かつ第2の電極142中にコンタクトメタル120を含ませることによって、MOMキャパシタ100は、設計ルールに従った利用可能な電極間の最小許容距離に従って有利に構成され得る。第1の電極140と第2の電極142との間の短縮した距離を有することによって、電極140と電極142との間のキャパシタンス(たとえば、C+C)は、短縮した距離に基づいて大きくされ得る。さらに、第1の電極140と第2の電極142との間の短縮した距離を有することによって、MOMキャパシタ100のフットプリント(たとえば、表面積)は、(たとえば、隣接する電極が導電性ゲート材料104を各々含むまたはコンタクトメタル120を各々含む)他の構成と比較して縮小され得る。
図2は、基板211上に配置された金属−酸化物−金属(MOM)キャパシタ200の特定の実施形態を示す斜視図である。MOMキャパシタ200は、図1のMOMキャパシタ100であってもよい。MOMキャパシタ200は、第1の電極部分207、ゲート構造208、スペーサ構造209、および第1の誘電体部分210(第1の電極部分207とスペーサ構造209との間の透明な部分として描かれる)を含む第1の層(基板211上の第1の層)を含む。図1のそれぞれ、第1の電極部分207は、コンタクトメタル120を含む第2のメタル構造112のコンタクト部分であり得て、ゲート構造208は、導電性ゲート材料104を含む第1のメタル構造106の部分であり得る。MOMキャパシタ200は、第2の電極部分205および第2の誘電体部分206(第2の電極部分205間の透明な部分として描かれる)を含む第2の層(たとえば、第1の層上に配置された「メタル0」層ローカル配線)を含む。第2の電極部分205は、図1の第1のメタル構造106の上側部分および第2のメタル構造112の上側部分であり得る。MOMキャパシタ200は、導電性接続部分203および誘電体接続部分204(導電性接続部分203間の透明な部分として描かれる)を含む接続層(たとえば、第2の層上に配置された「ビア0」層)を含む。導電性接続部分203は、図1の第1のビア構造108および第2のビア構造114であり得る。MOMキャパシタ200は、第3の電極部分201および第3の誘電体部分202(第3の電極部分201間の透明な領域として描かれる)を含む第3の層(たとえば、接続層上に配置された「メタル1」層)を含む。第3の電極部分201は、図1の第1の高位メタル構造110および第2の高位メタル構造116であり得る。MOMキャパシタ200は、基板211のシャロートレンチアイソレーション(STI)層上に形成され得る。第1の層から第3の層へと(基板211に垂直な方向に)延びる導電性構造は、電極140、142、および212〜214を形成することができる。図2に示した電極140、142、および212〜214の数は、例示であり、追加の電極またはより少ない電極が、使用されてもよい。
第1の層では、第1の電極部分207およびゲート構造208は、交互に平行でかつ実質的に等距離の間隔を空けて基板211上に配置され得る。第1の電極部分207とゲート構造208との間の距離は、図1の最小許容ゲート−コンタクト間ピッチ130に対応することができ、設計ルールに基づくことが可能である。第1の誘電体部分210は、第1の電極部分207とゲート構造208との間に配置された誘電体材料を含むことができる。第2の層では、第2の電極部分205は、平行にかつ実質的に等距離の間隔を空けて配置され得る。第2の誘電体部分206は、第2の電極部分205間に配置された誘電体材料を含むことができる。第2の電極部分205は、第1の電極部分207およびゲート構造208上に配置され得る。ゲート構造208および第1の電極部分207の使用によって、MOMキャパシタ200は、基板211まで延伸され得る。
第3の層では、第3の電極部分201は、平行にかつ実質的に等距離の間隔を空けて配置され得る。第3の誘電体部分202は、第3の電極部分201間に配置された誘電体材料を含むことができる。導電性接続部分203は、第2の電極部分205上で、第2の電極部分205と第3の電極部分201との間に配置され得る。導電性接続部分203は、対応する第3の電極部分201の幅および長さ以下である幅および長さを有するトレンチビアなどの、ビア構造を含むことができる。
基板211は、シャロートレンチアイソレーション(STI)タイプの材料部分などの、実質的に非導電性材料部分を含むことができる。特定の実施形態では、基板211は、酸化物材料を含むことができる。(ゲート構造208を含む)MOMキャパシタ200の第1の層は、STIタイプの材料部分上に配置され得る。
電極140、142、および212〜214は、交互に相互接続されることが可能であり、図3を参照してさらに説明するように、第1の電極コネクタによって接続された第1のセットの電極および第2の電極コネクタによって接続された第2のセットの電極を形成することができる。第1の電極コネクタは、第1の信号ソースを受信するように結合されることが可能であり、第2の電極コネクタは、第2の信号ソースを受信するように結合されることが可能である。そのような構成は、下記にさらに説明するように、並列に配線されたキャパシタ(たとえば、電極142および212、214によって形成された第1のキャパシタプレート、ならびに電極140および213によって形成された第2のキャパシタプレート)を有するMOMキャパシタ形成することができる。
動作中には、第1の信号ソースおよび第2の信号ソースは、電圧差を第1の電極コネクタと第2の電極コネクタとの間に生じさせることができる。たとえば、電圧差は、電極140と電極142との間に生じてもよい。電圧差は、電極140および第2の電極142を平板キャパシタとして作用させることができる。電極140、142、および212〜214のうちの電極の各セットは、電圧差に基づいてキャパシタプレートとして作用することができる。
MOMキャパシタ200のキャパシタンスは、いくつかの成分に基づくことがある。たとえば、キャパシタンスの第1の成分は、第1の電極部分207とゲート構造208との間の第1のキャパシタンスであり得る。キャパシタンスの第2の成分は、第2の電極部分205間の第2のキャパシタンスであり得る。キャパシタンスの第3の成分は、第3の電極部分201間の第3のキャパシタンスであり得る。キャパシタンスは、MOMキャパシタ200内に交互に配置された電極の各セット(たとえば、ゲート構造208および第1の電極部分207の各セット)に基づいて、第1のキャパシタンス、第2のキャパシタンス、および第3のキャパシタンスと同様な追加成分を含むことができる。
基板211まで電極140、142、および212〜214(たとえば、電極142中の第1の電極部分207を含みおよび電極140中のゲート構造208を含む)を延伸することによって、MOMキャパシタ200のキャパシタンスは、基板211まで電極を延伸しない従来型のMOMキャパシタと比較して大きくされ得る。たとえば、特定の実施形態では、第1の電極部分207およびゲート構造208によって形成されるキャパシタンスの第1の成分は、基板211まで電極を延伸しない従来型のMOMキャパシタ(たとえば、ゲート構造208および第1の電極部分207を含まない従来型のMOMキャパシタ)と比較して基板211の同じ表面積(「フットプリント」)を使用してほぼ18%だけMOMキャパシタ200のキャパシタンスを大きくすることができる。
別の特定の実施形態では、第1の電極部分207およびゲート構造208によって形成されるキャパシタンスの第1の成分は、実質的に同様のキャパシタンスを与えながら、従来型のMOMキャパシタ(たとえば、交互にかつ平行にゲート構造208および第1の電極部分207を配置しない従来型のMOMキャパシタ)のフットプリントよりも、MOMキャパシタ200のフットプリント(たとえば、表面積)がほぼ18%小さくなることを可能にすることができる。たとえば、MOMキャパシタ200のより小さなフットプリントは、ゲート構造208と第1の電極部分207との間の最小許容ゲート−コンタクト間ピッチに基づいてもよい。最小許容ゲート−コンタクト間ピッチまでゲート構造208と第1の電極部分207との間の距離を短縮させることによって、MOMキャパシタ200のキャパシタンスは、短縮した距離に基づいてさらに大きくされ得る。
図3を参照すると、斜視図は、基板302のシリコン部分などの、半導体基板上に配置されたMOMキャパシタ300の特定の実施形態を示す。MOMキャパシタ300は、図2の、第1の電極部分207(たとえば、コンタクト部分)、ゲート構造208、スペーサ構造209、第1の誘電体部分210、第2の電極部分205(たとえば、「メタル0」層ローカル配線)、第2の誘電体部分206、導電性接続部分203(たとえば、「ビア0」層)、誘電体接続部分204、第3の電極部分201(たとえば、「メタル1」層構造)、および第3の誘電体部分202を含む。ゲート構造208は、高誘電率(たとえば、ハイ−K)材料層またはゲート酸化物層301などの、電気的絶縁性層を介して基板302のシリコン部分とは分離され得る。MOMキャパシタ300は、図2の電極140、142、および212〜214をやはり含むことができ、電極140、142、および212〜214は、図2を参照して説明したように、第1の電極コネクタおよび第2の電極コネクタに接続され得る。
動作中には、第1の電極コネクタに与えられた第1の信号ソースおよび第2の電極コネクタに与えられた第2の信号ソースは、第1の電極コネクタと第2の電極コネクタとの間に電圧差を生じさせることができる。電圧差は、MOMキャパシタ300に電荷を伝導させる(たとえば、平板キャパシタとして作用させる)ことができ、これによって、MOMキャパシタ300内にキャパシタンスを誘起することができる。MOMキャパシタ300のキャパシタンスは、図2を参照して説明したように、第1のキャパシタンス、第2のキャパシタンス、および第3のキャパシタンスなどの、いくつかの成分に基づくことができる。キャパシタンスは、MOMキャパシタ300内に交互に配置された電極140、142、および212〜214の各セット(たとえば、ゲート構造208および第1の電極部分207の各セット)に基づいて、第1のキャパシタンス、第2のキャパシタンス、および第3のキャパシタンスと同様な追加成分を含むことができる。
MOMキャパシタ300のキャパシタンスは、基板302のシリコン部分上のMOMキャパシタ300の形成に基づいて追加成分をさらに含むことができる。たとえば、基板302のシリコン部分内の金属−酸化膜−半導体(MOS)ゲート構造は、ゲート酸化物層301をはさむ第1の追加のゲートキャパシタンス(Cg)および電荷蓄積によるゲート酸化物層301と第1の電極部分207との間の第2の追加の接合キャパシタンス(Cj)をもたらすことができる。特定の実施形態では、ゲート構造208は、MOS構造(たとえば、MOMキャパシタ300)の基板ウェルおよび接合と同じタイプの材料であってもよい。特定の実施形態では、ゲート構造208は、MOMキャパシタ300が蓄積モードで動作するように十分にバイアスされ、これによって接合キャパシタンス(Cj)を追加せずにゲートキャパシタンス(Cg)を追加する。
図3に図示したように、MOMキャパシタ300は、基板211のSTI部分上よりはむしろ基板302のシリコン部分上に置かれる。加えて、ゲート酸化物層301は、基板302のシリコン部分とゲート構造208との間に置かれる。そのような構成は、MOMキャパシタ300に対して追加キャパシタンスを与えることができる。追加キャパシタンスは、第1の電極として作用するゲート構造208および第2の電極として作用する第1の電極部分207によって形成されるキャパシタに基づくことが可能である。特定の実施形態では、ゲート酸化物層301および基板302のシリコン部分は、第1の電極と第2の電極との間の誘電性媒質として作用することができる。MOMキャパシタ300は、図2のMOMキャパシタ200よりも大きいキャパシタンス(たとえば、Cgを含むキャパシタンス)を与えることができ、一方で、図2のMOMキャパシタ200は、図3のMOMキャパシタ300と比較して高周波数特性の強化を与えることができる。たとえば、基板211のSTIタイプの材料部分上にMOMキャパシタ200を配置することは、MOMキャパシタ200を介した高周波数(たとえば、1GHzよりも大きい)信号劣化または高周波数信号損失を減少させることできる。
図4を参照すると、MOMキャパシタの上面図を示す図が描かれ、全体として400と表される。MOMキャパシタ400は、図2のMOMキャパシタ200または図3のMOMキャパシタ300を含むことができる。MOMキャパシタ400は、電極140、142、および212〜214、第1の電極コネクタ401、ならびに第2の電極コネクタ402を含む。電極140、142、および212〜214は、図2の電極140、142、および212〜214または図3の電極140、142、および212〜214であり得る。
第1の電極コネクタ401は、第1の信号ソースに電極212、142、および214を結合することができる。第2の電極コネクタ402は、第2の信号ソースに電極213および140を結合することができる。そのような接続は、多重並列(multiple parallel)キャパシタ接続を有するMOMキャパシタ400などの、MOMキャパシタを形成することができる。たとえば、第1の信号ソースおよび第2の信号ソースは、電極140と電極142との間に電圧差を生じさせることができる。電圧差は、電極140および第2の電極142に電荷を伝導させる(たとえば、平板キャパシタのキャパシタプレートとして作用する)ことができる。電極140、142、および212〜214のうちの電極の各セット(たとえば、電極212および140、電極140および142、電極142および213、ならびに電極213および214)は、電圧差に基づいて従来型のキャパシタとして作用することができる。
図5を参照すると、MOMキャパシタを形成する方法の第1の例示の実施形態のフローチャートが描かれ、全体として500と表される。MOMキャパシタは、図1のMOMキャパシタ100、図2のMOMキャパシタ200、図3のMOMキャパシタ300、または図4のMOMキャパシタ400を含むことができる。方法500の1つまたは複数の動作は、図10を参照してさらに説明するように、電子デバイス中に集積されたプロセッサによって始められ得る。
方法500は、502において第1の電極を形成するステップを含む。第1の電極は、導電性ゲート材料を含む。導電性ゲート材料は、図1の導電性ゲート材料104、図2のゲート構造208、または図3のゲート構造208であり得る。特定の実施形態では、第1の電極は、基板上に配置されてもよい。基板は、図1の基板102、図2の基板211のSTI部分、または図3の基板302のシリコン部分であり得る。第1の電極は、基板上に導電性ゲート材料を堆積するステップによって形成され得る。導電性ゲート材料は、化学気相堆積(CVD)プロセス、スピンオンプロセス、プラズマエンハンス型化学気相堆積(PECVD)プロセス、物理気相堆積(PVD)プロセス、または原子層堆積(ALD)プロセスなどの、膜堆積プロセスを使用して堆積され得て、化学機械平坦化(CMP)プロセスが続き得る。第1の電極の追加の上側部分は、異なる材料を用いる同様のプロセスを使用して形成され得る。
504において、第2の電極が形成される。第2の電極は、コンタクトメタルを含む。コンタクトメタルは、図1のコンタクトメタル120、図2の第1の電極部分207、または図3の第1の電極部分207を含む第2のメタル構造112の部分であり得る。第1の電極は、第2の電極に近接する。コンタクトメタルは、膜堆積プロセスを使用してコンタクトメタルを堆積することによって、続いてCMPプロセスよって形成され得る。第2の電極の追加の上側部分は、同じまたは異なる材料を用いて同様のプロセスを使用して形成され得る。
第1の電極中に導電性ゲート材料を含ませ、第2の電極中にコンタクトメタルを含ませることによって、方法500により形成されるMOMキャパシタは、有利なことに、設計ルールに従って利用可能な電極間の最小許容距離に従って構成され得る。第1の電極と第2の電極との間の短縮した距離を有することによって、第1の電極と第2の電極との間のキャパシタンスは、短縮した距離に基づいて大きくされ得る。第1の電極と第2の電極との間の短縮した距離を有することによって、MOMキャパシタのフットプリント(たとえば、表面積)は、他の構成(たとえば、導電性ゲート材料を各々含むまたはコンタクトメタルを各々含む隣接する電極)と比較して縮小され得る。
図6を参照すると、MOMキャパシタを形成する方法の第2の例示の実施形態のフローチャートが描かれ、全体として600と表される。MOMキャパシタは、図1のMOMキャパシタ100、図2のMOMキャパシタ200、図3のMOMキャパシタ300、または図4のMOMキャパシタ400を含むことができる。
方法600は、601において、絶縁性基板(たとえば、シリコンウェハ)のシャロートレンチアイソレーション(STI)部分を形成するステップ、およびSTI部分からMOMキャパシタの製作を開始するステップを含む。絶縁性基板は、図1の基板102または図2の基板211のSTI部分であり得る。STI部分は、トレンチをエッチングするステップ、および化学気相堆積(CVD)プロセス、スピンオンプロセス、プラズマエンハンス型化学気相堆積(PECVD)プロセス、または高密度プラズマ化学気相堆積(HDPCVD)プロセスなどの、膜堆積プロセスによって、続いて化学機械平坦化(CMP)プロセスを実行するステップによって形成され得る。
602において、ハイ−K誘電体層およびダミーゲート層が、基板のSTI部分上に形成される。ハイ−K誘電体層は、図3のゲート酸化物層301、または図1の介在するゲート誘電体層103などの、酸化物層であり得る。特定の実施形態では、ダミーゲート層は、多結晶シリコン膜などの、CMOSトランジスタ製作中に使用されるゲート材料を含むことができる。ダミーゲート層は、CVDプロセスまたはPECVDプロセスなどの、膜堆積プロセスによって、続いてCMPプロセスによって形成され得る。
603において、ダミーゲートが、ダミーゲート層から、トランジスタタイプのデバイスなどのアレイ用などにパターニングされる。特定の実施形態では、フォトリソグラフィおよびエッチングプロセスが、ダミーゲートをパターニングする(たとえば、形成する)ために使用されてもよい。ダミーゲートは、MOMキャパシタにおいて使用されるゲート構造の形状およびサイズに基づいてパターニングされる。たとえば、ダミーゲートは、図1の第1のメタル構造106(たとえば、導電性ゲート材料104)、図2のゲート構造208、または図3のゲート構造208に基づいてパターニングされてもよい。ダミーゲートの幅は、ゲート構造に関連付けられ得る。たとえば、幅は、図1の第1のメタル構造106(たとえば、導電性ゲート材料104)、図2のゲート構造208およびスペーサ構造209、または図3のゲート構造208およびスペーサ構造209に関連付けられてもよい。特定の実施形態では、ダミーゲートの幅は、ほぼ20nmである。
604において、スペーサ層が、堆積されかつエッチバックされて、スペーサ構造を形成する。スペーサ構造は、図2のスペーサ構造209または図3のスペーサ構造209であり得る。スペーサ層は、窒化シリコン(SiN)などの、キャパシタンスを大きくする高誘電率を有する任意の適切な材料から構成され得る。スペーサ層は、CVDプロセスまたはPECVDプロセスなどの、膜堆積プロセスによって形成され得る。スペーサ層が堆積された後、スペーサ層の厚さは、ダミーゲート層の厚さと同等であり得る。スペーサ層は、スペーサ構造を形成するために、(たとえば、プラズマドライエッチングによって)エッチバックされ得る。スペーサ構造は、シリコンウェハの別の部分におけるトランジスタ用のゲートスペーサ構造処理に従って形成され得る。
605において、第1の誘電体層が堆積され、CMPプロセスが実行される。第1の誘電体部分は、基板のSTI部分上に堆積され得る。堆積した第1の誘電体層は、図2の第1の誘電体部分210または図3の第1の誘電体部分210であり得る。特定の実施形態では、第1の誘電体層は、アンドープのケイ酸塩ガラス(USG)、フッ化ケイ酸塩ガラス(FSG)、プラズマエンハンス型化学気相堆積(PECVD)酸化シリコン、または酸化物/窒化物/酸化物などの、シリコン酸化物系材料から構成されてもよい。別の特定の実施形態では、第1の誘電体層は、酸化タンタル(Ta)、酸化ハフニウム(HfO)、酸窒化ハフニウム(HfON)、チタン酸バリウムストロンチウム(BaSr(1−z)TiO(BST))、酸化バリウムチタン(BaTiO)、酸化ストロンチウムチタン(SrTiO)、酸化鉛チタン(PbTiO)、ジルコン酸チタン酸鉛(Pb(Zr,Ti)O[PZT])、ジルコン酸チタン酸鉛ランタン((Pb,La)(Zr,Ti)O[PLZT])、チタン酸鉛ランタン((Pb,La)TiO[PLT])、酸化タンタル(Ta)、硝酸カリウム(KNO)、酸化アルミニウム(Al)、または酸化リチウムニオブ(LiNbO)などの、高誘電率を有する誘電体材料から構成されてもよい。第1の誘電体層の厚さは、CMPプロセスがダミーゲート、スペーサ層、および第1の誘電体層に実行された後のダミーゲート層の厚さと同等であり得る。特定の実施形態では、CMPプロセスは、表面を平滑化するためかつ不規則なトポロジーを均一にするために、第1の誘電体層、スペーサ層、ダミーゲート層、またはこれらの組合せの上に実行されてもよい。
606において、ダミーゲートが除去され、金属がゲート構造を形成するために堆積され、そしてCMPプロセスが実行される。たとえば、ダミーゲートは、ウェットエッチプロセスまたはプラズマエッチプロセスの適用を介してリセスを形成するために除去されてもよい。金属は、ゲート構造を形成するためにリセス内に堆積され得る。ゲート構造は、図1の第1のメタル構造106(たとえば、導電性ゲート材料104)、図2のゲート構造208、または図3のゲート構造208であり得る。ゲート構造は、窒化チタン(TiN)、窒化タンタル(TaN)、タングステン(W)、アルミニウム(Al)、アルミニウム−銅合金(Al−Cu)、アルミニウム−ネオジウム(Al−Nd)、またはアルミニウム−タンタル(Al−Ta)などの、金属または金属合金から構成され得る。特定の実施形態では、ゲート構造は、ALDプロセス、CVDプロセス、スパッタリングプロセス、または電気メッキプロセスなどの、膜堆積プロセスにより形成されてもよい。CMPプロセスは、ゲート構造上に実行されて、余分なゲート材料を除去する、表面を平滑化する、不規則なトポロジーを均一にする、またはこれらの組合せのために実行され得る。
607において、エッチストップ層が堆積される。エッチストップ層は、炭化ケイ素(SiC)(任意選択で、炭素をドーピングされる)または窒化ケイ素(SiN)(任意選択で、窒素をドーピングされる)から構成され得る。エッチストップ層は、CVDプロセス、PECVDプロセス、またはPVDプロセスなどの、膜堆積プロセスによって形成され得る。
608において、開口部がエッチストップ層内にパターニングされ、金属が第1の電極部分を形成するために開口部内に堆積され、そしてCMPプロセスが実行される。第1の電極部分は、図1の第2のメタル構造112(たとえば、コンタクトメタル120)、図2の第1の電極部分207、または図3の第1の電極部分であり得る。特定の実施形態では、第1の電極部分は、シングルダマシンプロセス(たとえば、材料に開口部をパターニングし、開口部内へと金属を堆積し、CMPプロセスを介して余分な金属を除去するプロセス)を介して形成されてもよい。たとえば、フォトリソグラフィおよびエッチプロセスは、第1の電極部分に対応する開口部をパターニングするために実行されてもよい。開口部内に堆積される金属は、銅(Cu)、アルミニウム−銅合金(AlCu)、タンタル(Ta)、チタン(Ti)、タングステン(W)、または銀(Ag)などの、金属または金属合金であり得る。第1の電極部分は、PVDプロセス、スパッタリングプロセス、または電気メッキプロセスなどの、膜堆積プロセスによって形成され得る。
609において、第2の誘電体層が堆積され、第1の電極トレンチが第2の誘電体層にパターニングされ、金属が第2の電極部分を形成するために第1の電極トレンチ内に堆積され、そしてCMPプロセスが実行される。第2の誘電体層は、ゲート構造、第1の電極部分、第1の誘電体層、またはこれらの組合せの上に堆積され得る。第2の電極部分は、図2の第2の電極部分205、または図3の第2の電極部分205であり得る。第2の誘電体層は、図2の第2の誘電体部分206、または図3の第2の誘電体部分206であり得る。特定の実施形態では、第2の誘電体層は、アンドープのケイ酸塩ガラス(USG)、フッ化ケイ酸塩ガラス(FSG)、プラズマエンハンス型化学気相堆積(PECVD)酸化シリコン、または酸化物/窒化物/酸化物などの、シリコン酸化物系材料から構成されてもよい。別の特定の実施形態では、第2の誘電体層は、酸化タンタル(Ta)、酸化ハフニウム(HfO)、酸窒化ハフニウム(HfON)、チタン酸バリウムストロンチウム(BaSr(1−z)TiO(BST))、酸化バリウムチタン(BaTiO)、酸化ストロンチウムチタン(SrTiO)、酸化鉛チタン(PbTiO)、ジルコン酸チタン酸鉛(Pb(Zr,Ti)O[PZT])、ジルコン酸チタン酸鉛ランタン((Pb,La)(Zr,Ti)O[PLZT])、チタン酸鉛ランタン((Pb,La)TiO[PLT])、酸化タンタル(Ta)、硝酸カリウム(KNO)、酸化アルミニウム(Al)、または酸化リチウムニオブ(LiNbO)などの、高誘電率を有する誘電体材料から構成されてもよい。第2の誘電体層は、CVDプロセス、スピンオンプロセス、PECVDプロセス、またはHDPCVDプロセスなどの、膜堆積プロセスによって、続いてCMPプロセスによって形成され得る。特定の実施形態では、第2の誘電体層および第1の誘電体層は、同じ材料から構成されてもよい。代替実施形態では、第2の誘電体層および第1の誘電体層は、異なる材料から構成されてもよい。
特定の実施形態では、第2の電極部分は、シングルダマシンプロセスを介して形成されてもよい。たとえば、フォトリソグラフィおよびエッチプロセスは、第1の電極トレンチをパターニングするために実行されてもよく、金属が、第2の電極部分を形成するために第1の電極トレンチ内へと堆積されてもよい。CMPプロセスは、余分な金属材料を除去し、第2の電極部分の表面を平滑化し、かつ不規則なトポロジーを均一にするために実行され得る。第2の電極部分は、第1の電極部分上およびゲート構造上に堆積され得る。第1の電極トレンチ内に堆積される金属は、銅(Cu)、アルミニウム−銅合金(AlCu)、タンタル(Ta)、チタン(Ti)、タングステン(W)、または銀(Ag)などの、金属または金属合金であり得る。第2の電極部分は、PVDプロセス、スパッタリングプロセス、または電気メッキプロセスなどの、膜堆積プロセスによって堆積され得る。特定の実施形態では、第2の電極部分および第1の電極部分は、同じ材料から構成されてもよい。代替実施形態では、第2の電極部分および第1の電極部分は、異なる材料から構成されてもよい。
610において、第3の誘電体層が堆積され、そしてビアトレンチおよび第2の電極トレンチを形成するためにパターニングされる。特定の実施形態では、第3の誘電体層は、アンドープのケイ酸塩ガラス(USG)、フッ化ケイ酸塩ガラス(FSG)、プラズマエンハンス型化学気相堆積(PECVD)酸化シリコン、または酸化物/窒化物/酸化物などの、シリコン酸化物系材料から構成されてもよい。別の特定の実施形態では、第3の誘電体層は、酸化タンタル(Ta)、酸化ハフニウム(HfO)、酸窒化ハフニウム(HfON)、チタン酸バリウムストロンチウム(BaSr(1−z)TiO(BST))、酸化バリウムチタン(BaTiO)、酸化ストロンチウムチタン(SrTiO)、酸化鉛チタン(PbTiO)、ジルコン酸チタン酸鉛(Pb(Zr,Ti)O[PZT])、ジルコン酸チタン酸鉛ランタン((Pb,La)(Zr,Ti)O[PLZT])、チタン酸鉛ランタン((Pb,La)TiO[PLT])、酸化タンタル(Ta)、硝酸カリウム(KNO)、酸化アルミニウム(Al)、または酸化リチウムニオブ(LiNbO)などの、高誘電率を有する誘電体材料から構成されてもよい。第3の誘電体層は、CVDプロセス、スピンオンプロセス、PECVDプロセス、またはHDPCVDプロセスなどの、膜堆積プロセスによって、続いてCMPプロセスによって形成され得る。特定の実施形態では、第1の誘電体層、第2の誘電体層および第3の誘電体層は、同じ材料から構成されてもよい。代替実施形態では、第1の誘電体層、第2の誘電体層および第3の誘電体層は、異なる材料から構成されてもよい。
特定の実施形態では、ビアトレンチおよび第2の電極トレンチは、デュアルダマシンプロセス中に形成されてもよい。たとえば、フォトリソグラフィおよびエッチプロセスは、ビアトレンチおよび第2の電極トレンチをパターニングするために第3の誘電体層に適用されてもよい。第2の電極トレンチは、図4の第1の電極コネクタ401および第2の電極コネクタ402などの、第1の電極コネクタおよび第2の電極コネクタ用の電極トレンチを含み得る。代替実施形態では、デュアルダマシンプロセスは、2つのシングルダマシンプロセスによって置き換えられてもよい。
611において、金属が、第2の電極トレンチおよびビアトレンチ内へと堆積され、CMPプロセスが、ビア構造および第3の電極部分を形成するために金属上に実行され、そしてキャップ膜層が堆積される。第3の電極部分は、図1の第1の高位メタル構造110および第2の高位メタル構造116、図2の第3の電極部分201、または図3の第3の電極部分201であり得る。ビア構造は、図1の第1のビア構造108および第2のビア構造114、図2の導電性接続構造203、または図3の導電性接続構造203であり得る。特定の実施形態では、ビア構造は、トレンチ形状ビアであってもよい。代替実施形態では、ビア構造は、ロッド形状ビアなどの、任意の適切な形状であってもよい。
特定の実施形態では、ビア構造および第3の電極部分は、デュアルダマシンプロセス中に形成されてもよい。CMPプロセスは、余分な金属材料を除去する、第3の電極部分の表面を平滑化する、不規則なトポロジーを均一にする、またはこれらの組合せのために実行され得る。特定の実施形態では、ビア構造の幅は、第3の電極部分の幅よりも小さくてもよい。第2の電極トレンチおよびビア構造内に堆積される金属は、銅(Cu)、アルミニウム−銅合金(AlCu)、タンタル(Ta)、チタン(Ti)、タングステン(W)、または銀(Ag)などの、金属または金属合金であり得る。特定の実施形態では、ビア構造、第3の電極部分、第2の電極部分、および第1の電極部分は、同じ材料から構成されてもよい。代替実施形態では、ビア構造、第3の電極部分、第2の電極部分、および第1の電極部分は、異なる材料から構成されてもよい。ダマシンプロセスについて説明されてきているとはいえ、ゲート構造、第1の電極部分、第2の電極部分、および第3の電極部分を形成するために使用する技術がダマシンプロセスではなくてもよいこと、代替技術が使用すべき材料または他の基準に応じて適合され得ることを、当業者なら認識するであろう。
ビア構造は、実質的に第2の電極部分上に垂直に配置され得て、そして第3の電極部分は、実質的にビア構造上に垂直に配置され得る。第3の電極部分およびビア構造は、PVDプロセス、スパッタリングプロセス、または電気メッキプロセスなどの、膜堆積プロセスにより形成され得る。特定の実施形態では、第3の電極部分(ならびに対応する第2の電極部分および対応するゲート構造または対応する第1の電極部分)は、(電極部分の第1のセットを形成するために)第1の電極コネクタによっておよび(電極部分の第2のセットを形成するために)第2の電極コネクタによって交互に相互接続されてもよい。電極部分のセットのそのような交互の相互接続は、図4を参照して上に説明したように、並列に接続されたキャパシタを有するMOMキャパシタを形成する。
第3の電極部分およびビア構造を形成した後、キャップ膜層が堆積され得る。キャップ膜層(たとえば、絶縁性層)は、他の回路およびデバイスからMOMキャパシタを絶縁するために堆積され得る。キャップ膜層は、CVDプロセス、スピンオンプロセス、PECVDプロセス、またはHDPCVDプロセスなどの、膜堆積プロセスによって、続いてCMPプロセスによって形成され得る。
図6には示さないが、追加の誘電体層、追加の電極部分、および追加のビア構造が、MOMキャパシタに形成されてもよい。追加の誘電体層、追加の電極部分、および追加のビア構造は、キャップ膜層の堆積後、610および611の繰返しを介して形成されてもよい。
交互にかつ平行に配置されたゲート構造および第1の電極部分を有することによって、方法600によって形成されるMOMキャパシタは、設計ルールに従って利用可能な電極間の最小許容距離に従って構成され得る。ゲート構造と第1の電極部分との間の短縮した距離を有することによって、ゲート構造と第1の電極部分との間のキャパシタンスは、短縮した距離に基づいて大きくされ得る。さらに、ゲート構造と第1の電極部分との間の短縮した距離を有することによって、MOMキャパシタのフットプリント(たとえば、表面積)は、他の構成(たとえば、隣接するゲート構造または隣接する第1の電極部分を有する構成)と比較して縮小され得る。
図7を参照すると、MOMキャパシタを形成する方法の第3の例示の実施形態のフローチャートが描かれ、全体として700と表される。MOMキャパシタは、図1のMOMキャパシタ100、図2のMOMキャパシタ200、図3のMOMキャパシタ300、または図4のMOMキャパシタ400を含むことができる。
方法700は、701において、絶縁基板のシリコン部分(たとえば、シリコンウェハ)を形成するステップ、およびシリコン部分からMOMキャパシタの製作を開始するステップを含む。絶縁性基板は、図1の基板102または図3の基板302のシリコン部分であり得る。誘電体材料層は、基板のシリコン部分上に形成され得る。特定の実施形態では、誘電体材料層は、ハイ−K誘電体膜(たとえば、酸化ハフニウム(HfOx)または酸窒化ハフニウム(HfOxN))を含むことができ、そしてソースおよびドレイン能動領域(たとえば、ゲート領域を除く能動領域)は、シリコンゲルマニウム(SiGe)または炭化ケイ素(SiC)を含むことができる。別の特定の実施形態では、誘電体材料層は、図3のゲート酸化物層301、または図1の介在するゲート誘電体層103などの、酸化物層であってもよい。誘電体材料層は、熱成長プロセス、化学気相堆積(CVD)プロセス、プラズマエンハンス型化学気相堆積(PECVD)プロセス、または原子層堆積(ALD)プロセスなどの、膜堆積プロセスによって、続いて化学機械平坦化(CMP)プロセスよって形成され得る。方法700は、図6を参照して説明したような、602〜611をさらに含む。
交互にかつ平行に配置されたゲート構造および第1の電極部分を有することによって、方法700によって形成されるMOMキャパシタは、設計ルールに従って利用可能な電極間の最小許容距離に従って構成され得る。ゲート構造と第1の電極部分との間の短縮した距離を有することによって、ゲート構造と第1の電極部分との間のキャパシタンスは、短縮した距離に基づいて大きくされ得る。さらに、ゲート構造と第1の電極部分との間の短縮した距離を有することによって、MOMキャパシタのフットプリント(たとえば、表面積)は、他の構成(たとえば、隣接するゲート構造または隣接する第1の電極部分を有する構成)と比較して縮小され得る。さらに、方法700によって形成されるMOMキャパシタは、方法600によって形成されるMOMキャパシタと比較して追加のキャパシタンスを与えることができる。たとえば、基板のシリコン部分上にMOMキャパシタを配置することによって、方法700によって形成されるMOMキャパシタは、誘電体材料層をはさむ第1の追加のゲートキャパシタンス(Cg)およびP/N接合による誘電体材料層と第1の電極部分との間の第2の追加の接合キャパシタンス(Cj)を与えることができる。特定の実施形態では、ゲート構造の材料タイプ(たとえば、金属のタイプ)ならびにソースおよびドレインのドーピングタイプは、基板のドーピングタイプと同じである。特定の実施形態では、MOMキャパシタのチャネルが蓄積モードで動作しているときには、MOMキャパシタは、第1の追加のゲートキャパシタンス(Cg)を与えるにすぎない(たとえば、第2の追加の接合キャパシタンス(Cj)がMOMキャパシタによっては与えられない)。
図8を参照すると、MOMキャパシタを形成する方法の第4の例示の実施形態のフローチャートが描かれ、全体として800と表される。MOMキャパシタは、図1のMOMキャパシタ100、図2のMOMキャパシタ200、図3のMOMキャパシタ300、または図4のMOMキャパシタ400を含むことができる。
方法は、図6を参照して説明したように、601において、絶縁性基板上にシャロートレンチアイソレーション(STI)層を形成するステップ、およびSTI層からMOMキャパシタの製作を開始するステップを含む。絶縁性基板は、図1の基板102または図2の基板211のSTI部分であり得る。
802において、ハイ−K誘電体層およびゲート層が、形成されるまたは成長される。ハイ−K誘電体層は、図3のゲート酸化物層301、または図1の介在する誘電体層103などの、酸化物層であり得る。ハイ−K誘電体層または酸化物層およびゲート層の厚さは、任意の適切な厚さであり得て、MOMキャパシタの設計基準および機能基準に適応することができる。803において、ゲートは、ゲート層から、トランジスタタイプのデバイスのアレイ用などにパターニングされる。特定の実施形態では、フォトリソグラフィおよびエッチプロセスが、ゲートをパターニングする(たとえば、形成する)ために使用されてもよい。ゲートの幅は、任意の適切な幅であり得て、MOMキャパシタの設計基準および機能基準に適応することができる。特定の実施形態では、ゲートの幅は、ほぼ20nmである。方法800は、図6を参照して説明したように、604〜605および607〜611をさらに含む。
図8は、図6の代替実施形態を図示する。図6では、ダミーゲートが、603において形成され、606において、後に除去され、そして金属で置き換えられる。図8では、ゲートが、802において形成され、堆積したゲート材料は、後で置き換えられずに残ることができる。たとえば、金属膜が、802〜803において、堆積されかつパターニングされてよく、後で除去されなくてもよい(たとえば、606が省略される)。
交互にかつ平行に配置されたゲート構造および第1の電極部分を有することによって、方法800によって形成されるMOMキャパシタは、設計ルールに従って利用可能な電極間の最小許容距離に従って構成され得る。ゲート構造と第1の電極部分との間の短縮した距離を有することによって、ゲート構造と第1の電極部分との間のキャパシタンスは、短縮した距離に基づいて大きくされ得る。さらに、ゲート構造と第1の電極部分との間の短縮した距離を有することによって、MOMキャパシタのフットプリント(たとえば、表面積)は、他の構成(たとえば、隣接するゲート構造または隣接する第1の電極部分を有する構成)と比較して縮小され得る。さらに方法800によって形成されるMOMキャパシタは、高めた高周波数特性を与えることができる。たとえば、基板のSTI部分上にMOMキャパシタを配置することによって、方法800によって形成されるMOMキャパシタは、MOMキャパシタを介した高周波数(たとえば、1GHzよりも大きい)信号劣化を減少させることができる。
図9を参照すると、MOMキャパシタを形成する方法の第5の例示の実施形態のフローチャートが描かれ、全体として900と表される。MOMキャパシタは、図1のMOMキャパシタ100、図2のMOMキャパシタ200、図3のMOMキャパシタ300、または図4のMOMキャパシタ400を含むことができる。
方法900は、701において、シリコン基板(たとえば、シリコンウェハ)上にシャロートレンチアイソレーション(STI)層を形成するステップ、およびシリコン層からMOMキャパシタの製作を開始するステップを含む。シリコン基板は、図1の基板102または図3の基板302のシリコン部分であり得る。絶縁性材料層は、シリコン基板上に形成され得る。特定の実施形態では、絶縁性材料層は、図3のゲート酸化物層301、または図1の介在する誘電体層103などの、酸化物層であってもよい。絶縁性材料層の厚さは、任意の適切な厚さであり得て、MOMキャパシタの設計基準および機能基準に適応することができる。方法900は、図8を参照して上に説明したように、802〜803、604、805〜809、および611をさらに含む。
図9は、図7に対する代替実施形態を図示する。図7では、ダミーゲートが、603において形成され、606において、後に除去され、そして金属で置き換えられる。図9では、ゲートが、802において形成され、堆積したゲート材料は、後で置き換えられずに残ることができる。たとえば、金属膜は、802〜803において、堆積されかつパターニングされてよく、後で除去されなくてもよい(たとえば、606が省略される)。
交互にかつ平行に配置されたゲート構造および第1の電極部分を有することによって、方法900によって形成されるMOMキャパシタは、設計ルールに従って利用可能な電極間の最小許容距離に従って構成され得る。ゲート構造と第1の電極部分との間の短縮した距離を有することによって、ゲート構造と第1の電極部分との間のキャパシタンスは、短縮した距離に基づいて大きくされ得る。さらに、ゲート構造と第1の電極部分との間の短縮した距離を有することによって、MOMキャパシタのフットプリント(たとえば、表面積)は、他の構成(たとえば、隣接するゲート構造または隣接する第1の電極部分を有する構成)と比較して縮小され得る。さらに方法900によって形成されるMOMキャパシタは、方法600および方法800によって形成されるMOMキャパシタと比較して追加のキャパシタンスを与えることができる。たとえば、基板のシリコン部分上にMOMキャパシタを配置することによって、方法900によって形成されるMOMキャパシタは、誘電体材料層をはさむ第1の追加のゲートキャパシタンス(Cg)およびP/N接合による誘電体材料層と第1の電極部分との間の第2の追加のキャパシタンス(Cj)を与えることができる。特定の実施形態では、ゲート構造の材料タイプ(たとえば、金属のタイプ)ならびにソースおよびドレインのドーピングタイプは、基板のドーピングタイプと同じである。特定の実施形態では、MOMキャパシタのチャネルが蓄積モードで動作しているときには、MOMキャパシタは、第1の追加のゲートキャパシタンス(Cg)を与えるにすぎない(たとえば、第2の追加の接合キャパシタンス(Cj)がMOMキャパシタによっては与えられない)。
それぞれ、図5〜図9の方法500〜方法900を参照して説明した1つまたは複数の動作は、フィールドプログラマブルゲートアレイ(FPGA)デバイス、特定用途向け集積回路(ASIC)、中央処理装置(CPU)などの処理装置、ディジタル信号プロセッサ(DSP)、コントローラ、別のハードウェアデバイス、ファームウェアデバイス、またはこれらの任意の組合せによって始められ得る。例として、それぞれ、図5〜図9の方法500〜方法900は、図11を参照してさらに説明するように、半導体製作プラント(たとえば、「ファブ」)の設備内で集積されたメモリ(たとえば、非一時的コンピュータ可読媒体)に記憶された命令を実行するプロセッサによって始められてもよい。
図10を参照すると、モバイルデバイスの特定の例示の実施形態のブロック図が描かれ、全体として1000と表される。たとえば、モバイルデバイス1000は、ディジタル信号プロセッサ(DSP)などのプロセッサ1010を含むことができる。プロセッサ1010は、図5〜図9のいずれかの方法に従って形成される図1のMOMキャパシタ100、図2のMOMキャパシタ200、図3のMOMキャパシタ300、または図4のMOMキャパシタ400などの、MOMキャパシタ1064を含むことができる。MOMキャパシタ1064がプロセッサ1010内に含まれるように示されるけれども、代替実施形態では、MOMキャパシタ1064は、モバイルデバイス1000の他の構成要素に含まれてもよい。プロセッサ1010は、プロセッサ1010によって実行可能な命令を記憶する、ランダムアクセスメモリ(RAM)、フラッシュメモリ、読出し専用メモリ(ROM)、プログラマブル読出し専用メモリ(PROM)、消去可能プログラマブル読出し専用メモリ(EPROM)、電気的消去可能プログラマブル読出し専用メモリ(EEPROM)、レジスタ、ハードディスク、リムーバブルディスク、コンパクトディスク読出し専用メモリ(CD−ROM)、非一時的コンピュータ可読媒体、または本技術において知られた非一時的記憶媒体の任意の他の形態などの、メモリ1032に結合され得る。
図10は、プロセッサ1010およびディスプレイ1028に結合されたディスプレイコントローラ1026をやはり示す。コーダ/デコーダ(CODEC)1034は、プロセッサ1010にやはり結合され得る。スピーカ1036およびマイクロフォン1038は、CODEC1034に結合され得る。図10は、ワイヤレスコントローラ1040がプロセッサ1010およびアンテナ1042に結合され得ることをやはり示す。
特定の実施形態では、プロセッサ1010、ディスプレイコントローラ1026、メモリ1032、CODEC1034、およびワイヤレスコントローラ1040は、システムインパッケージまたはシステムオンチップデバイス1022に含まれる。入力デバイス1030および電源1044は、システムオンチップデバイス1022に結合され得る。その上、特定の実施形態では、図10に図示したように、ディスプレイ1028、入力デバイス1030、スピーカ1036、マイクロフォン1038、アンテナ1042、および電源1044は、システムオンチップデバイス1022の外にある。しかしながら、ディスプレイ1028、入力デバイス1030、スピーカ1036、マイクロフォン1038、アンテナ1042、および電源1044の各々は、インターフェースまたはコントローラなどの、システムオンチップデバイス1022の構成要素に結合され得る。図10は、システムオンチップデバイス1022が、MOMキャパシタ1064を含む半導体デバイスを含むことができることをやはり描く。様々な実施形態に従って、MOMキャパシタ1064を含む半導体デバイスは、特定の用途に応じて、モバイルデバイス1000の構成要素のうちの1つまたは複数に結合され得る(またはその中に集積され得る)。
上記の開示したデバイスおよび機能は、コンピュータ可読媒体に記憶されたコンピュータファイル(たとえば、RTL、GDSII、GERBER、等)へと設計されかつ構成され得る。そのようなファイルのいくつかまたはすべては、そのようなファイルに基づいてデバイスを製作するために製作ハンドラに提供され得る。得られる製品は、その後半導体ダイへと切断され、半導体チップへとパッケージングされる半導体ウェハを含む。半導体チップは、次いで電子デバイスに利用される。図11は、電子デバイス製造プロセス1100の特定の例示の実施形態を描く。
物理的デバイス情報1102は、研究用コンピュータ1106でなどの、製造プロセス1100で受信される。物理的デバイス情報1102は、半導体デバイスの少なくとも1つの物理的特性を表す設計情報を含むことができる。たとえば、物理的デバイス情報1102は、物理的パラメータ、材料特性、および研究用コンピュータ1106に結合されたユーザインターフェース1104を介して入力される構造情報を含むことができる。研究用コンピュータ1106は、メモリ1110などのコンピュータ可読媒体に結合された、1つまたは複数の処理コアなどのプロセッサ1108を含む。メモリ1110は、プロセッサ1108に、ファイルフォーマットに従うように物理的デバイス情報1102を変換させ、ライブラリファイル1112を生成させることが実行可能であるコンピュータ可読命令を記憶することができる。
特定の実施形態では、ライブラリファイル1112は、変換した設計情報を含む少なくとも1つのデータファイルを含む。たとえば、ライブラリファイル1112は、電子設計自動化(EDA)ツール1120での使用のために提供され、図5〜図9のうちのいずれかの方法に従って形成された図1のMOMキャパシタ100、図2のMOMキャパシタ200、図3のMOMキャパシタ300、または図4のMOMキャパシタ400を含む半導体デバイスを含む半導体デバイスのライブラリを含むことができる。
ライブラリファイル1112は、メモリ1118に結合された、1つまたは複数の処理コアなどの、プロセッサ1116を含む設計用コンピュータ1114でEDAツール1120とともに使用され得る。EDAツール1120は、メモリ1118にプロセッサ実行可能な命令として記憶され得て、設計用コンピュータ1114がライブラリファイル1112を使用してMOMキャパシタを含む回路を設計することを可能にすることができる。たとえば、設計用コンピュータ1114のユーザは、設計用コンピュータ1114に結合されたユーザインターフェース1124を介して回路設計情報1122を入力することができる。回路設計情報1122は、MOMキャパシタを含む半導体デバイスなどの、半導体デバイスの少なくとも1つの物理的特性を表す設計情報を含むことができる。例示すると、回路設計特性は、特定の回路の識別および回路設計内の他の素子に対する関係、位置決め情報、フィーチャサイズ情報、インターコネクション情報、または半導体デバイスの物理的特性を表す他の情報を含むことができる。
設計用コンピュータ1114は、ファイルフォーマットに従うように、回路設計情報1122を含む設計情報を変換するように構成され得る。例示すると、ファイルフォーマットは、平面的な幾何学形状を表すデータベースバイナリファイルフォーマット、テキストラベル、および、グラフィックデータシステム(GDSII)ファイルフォーマットなどの、階層フォーマットでの回路レイアウトに関する他の情報を含むことができる。設計用コンピュータ1114は、他の回路または情報に加えて、MOMキャパシタを含む半導体デバイスについて記述する情報を含むGDSIIファイル1126などの、変換した設計情報を含むデータファイルを生成するように構成され得る。例示すると、データファイルは、MOMキャパシタを含む半導体デバイスを含み、システムオンチップ(SOC)内の追加の電子回路および構成要素をやはり含み、SOCに対応する情報を含むことができる。
GDSIIファイル1126は、MOMキャパシタを含む半導体デバイスを製造するためおよびGDSIIファイル1126内の変換した情報に従って製造するために製作プロセス1128で受信され得る。たとえば、デバイス製造プロセスは、代表的なマスク1132として図11に図示した、フォトリソグラフィ処理で使用されるマスクなどの、1つまたは複数のマスクを作るためにマスク製造者1130にGDSIIファイル1126を提供するステップを含むことができる。マスク1132は、1つまたは複数のウェハ1134を生成するために製作プロセス中に使用され得て、ウェハ1134は、試験され、代表的なダイ1136などのダイへと切り離される。ダイ1136は、MOMキャパシタを含む半導体デバイスを含む回路を含む。
ダイ1136は、ダイ1136が代表的なパッケージ1140へと組み込まれるパッケージングプロセス1138に提供され得る。たとえば、パッケージ1140は、1つのダイ1136または、システムインパッケージ(SiP)配置などの、複数のダイを含むことができる。パッケージ1140は、電子機器技術評議会(JEDEC)標準などの、1つまたは複数の標準または規格に準拠するように構成され得る。
パッケージ1140に関する情報は、コンピュータ1146に記憶された構成要素ライブラリを介してなどで、様々な製品設計者に配布され得る。コンピュータ1146は、メモリ1150に結合された、1つまたは複数の処理コアなどの、プロセッサ1148を含むことができる。プリント回路基板(PCB)ツールは、メモリ1150にプロセッサ実行可能な命令として記憶されて、ユーザインターフェース1144を介してコンピュータ1146のユーザから受信されるPCB設計情報1142を処理することができる。PCB設計情報1142は、回路基板上でのパッケージングした半導体デバイス、MOMキャパシタを含む半導体デバイスを含むパッケージ1140に対応するパッケージングした半導体デバイスの物理的な位置決め情報を含むことができる。
コンピュータ1146は、PCB設計情報1142を変換して、回路基板上でのパッケージングした半導体デバイスの物理的な位置決め情報、ならびにトレースおよびビアなどの電気的接続部のレイアウトを含むデータを含むGERBERファイル1152などの、データファイルを生成するように構成され得て、ここでは、パッケージングした半導体デバイスは、MOMキャパシタを含む半導体デバイスを含むパッケージ1140に対応する。他の実施形態では、変換したPCB設計情報によって生成したデータファイルは、GERBERフォーマット以外のフォーマットを有してもよい。
GERBERファイル1152は、ボードアセンブリプロセス1154で受信され、そしてGERBERファイル1152内に記憶した設計情報に従って製造される、代表的なPCB1156などのPCBを作るために使用され得る。たとえば、GERBERファイル1152は、PCB製造プロセスの様々なステップを実行するために1つまたは複数のマシンにアップロードされてもよい。PCB1156は、代表的なプリント回路アセンブリ(PCA)1158を形成するために、パッケージ1140を含む電子部品に含まれ得る。
PCA1158は、製品製造プロセス1160で受信され、そして第1の代表的電子デバイス1162および第2の代表的電子デバイス1164などの、1つまたは複数の電子デバイス中に集積され得る。例示の、非限定的な例として、第1の代表的電子デバイス1162、第2の代表的電子デバイス1164、または両者は、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、エンタテインメントユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、およびコンピュータの群から選択されてもよく、その中に、MOMキャパシタを含む半導体デバイスが集積される。別の例示の、非限定的な例として、代表的電子デバイス1162および1164のうちの1つまたは複数は、携帯電話、ハンドヘルドパーソナル通信システム(PCS)ユニット、パーソナルデータアシスタントなどの携帯型データユニット、全地球測位システム(GPS)利用可能デバイス、ナビゲーションデバイス、検針装置などの固定位置データユニット、またはデータもしくはコンピュータ命令を記憶するもしくは検索する任意の他のデバイス、またはこれらの任意の組合せなどの、遠隔ユニットであり得る。図11が本開示の教示に従った遠隔ユニットを図示するとはいえ、本開示は、これらの例示したユニットに限定されない。本開示の実施形態は、メモリおよびオンチップ回路を含む能動集積回路を含む任意のデバイスに適切に利用され得る。
MOMキャパシタを含む半導体デバイスを含むデバイスは、例示のプロセス1100について説明したように、製作され、処理され、そして電子デバイス中に組み込まれ得る。図1〜図11に関して開示した実施形態の1つまたは複数の態様は、ライブラリファイル1112、GDSIIファイル1126、およびGERBERファイル1152内などの、様々な処理ステージで含まれることが可能であり、ならびに研究用コンピュータ1106のメモリ1110、設計用コンピュータ1114のメモリ1118、コンピュータ1146のメモリ1150、ボードアセンブリプロセス1154などの様々なステージにおいて使用される1つまたは複数の他のコンピュータまたはプロセッサ(図示せず)のメモリに記憶されることが可能であり、そして、マスク1132、ダイ1136、パッケージ1140、PCA1158、プロトタイプ回路もしくはデバイスなどの他の製品(図示せず)、またはこれらの任意の組合せなどの、1つまたは複数の他の物理的な実施形態へとやはり組み込まれることが可能である。様々な代表的なステージが図1〜図11を参照して描かれているが、他の実施形態では、より数少ないステージが使用されてもよく、または追加のステージが含まれてもよい。同様に図11のプロセス1100は、1つのエンティティによってまたはプロセス1100の様々なステージを実行する1つまたは複数のエンティティによって実行されてもよい。
説明した実施形態とともに、MOMキャパシタデバイスを含む装置が開示される。MOMキャパシタデバイスは、基板に結合された電荷を伝導するための第1の手段を含む。電荷を伝導するための第1の手段は、図1の第1のメタル構造106もしくは第1の電極140、図2の電極140、図3の電極140、または図4の電極140であり得る。基板は、図1の基板102、図2の基板211のSTI部分、または図3の基板302のシリコン部分であり得る。電荷を伝導するための第1の手段は、平板キャパシタの第1のキャパシタプレートに対応することができる。電荷を伝導するための第1の手段は、導電性ゲート材料を含む。導電性ゲート材料は、図1の導電性ゲート材料104、図2のゲート構造208、または図3のゲート構造208であり得る。
MOMキャパシタデバイスは、基板に結合された電荷を伝導するための第2の手段をさらに含む。電荷を伝導するための第2の手段は、平板キャパシタの第2のキャパシタプレートに対応することができる。電荷を伝導するための第2の手段は、図1の第2のメタル構造112もしくは第2の電極142、図2の電極142、図3の電極142、または図4の電極142であり得る。電荷を伝導するための第2の手段は、コンタクトメタルを含む。コンタクトメタルは、図1のコンタクトメタル120、図2の第1の電極部分207、または図3の第1の電極部分207であり得る。電荷を伝導するための第1の手段は、電荷を伝導するための第2の手段に近接する。
特定の実施形態では、電荷を伝導するための第1の手段および電荷を伝導するための第2の手段は、それぞれ第1の信号ソースおよび第2の信号ソースに接続されてもよく、第1の信号ソースと第2の信号ソースとの間の電圧差に基づいて電荷を伝導することができる。たとえば、電荷を伝導するための第1の手段および電荷を伝導するための第2の手段は、平板キャパシタの第1のキャパシタプレートおよび第2のキャパシタプレートと同様の方式で、または図2〜図4の電極140、142、および212〜214のうちのいずれかと同様の方式で動作することができる。MOMキャパシタデバイスは、第1の代表的電子デバイス1162、第2の代表的電子デバイス1164、またはこれらの組合せなどの、電子デバイス内に集積され得る。
説明した実施形態とともに、非一時的コンピュータ可読媒体は、MOMキャパシタデバイスの製作を始めるためにコンピュータによって実行可能な命令を記憶する。たとえば、非一時的コンピュータ可読媒体は、方法500〜方法900のうちのいずれかに基づいてMOMキャパシタデバイスの製作を始めるためにコンピュータによって実行可能な命令を記憶することができる。MOMキャパシタデバイスは、図1のMOMキャパシタ100、図2のMOMキャパシタ200、図3のMOMキャパシタ300、または図4のMOMキャパシタ400であり得る。
MOMキャパシタデバイスの製作は、第1の電極を形成するステップを含む。第1の電極は、導電性ゲート材料を含むことができる。導電性ゲート材料は、図1の導電性ゲート材料104、図2のゲート構造208、または図3のゲート構造208であり得る。
MOMキャパシタデバイスの製作は、第2の電極を形成するステップをさらに含む。第2の電極は、コンタクトメタルを含むことができる。コンタクトメタルは、図1のコンタクトメタル120、図2の第1の電極部分207、または図3の第1の電極部分207であり得る。第1の電極は、第2の電極に近接する。プロセッサおよびメモリは、半導体製作プラントの設備などの、電子デバイス内に集積され得る。
本明細書において開示した実施形態とともに説明した様々な例示の論理ブロック、構成、モジュール、回路、およびアルゴリズムステップが、電子ハードウェア、プロセッサによって実行されるコンピュータソフトウェア、または両者の組合せとして実装され得ることを、当業者ならさらに認識するはずである。様々な例示の構成要素、ブロック、構成、モジュール、回路、およびステップは、それらの機能性の観点について一般的に上に説明されてきている。そのような機能性が、ハードウェアまたはプロセッサ実行可能な命令として実装されるかどうかは、特有の用途および全体のシステムに課せられた設計制約に依存する。当業者は、各特有の用途に対して変わる方法で説明した機能性を実装することができるが、そのような実装の判断は、本開示の範囲からの乖離を生じさせるように解釈されるべきではない。
本明細書において開示した実施形態に関連して説明した方法またはアルゴリズムのステップは、ハードウェアに直接、プロセッサによって実行されるソフトウェアモジュールに、または2つの組合せで具体化され得る。ソフトウェアモジュールは、ランダムアクセスメモリ(RAM)、フラッシュメモリ、読出し専用メモリ(ROM)、プログラマブル読出し専用メモリ(PROM)、消去可能プログラマブル読出し専用メモリ(EPROM)、電気的消去可能プログラマブル読出し専用メモリ(EEPROM)、レジスタ、ハードディスク、リムーバブルディスク、コンパクトディスク読出し専用メモリ(CD−ROM)、または本技術において知られた非一時的記憶媒体の任意の他の形態の中に存在することができる。例示的な記憶媒体は、プロセッサが記憶媒体から情報を読出し、そこに情報を書込むことができるように、プロセッサに結合される。代替形態では、記憶媒体は、プロセッサに一体化されてもよい。プロセッサおよび記憶媒体は、特定用途向け集積回路(ASIC)内に存在することができる。ASICは、コンピューティングデバイスまたはユーザ端末内に存在することができる。代替形態では、プロセッサおよび記憶媒体は、コンピューティングデバイスまたはユーザ端末内の単体構成部品として存在することができる。
開示した実施形態のこれまでの説明は、当業者が開示した実施形態を作ることまたは使用することを可能にするために提供される。これらの実施形態への様々な修正は、当業者なら容易に認識するであろう、そして本明細書において規定した原理は、本開示の範囲から逸脱せずに他の実施形態に適用され得る。したがって、本開示は、本明細書中に示した実施形態に限定するものではなく、以下の特許請求の範囲によって規定されるような原理および新規な特徴と整合する可能な最も広い範囲と一致すべきである。
100 MOMキャパシタ
102 基板
103 介在するゲート誘電体層
104 導電性ゲート材料
106 第1のメタル構造
108 第1のビア構造
110 第1の高位メタル構造
112 第2のメタル構造
114 第2のビア構造
116 第2の高位メタル構造
120 コンタクトメタル
130 最小許容ゲート−コンタクト間ピッチ
132 最小許容ゲート間ピッチ
134 最小許容コンタクト間ピッチ
136 第4の電極
138 第3の電極
140 第1の電極
142 第2の電極
200 MOMキャパシタ
201 第3の電極部分
202 第3の誘電体部分
203 導電性接続部分
204 誘電体接続部分
205 第2の電極部分
206 第2の誘電体部分
207 第1の電極部分
208 ゲート構造
209 スペーサ構造
210 第1の誘電体部分
211 基板
212 電極
213 電極
214 電極
300 MOMキャパシタ
301 ゲート酸化物層
302 基板
400 MOMキャパシタ
401 第1の電極コネクタ
402 第2の電極コネクタ
1000 モバイルデバイス
1010 プロセッサ
1022 システムオンチップデバイス
1026 ディスプレイコントローラ
1028 ディスプレイ
1030 入力デバイス
1032 メモリ
1034 CODEC
1036 スピーカ
1038 マイクロフォン
1040 ワイヤレスコントローラ
1042 アンテナ
1044 電源
1064 MOMキャパシタ
1100 製造プロセス
1102 物理的デバイス情報
1104 ユーザインターフェース
1106 研究用コンピュータ
1108 プロセッサ
1110 メモリ
1112 ライブラリファイル
1114 設計用コンピュータ
1116 プロセッサ
1118 メモリ
1120 EDAツール
1122 回路設計情報
1124 ユーザインターフェース
1126 GDSIIファイル
1128 製作プロセス
1130 マスク製造者
1132 マスク
1134 ウェハ
1136 ダイ
1138 パッケージングプロセス
1140 パッケージ
1142 PCB設計情報
1144 ユーザインターフェース
1146 コンピュータ
1148 プロセッサ
1150 メモリ
1152 GERBERファイル
1154 ボードアセンブリプロセス
1156 PCB
1158 PCA
1160 製品製造プロセス
1162 第1の代表的電子デバイス
1164 第2の代表的電子デバイス
キャパシタンス
キャパシタンス
Cg 第1の追加のゲートキャパシタンス
Cj 第2の追加の接合キャパシタンス

Claims (25)

  1. 基板に結合された導電性ゲート材料と、
    前記導電性ゲート材料に結合された第1のメタル構造と、
    前記基板に結合され、かつ前記第1のメタル構造に近接する第2のメタル構造であって、
    前記第2のメタル構造がコンタクトメタルを含み、前記コンタクトメタルが前記導電性ゲート材料と共通しない少なくとも一つの材料を含み、前記第2のメタル構造がビア構造によって高位メタル構造に結合される、第2のメタル構造と
    を備える、金属−酸化物−金属(MOM)キャパシタデバイス。
  2. 前記基板に前記導電性ゲート材料を結合するゲート誘電体層をさらに備える、請求項1に記載のMOMキャパシタデバイス。
  3. 前記導電性ゲート材料が、前記基板のシャロートレンチアイソレーション(STI)部分に結合される、請求項1に記載のMOMキャパシタデバイス。
  4. 前記導電性ゲート材料が、前記基板のシリコン部分に結合される、請求項1に記載のMOMキャパシタデバイス。
  5. 前記導電性ゲート材料および前記第1のメタル構造を含む第1の電極が第1の電圧によってバイアスされ、前記第2のメタル構造を含む第2の電極が第2の電圧によってバイアスされるときに、前記第1の電極と前記第2の電極との間の全体のキャパシタンスが、前記導電性ゲート材料と前記コンタクトメタルとの間のキャパシタンスを含む、請求項1に記載のMOMキャパシタデバイス。
  6. 前記基板の前記STI部分が、高周波数信号劣化または高周波数信号損失を減少させるように構成される、請求項3に記載のMOMキャパシタデバイス。
  7. 前記導電性ゲート材料と前記コンタクトメタルとの間のゲート−コンタクト間ピッチが、2つの隣接するコンタクトメタル間のコンタクト間ピッチよりも小さくかつ2つの隣接する導電性ゲート材料間のゲート間ピッチよりも小さい、請求項1に記載のMOMキャパシタデバイス。
  8. 前記導電性ゲート材料、前記第1のメタル構造、および前記第2のメタル構造が、少なくとも1つの半導体ダイに集積される、請求項1に記載のMOMキャパシタデバイス。
  9. 前記導電性ゲート材料、前記第1のメタル構造、および前記第2のメタル構造が中に集積される、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、コンピュータ、またはこれらの組合せをさらに備える、請求項1に記載のMOMキャパシタデバイス。
  10. 金属−酸化物−金属(MOM)キャパシタデバイスを形成する方法であって、
    第1の電極を形成するステップであり、前記第1の電極が基板に結合された導電性ゲート材料を含む、ステップと、
    前記基板に結合され、かつ前記第1の電極に近接する第2の電極を形成するステップであり、前記第2の電極がコンタクトメタルを含むメタル構造を含み、前記コンタクトメタルが前記導電性ゲート材料と共通しない少なくとも一つの材料を含む、形成するステップと、
    前記メタル構造を高位メタル構造に結合させるビア構造を形成するステップと
    を含む、方法。
  11. 前記導電性ゲート材料と前記コンタクトメタルとの間のゲート−コンタクト間ピッチが、設計ルールによって許される最小値である、請求項10に記載の方法。
  12. 前記導電性ゲート材料と前記コンタクトメタルとの間のゲート−コンタクト間ピッチが、2つの隣接するコンタクトメタル間のコンタクト間ピッチよりも小さくかつ2つの隣接する導電性ゲート材料間のゲート間ピッチよりも小さい、請求項10に記載の方法。
  13. 前記第1の電極を形成するステップおよび前記第2の電極を形成するステップが、電子デバイス中に集積されたプロセッサによって始められる、請求項10に記載の方法。
  14. 基板に結合された電荷を伝導するための第1の手段であって、電荷を伝導するための前記第1の手段が第1のキャパシタプレートに対応し、電荷を伝導するための前記第1の手段が導電性ゲート材料を含む、電荷を伝導するための第1の手段と、
    前記基板に結合された電荷を伝導するための第2の手段であって、電荷を伝導するための前記第2の手段が第2のキャパシタプレートに対応し、電荷を伝導するための前記第2の手段がコンタクトメタルを含み、前記コンタクトメタルが前記導電性ゲート材料と共通しない少なくとも一つの材料を含み、電荷を伝導するための前記第1の手段が電荷を伝導するための前記第2の手段に近接する、電荷を伝導するための第2の手段と、
    前記コンタクトメタルを高位メタル構造に結合させる、電荷を伝導するための第3の手段と
    を備える、金属−酸化物−金属(MOM)キャパシタデバイス。
  15. 前記基板に電荷を伝導するための前記第1の手段を結合するゲート酸化物層をさらに備える、請求項14に記載のMOMキャパシタデバイス。
  16. 電荷を伝導するための前記第1の手段が、前記基板のシリコン部分に結合される、請求項14に記載のMOMキャパシタデバイス。
  17. 電荷を伝導するための前記第1の手段が、前記基板のシャロートレンチアイソレーション(STI)部分に結合され、前記基板の前記STI部分が、1ギガヘルツ(GHz)よりも大きい周波数で高周波数信号劣化を減少させるように構成される、請求項14に記載のMOMキャパシタデバイス。
  18. 電荷を伝導するための前記第1の手段および電荷を伝導するための前記第2の手段が、少なくとも1つの半導体ダイに集積される、請求項14に記載のMOMキャパシタデバイス。
  19. 電荷を伝導するための前記第1の手段および電荷を伝導するための前記第2の手段が中に集積される、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、コンピュータ、またはこれらの組合せをさらに備える、請求項14に記載のMOMキャパシタデバイス。
  20. プロセッサによって実行されるときに、前記プロセッサに、金属−酸化物−金属(MOM)キャパシタデバイスの製作を始めさせるプロセッサ実行可能な命令を含む、コンピュータ可読媒体であって、前記MOMキャパシタデバイスが、
    第1の電極を形成するステップであり、前記第1の電極が導電性ゲート材料を含む、ステップと、
    第2の電極を形成するステップであり、前記第2の電極がコンタクトメタルを含み、前記コンタクトメタルが前記導電性ゲート材料と共通しない少なくとも一つの材料を含み、前記第1の電極が前記第2の電極に近接し、前記第1の電極が、第1のビア構造によって第1の高位メタル構造に結合された、形成するステップと、
    によって製作される、
    コンピュータ可読媒体。
  21. 前記導電性ゲート材料と前記コンタクトメタルとの間のゲート−コンタクト間ピッチが、設計ルールによって許される最小値である、請求項20に記載のコンピュータ可読媒体。
  22. 前記導電性ゲート材料と前記コンタクトメタルとの間のゲート−コンタクト間ピッチが、2つの隣接するコンタクトメタル間のコンタクト間ピッチ、または2つの隣接する導電性ゲート材料間のゲート間ピッチよりも小さい、請求項20に記載のコンピュータ可読媒体。
  23. 半導体デバイスに対応する設計情報を含むデータファイルを受信するステップと、
    前記設計情報に従って前記半導体デバイスを製作するステップであり、
    前記半導体デバイスが金属−酸化物−金属(MOM)キャパシタを含み、前記MOMキャパシタが、
    基板に結合された導電性ゲート材料と、
    前記導電性ゲート材料に結合された第1のメタル構造と、
    前記基板に結合され、かつ前記第1のメタル構造に近接する第2のメタル構造であり、前記第2のメタル構造がコンタクトメタルを含み、前記コンタクトメタルが前記導電性ゲート材料と共通しない少なくとも一つの材料を含み、前記第1のメタル構造が第1のビア構造によって第1の高位メタル構造に結合される、第2のメタル構造と
    を含む、ステップと
    を含む、方法。
  24. 前記データファイルが、GDSIIフォーマットを有する、請求項23に記載の方法。
  25. 前記データファイルが、GERBERフォーマット(登録商標)を有する、請求項23に記載の方法。
JP2015561376A 2013-03-05 2014-02-19 キャパシタンスを高めた金属−酸化物−金属(mom)キャパシタ Active JP6224737B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/784,895 US9153642B2 (en) 2013-03-05 2013-03-05 Metal-oxide-metal (MOM) capacitor with enhanced capacitance
US13/784,895 2013-03-05
PCT/US2014/017034 WO2014137589A1 (en) 2013-03-05 2014-02-19 A metal-oxide-metal (mom) capacitor with enhanced capacitance

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016252960A Division JP2017076815A (ja) 2013-03-05 2016-12-27 キャパシタンスを高めた金属−酸化物−金属(mom)キャパシタ

Publications (2)

Publication Number Publication Date
JP2016511548A JP2016511548A (ja) 2016-04-14
JP6224737B2 true JP6224737B2 (ja) 2017-11-01

Family

ID=50190834

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2015561376A Active JP6224737B2 (ja) 2013-03-05 2014-02-19 キャパシタンスを高めた金属−酸化物−金属(mom)キャパシタ
JP2016252960A Pending JP2017076815A (ja) 2013-03-05 2016-12-27 キャパシタンスを高めた金属−酸化物−金属(mom)キャパシタ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2016252960A Pending JP2017076815A (ja) 2013-03-05 2016-12-27 キャパシタンスを高めた金属−酸化物−金属(mom)キャパシタ

Country Status (6)

Country Link
US (1) US9153642B2 (ja)
EP (1) EP2965354A1 (ja)
JP (2) JP6224737B2 (ja)
KR (1) KR101675923B1 (ja)
CN (1) CN105074916B (ja)
WO (1) WO2014137589A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160197071A1 (en) * 2015-01-06 2016-07-07 Mediatek Inc. Integrated circuit device and method for forming the same
US9653533B2 (en) 2015-02-18 2017-05-16 Qualcomm Incorporated Multi-layer interconnected spiral capacitor
US9859210B2 (en) 2015-06-19 2018-01-02 Qualcomm Incorporated Integrated circuits having reduced dimensions between components
US9748226B1 (en) 2016-02-27 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Decoupling capacitor
US9871095B2 (en) * 2016-03-17 2018-01-16 Taiwan Semiconductor Manufacturing Company Ltd. Stacked capacitor with enhanced capacitance and method of manufacturing the same
US11071857B2 (en) 2016-08-22 2021-07-27 William Marsh Rice University Systems and methods for wireless treatment of arrhythmias
CN109244059A (zh) * 2017-07-10 2019-01-18 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
WO2020106440A1 (en) * 2018-11-19 2020-05-28 The Regents Of The University Of California Systems and methods for battery-less wirelessly powered dielectric sensors
AU2019384545A1 (en) 2018-11-20 2021-06-10 Texas Heart Institute Systems and methods for controlling wirelessly powered leadless pacemakers
KR20200116765A (ko) 2019-04-02 2020-10-13 삼성전자주식회사 수직 커패시터 구조 및 이를 포함하는 비휘발성 메모리 장치
WO2020210932A1 (zh) * 2019-04-15 2020-10-22 华为技术有限公司 电容器和半导体芯片
JP2023515580A (ja) 2020-02-28 2023-04-13 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 微小埋込物および電気化学的センサのためのデュアルアンテナアーキテクチャを伴う統合型エネルギー回収送受信機および伝送機
CN115528024A (zh) * 2021-06-25 2022-12-27 瑞昱半导体股份有限公司 紧凑的电容结构
TWI799061B (zh) * 2022-01-07 2023-04-11 力晶積成電子製造股份有限公司 電容器結構及其製造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02189951A (ja) * 1989-01-18 1990-07-25 Mitsubishi Electric Corp ゲートアレイ
US5583359A (en) 1995-03-03 1996-12-10 Northern Telecom Limited Capacitor structure for an integrated circuit
JP2001085630A (ja) * 1999-07-14 2001-03-30 Matsushita Electric Ind Co Ltd 半導体装置および半導体装置の製造方法
JP4446525B2 (ja) * 1999-10-27 2010-04-07 株式会社ルネサステクノロジ 半導体装置
US6747307B1 (en) * 2000-04-04 2004-06-08 Koninklijke Philips Electronics N.V. Combined transistor-capacitor structure in deep sub-micron CMOS for power amplifiers
TWI361490B (en) * 2003-09-05 2012-04-01 Renesas Electronics Corp A semiconductor device and a method of manufacturing the same
US6825080B1 (en) * 2003-10-02 2004-11-30 Chartered Semiconductor Manufacturing Ltd. Method for forming a MIM capacitor
US7768044B2 (en) 2004-07-30 2010-08-03 Agere Systems Inc. Metal capacitor stacked with a MOS capacitor to provide increased capacitance density
TWI296852B (en) 2005-12-07 2008-05-11 Winbond Electronics Corp Interdigitized capacitor
US7518850B2 (en) * 2006-05-18 2009-04-14 International Business Machines Corporation High yield, high density on-chip capacitor design
US8022458B2 (en) 2007-10-08 2011-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitors integrated with metal gate formation
JP2010118597A (ja) * 2008-11-14 2010-05-27 Nec Electronics Corp 半導体装置
JP2010135572A (ja) * 2008-12-05 2010-06-17 Renesas Electronics Corp 半導体装置
US8017997B2 (en) * 2008-12-29 2011-09-13 International Business Machines Corporation Vertical metal-insulator-metal (MIM) capacitor using gate stack, gate spacer and contact via
US9245881B2 (en) * 2009-03-17 2016-01-26 Qualcomm Incorporated Selective fabrication of high-capacitance insulator for a metal-oxide-metal capacitor
WO2010112971A2 (en) 2009-03-31 2010-10-07 Freescale Semiconductor, Inc. Integrated protection circuit
JP2011029249A (ja) * 2009-07-22 2011-02-10 Renesas Electronics Corp 半導体装置
US8247288B2 (en) 2009-07-31 2012-08-21 Alpha & Omega Semiconductor Inc. Method of integrating a MOSFET with a capacitor
JP2011129615A (ja) * 2009-12-16 2011-06-30 Renesas Electronics Corp Mosトランジスタのシミュレーションパラメータの抽出方法
KR101635828B1 (ko) * 2010-08-19 2016-07-04 삼성전자주식회사 커패시터 장치 및 그 제조 방법
JP5223907B2 (ja) * 2010-11-01 2013-06-26 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US8497540B2 (en) * 2011-08-30 2013-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor and method of forming same

Also Published As

Publication number Publication date
KR101675923B1 (ko) 2016-11-14
EP2965354A1 (en) 2016-01-13
JP2016511548A (ja) 2016-04-14
KR20150125997A (ko) 2015-11-10
US20140252543A1 (en) 2014-09-11
CN105074916A (zh) 2015-11-18
CN105074916B (zh) 2018-10-16
JP2017076815A (ja) 2017-04-20
WO2014137589A1 (en) 2014-09-12
US9153642B2 (en) 2015-10-06

Similar Documents

Publication Publication Date Title
JP6224737B2 (ja) キャパシタンスを高めた金属−酸化物−金属(mom)キャパシタ
US10607980B2 (en) Passive-on-glass (POG) device and method
US10116285B2 (en) Integration of a replica circuit and a transformer above a dielectric substrate
JP3822569B2 (ja) 半導体装置およびその製造方法
CN105027236B (zh) 具有气隙结构的垂直耦合变压器
JP2016518702A (ja) ビアとコンデンサのプレートとの間に誘電体を有するコンデンサ
CN111668188A (zh) 具有栅极插塞或接触部插塞的自对准栅极端盖(sage)架构
KR20120034129A (ko) 높은 항복 전압의 매립된 mim 커패시터 구조
TWI824219B (zh) 半導體裝置及其形成方法
TW201535470A (zh) 形成嵌入電阻之可調溫度係數的方法
CN104733430A (zh) 形成金属-绝缘体-金属(mim)电容器结构的机理
US20160104618A1 (en) Methods of manufacturing semiconductor device
TWI709248B (zh) 電容及其製作方法
CN114695661A (zh) 金属-绝缘体-金属电容器及其形成方法
TW201807832A (zh) 半導體元件及其製作方法
US11239307B2 (en) Metal-oxide-metal capacitor from subtractive back-end-of-line scheme
US20210320059A1 (en) Hybrid back-end-of-line (beol) dielectric for high capacitance density metal-oxide-metal (mom) capacitor
KR20060110551A (ko) 가변 용량 캐패시터 제조 방법
KR20040069391A (ko) 반도체 소자의 엠아이엠 캐패시터 형성방법
KR20110077617A (ko) 반도체 소자의 커패시터 제조방법
KR20120126724A (ko) 반도체 소자의 형성 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160205

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160205

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20160205

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20160302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160701

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161227

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20170221

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20170421

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171005

R150 Certificate of patent or registration of utility model

Ref document number: 6224737

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250