JP2951629B2 - Si/SiGe接合型電界効果トランジスタ及びその製法 - Google Patents

Si/SiGe接合型電界効果トランジスタ及びその製法

Info

Publication number
JP2951629B2
JP2951629B2 JP10018365A JP1836598A JP2951629B2 JP 2951629 B2 JP2951629 B2 JP 2951629B2 JP 10018365 A JP10018365 A JP 10018365A JP 1836598 A JP1836598 A JP 1836598A JP 2951629 B2 JP2951629 B2 JP 2951629B2
Authority
JP
Japan
Prior art keywords
layer
semiconductor layer
type
effect transistor
field effect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP10018365A
Other languages
English (en)
Other versions
JPH10242478A (ja
Inventor
ハリード・エッゼッディーン・イスマーイール
バーナード・エス・マイヤーソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH10242478A publication Critical patent/JPH10242478A/ja
Application granted granted Critical
Publication of JP2951629B2 publication Critical patent/JP2951629B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • H01L29/66916Unipolar field-effect transistors with a PN junction gate, i.e. JFET with a PN heterojunction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1058Channel region of field-effect devices of field-effect transistors with PN junction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/808Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a PN junction gate, e.g. PN homojunction gate
    • H01L29/8083Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/808Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a PN junction gate, e.g. PN homojunction gate
    • H01L29/8086Thin film JFET's
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/936Graded energy gap

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は結合型電界効果トラ
ンジスタに関するものであり、特に動度を増大させる
ためのひずみを与え、キャリアをチャネルに加速するた
めに、チャネルのソース端に電界を誘導する勾配を持
つ、SiGeの合金を有する垂直チャネルを有する結合
型電界効果トランジスタに関するものである。
【0002】
【従来の技術】標準の金属酸化物シリコン(MOS)技
術で、電界効果トランジスタの速度を増大させること
は、通常装置の寸法を縮小することにより行われる。し
かし、トランジスタのゲート長を0.1μmまたはそれ
以下にした場合、各種のパラメータを比例させることが
できないため、これには限度がある。短チャネル効果が
非常に重要となり、また、チャネルのソース端で低速で
キャリア(電子)を移動させる慣性効果も非常に重要と
なる。
【0003】チャネルとして機能するシリコン・ゲルマ
ニウム層を有する電界効果トランジスタが、米国特許第
5019882号明細書に記載されている。
【0004】バイポーラ装置で、キャリアの加速を増大
する勾配を持つSiGeの領域が、米国特許第4951
115号および第5359912号明細書に開示されて
いる。
【0005】勾配を持たせたSiGeならびにひずみを
持たせたSiおよびSiGeの層が、MOSFET装置
におけるキャリアの動度を増大させることが、たとえ
ば米国特許第5534713号明細書に開示されてい
る。
【0006】最適化されたバイポーラ動作モードを有す
る進歩した垂直JFET構造が、米国特許第53671
84号明細書に記載されている。バイポーラ動作を強化
するため、SiGeの薄層を設けて、nチャネル中の価
電子帯の不連続性を与えている。この薄層は、ゲート領
域からチャネル領域に延び、ゲート(ベース)領域から
の少数キャリア(ホール)を射出させる。SiGeの薄
層は、垂直JFET動作には不必要である。
【0007】
【発明が解決しようとする課題】本発明の一目的は、人
工衛星、無線広域ネットワーク、および通信機器で、マ
イクロ波およびミリメートル波の周波数帯で動作でき
る、シリコン技術に基づく、0.1μmまたはそれ以下
のチャネル長を持つ大規模集積回路(LSI)に適した
接合型電界効果トランジスタを提供することにある。
【0008】本発明の他の目的は、ゲートがソースと自
己整合する接合型電界効果トランジスタ構造を提供する
ことにある。
【0009】本発明の他の目的は、短チャネル効果また
は慣性効果を生じることなく、容易にゲート長を0.1
μm未満にまで縮小することのできる接合型電界効果ト
ランジスタ構造を提供することにある。
【0010】本発明の他の目的は、光電子有効質量が垂
直方向の移送に好都合になるように、チャネル自体がひ
ずみを持たせたSiGeで形成された接合型電界効果ト
ランジスタ構造を提供することにある。
【0011】本発明の他の目的は、チャネルのソース側
におけるSiGeの勾配が、キャリア(電子)と高速で
チャネルに加速または射出する電界を発生させる接合型
電界効果トランジスタ構造を提供することにある。
【0012】本発明の他の目的は、チャネルのドレイン
側におけるSiGeの勾配が電界を減少させ、これによ
り装置の破壊電圧および信頼性を増大させ、電流飽和を
改善し、これによりトランジスタの利得を向上させる接
合型電界効果トランジスタ構造を提供することにある。
【0013】本発明の他の目的は、イオン注入およびア
ニーリングを必要とせずに、高度にドーピングしたエピ
タキシャル層を成長させることができるため、ソースお
よびドレインの直列抵抗が極めて低い接合型電界効果ト
ランジスタ構造を提供することにある。
【0014】本発明の他の目的は、バルク・シリコンお
よび(または)シリコン・オン・インシュレータ(SO
I)基板に適した接合型電界効果トランジスタ構造を提
供することにある。
【0015】本発明の他の目的は、高速大規模集積(L
SI)論理回路に適した接合型電界効果トランジスタ構
造を提供することにある。
【0016】本発明の他の目的は、超高真空化学蒸着U
HV−CVDによりエピタキシャル層を成長させる場
合、イオン注入または560℃を超える高温工程を必要
としない、垂直接合型電界効果トランジスタ構造の製法
を提供することにある。
【0017】
【課題を解決するための手段】本発明によれば、第1の
型の第1の半導体層と、第1の半導体層の上に形成され
た、軽度にドーピングした第2の半導体層と、第2の半
導体層の上に形成され、第2の半導体層の一部を露出さ
せる開口を有する第2の型の第3の半導体層と、第3の
半導体層の上に形成され、第3の半導体層の開口と連絡
する開口を有する誘電材料の層と、第3の半導体層の開
口中に形成された、第1の型のSi1-xGexの第4の半
導体層で、xが厚さと共に0から0.1まで増大する層
と、第4の半導体層の開口中に形成された、第1の型の
Si(1-y)Geyの第5の半導体層で、yがたとえば0.
15の層と、誘電材料の層の開口中に形成された、第1
の型のSi(1-z)Gezの第6の半導体層で、zが厚さと
共に0.15から0まで減少する層とを備える、接合型
電界効果トランジスタおよびその製法が提供される。第
1および第2の型の半導体は、それぞれn型とp型で
も、それぞれp型とn型でもよい。
【0018】
【発明の実施の形態】図、特に図1ないし図3を参照し
て、垂直接合型電界効果トランジスタ(JFET)10
の製造工程を示す。出発基板12は、二酸化シリコンな
どの絶縁体でも、単結晶シリコン、シリコン・ゲルマニ
ウム、または絶縁体上にシリコンを付着させたものなど
の半導体でもよい。p型にドーピングしたシリコンまた
はシリコン・ゲルマニウムなどの半導体の単結晶層14
を基板12上に形成したものでもよい。出発基板12が
絶縁体である場合は、当業界で周知の酸素イオン注入に
よる分離(SIMOX)によって、または酸化物コーテ
ィングしたウエーハおよび半導体キャリア基板を接着
し、エッチバックして、シリコン・オン・インシュレー
タ(BESOI)を形成して、層14を形成することが
できる。層14は、高度にn+型にドーピングして、図
3に示すようにJFET10のドレイン電極15を形成
することができる。
【0019】エピタキシャル層16を層14上に形成す
る。エピタキシャル層16は、n−型にドーピングし
て、後にエピタキシャル成長により層16の上に形成す
るゲート層18へのキャパシタンスを減少させることが
できる。ゲート層18は、たとえばp+型にドーピング
したSiまたはSiGeで、厚さは30ないし100n
mである。次に、酸化シリコンなどの誘電体の層20を
層18の上に形成する。この層は後で上に形成するソー
ス電極21へのキャパシタンスを減少させる機能を有す
る。
【0020】SiおよびSiGeのエピタキシャル層の
成長温度は、500ないし560℃の範囲で、これは米
国特許第5298452号明細書に記載されたように、
超高真空化学蒸着(UHV−CVD)を使用した場合、
JFET10を製造するために必要な最高温度である。
しかし、エピタキシャル層はUHV−CVDに特定され
るものではなく、低圧エピタキシ(LPE)により70
0ないし800℃の範囲の温度で成長させることもでき
る。
【0021】次に、たとえば1×1μmの窓24を、た
とえばエッチングにより層20に形成する。次に、窓2
4を層16で選択的に終結する反応性イオン・エッチン
グ(RIE)などによりゲート層18を貫通させる。層
18がSiGeの合金で、層16がSiである場合は、
RIE中の屈折率の変化を利用して、層16が露出した
時にエッチングを停止させることができる。窓24の上
面図を図4に示す。
【0022】米国特許第5395769号明細書には、
適切な深さでエッチングを停止させるのに利用できるシ
リコンのエッチング深さの制御法が記載されている。
【0023】次に、SiGeの勾配を持たせたエピタキ
シャル層30を、層16の窓24中に成長させる。Si
(1-x)Gexの勾配を持たせた層30は、n−型にドーピ
ングしてもよく、xは層16で0とし、層の厚さととも
に変化させて、上面31で約0.1とする。次に、層1
6の上にSi1-yGeyのエピタキシャル層34を成長さ
せ、yを約0.15の一定にする。層34は、JFET
10のチャネル36の中央部を形成する。次に、層34
の上面37にSi(1-z)Gezの勾配を持たせたエピタキ
シャル層38を成長させ、zは表面37で約0.15と
し、層の厚さとともに変化させて、層38の上面39で
0とする。
【0024】層30および38のGe濃度勾配と、層3
4のGe濃度の選択は、層14および16に関する格子
の不一致よって決まる。JFET10に必要な層30、
34、および38の厚さに関連する濃度勾配により、完
全にひずみを持つ層30、34、および38が得られ、
ひずみを緩和させる転移を生じることはない。
【0025】第1の型がp型で、第2の型がn型の場
合、チャネル36はp型となる。層30および38はこ
の場合も、同様に勾配を持つことが必要である。ひずみ
はすべての方向に生じる。本明細書では、圧縮の語は、
下層の格子定数に合わせるために平面の格子定数を圧縮
しなければならないように、小さい格子の上にこれより
大きい格子を成長させることを意味する。しかしこのよ
うにすることにより、平面の圧縮ひずみを持つ上面に成
長させた層の格子は、垂直方向(図6の矢印50により
示された電流の流れる方向)では引っ張りひずみを有す
る。
【0026】層30、34、および38のエピタキシャ
ル付着または成長は、層30、34、および38に対し
て優先的または選択的で、酸化シリコンなどの誘電層2
0に核形成は起こらない。マスキング層に適した他の酸
化物は、米国特許第5427630号明細書に記載され
ている。
【0027】次に、Siなどの導電性材料の層44を、
層38の上、および誘電層20の上に付着させ、後で図
3、図5、および図6に示すようにパターン形成する。
層44は、高度にn++型にドーピングすることがで
き、JFET10のソース電極21となる機能を有す
る。
【0028】底部層14および上部層44は、それぞれ
JFET10のドレイン電極15およびソース電極21
を構成する。p型層18は、JFET10のゲート電極
19を構成し、四方すべてから導電性チャネル36を完
全に包囲する。このように、ゲート電極19は電荷キャ
リアを完全に制御するため、短チャネル効果が発生する
ことができない。チャネル36のすべての側にあるゲー
ト電極19により、JFET10の変調効率が最適化さ
れる。しかし、JFET10はチャネル36の二方のゲ
ート電極19により動作する。
【0029】SiGe層38中のGeの勾配zとGe含
有量の選択yは、チャネル36のソース側に電界が誘導
されるように選択し、これがすでに高速の電子を加速
し、チャネル36に衝突させ、したがって慣性効果の問
題を回避する。チャネル36自体は、光電子有効質量の
図6の矢印50により示される垂直方向の移送に好都合
であるように、ひずみを持たせたSiGeで形成する。
チャネル36のドレイン側のSiGe層30中のGeの
勾配xが電界を減少させ、したがって装置の破壊電圧お
よび信頼性を増大させ、電流飽和を改善し、これにより
トランジスタの利得を向上させる。JFET10の製造
および動作で、ソース側のみ、またはドレイン側にのみ
部分勾配を持たせることも可能である。
【0030】ゲート長はp型層18の厚さにより決まる
ため、層18の厚さは0.1μmすなわち100nmな
いし30nmの範囲で、約1nmの精度で、容易に0.
1μm以下の寸法に縮小することができる。
【0031】p型層18のゲート長または厚さは数ナノ
メートル、たとえば5nmから数百ナノメートルまでと
することができる。30ないし100nmの範囲が最適
であることがわかった。これは主として、層18が薄く
なるにつれて、p型層18の抵抗が高くなり、したがっ
てリターンすなわちゲートのRC時定数を減少させるこ
とができるためである。5nm程度の短いゲート長も可
能である。
【0032】イオン注入およびアニーリングを必要とせ
ずに、高度にドーピングしたエピタキシャル層を成長さ
せることができるため、ソースおよびドレインの直列抵
抗を極めて低くすることができる。たとえばSIMOX
により形成したSOIウエーハの使用、p型層18の下
に軽度にドーピングしたn−層16の追加、およびp型
層18の上の誘電層20により、寄生キャパシタンスを
最少にすることができる。ゲートすなわち層18の下の
n−層16は、装置への電気的接触を行うために有利で
ある。図3、図6、および図7に示すように、JFET
10の固有スイッチング時間は、ゲート長が50nmの
場合、1ピコ秒未満であると推定される。
【0033】図6、図7、および図8を参照すると、誘
電層52をパターン形成した層44の上および誘電層2
0の上に形成することができる。ゲート電極19、ソー
ス電極21、およびドレイン電極15を露出させる窓5
3ないし55を、エッチングにより誘電層52上に形成
する。金属またはエピタキシャル成長させ、軽度にドー
ピングしたポリシリコンまたはSi/SiGeを付着さ
せ、図7および図8に示す相互接続56ないし58とし
てパターン形成することができる。相互接続56ないし
58が金属の場合、シリコンへの接触は、400℃で1
ないし5分間金属焼結することにより形成することがで
きる。ゲート電極19およびドレイン電極15も、それ
ぞれ高度にドーピングしたn型層14およびp型層18
を、反応性イオン・エッチングにより画定した相互接続
として使用することができる。JFET10は、高周
波、マイクロ波、およびミリメートル波増幅回路にも、
高速LSI論理回路にも使用することができる。
【0034】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0035】
【図面の簡単な説明】
【図1】本発明の1実施例の製造工程を示す断面図であ
る。
【図2】本発明の1実施例の製造工程を示す断面図であ
る。
【図3】本発明の1実施例の製造工程を示す断面図であ
る。
【図4】図2の上面図である。
【図5】図3の上面図である。
【図6】図3の一部を示す拡大断面図である。
【図7】図3の実施例に、電極接点を追加したものの断
面の立体図である。
【図8】図7の上面図である。
【符号の説明】
10 接合型電解効果トランジスタ 12 基板 14、15 半導体層 16 エピタキシャル層 18、19 ゲート層 20 誘電材料層 21 ソース電極 24 窓 30 エピタキシャル勾配層 31 上面 34 エピタキシャル層 36 チャネル 37 表面 38 エピタキシャル勾配層 39 上面 44 導電材料層 50 矢印 52 誘電材料層 53 窓 54 窓 55 窓 56 相互接続 57 相互接続 58 相互接続
───────────────────────────────────────────────────── フロントページの続き (72)発明者 バーナード・エス・マイヤーソン アメリカ合衆国10598 ニューヨーク州 ヨークタウン・ハイツ ウェリントン・ コート 80 (56)参考文献 特開 昭62−45183(JP,A) 特開 昭62−232969(JP,A) (58)調査した分野(Int.Cl.6,DB名) H01L 21/337 - 21/338 H01L 27/095 - 27/098 H01L 29/775 - 29/778 H01L 29/80 - 29/812

Claims (15)

    (57)【特許請求の範囲】
  1. 【請求項1】第1の型の第1の半導体層と、 上記第1の半導体層の上に形成された、軽度にドーピン
    グした第2の半導体層と、 上記第2の半導体層の上に形成され、上記第2の半導体
    層の一部を露出させる開口を有する第2の型の第3の半
    導体層と、 上記第3の半導体層の上に形成され、上記第3の半導体
    層の上記開口と連絡する開口を有する誘電材料の層と、 上記第3の半導体層の上記開口中に形成された、第1の
    型のSi1-xGexの第4の半導体層で、xが厚さと共に
    増大する層と、 上記第の半導体層の上記開口中に形成された、第1の
    型のSi1-yGeyの第5の半導体層で、yが厚さ全体で
    ほぼ一定の層と、 上記誘電材料の層の上記開口中に形成された、第1の型
    のSi1-zGezの第6の半導体層で、zが厚さと共に減
    少する層を備える、 接合型電界効果トランジスタ。
  2. 【請求項2】上記第1の半導体層の下に、絶縁基板をさ
    らに有することを特徴とする請求項1に記載の接合型電
    界効果トランジスタ。
  3. 【請求項3】上記誘電材料の層と、上記第6の半導体層
    の上に形成された、第1の型のSi層をさらに有するこ
    とを特徴とする請求項1に記載の接合型電界効果トラン
    ジスタ。
  4. 【請求項4】上記第1の型がn型であり、上記第2の型
    がp型であることを特徴とする請求項1に記載の接合型
    電界効果トランジスタ。
  5. 【請求項5】上記第1の型がp型であり、上記第2の型
    がn型であることを特徴とする請求項1に記載の接合型
    電界効果トランジスタ。
  6. 【請求項6】上記第3の層が、所定の厚さを有し、ゲー
    ト電極を形成することを特徴とする請求項1に記載の接
    合型電界効果トランジスタ。
  7. 【請求項7】上記厚さが30ないし100nmの範囲で
    あることを特徴とする請求項に記載の接合型電界効果
    トランジスタ。
  8. 【請求項8】上記第3の層の厚さが、上記トランジスタ
    のチャネル長を決定することを特徴とする請求項に記
    載の接合型電界効果トランジスタ。
  9. 【請求項9】上記第5の層が、光電子有効質量の移送が
    上記第5の層から上記第3の層への垂直向の移送に好都
    合であるようにひずみを持っていることを特徴とする
    請求項1に記載の接合型電界効果トランジスタ。
  10. 【請求項10】上記第6の層が勾配があり、これによ
    り、キャリアを加速し、キャリアを高速で上記第5の層
    に衝突させる電界を誘導することを特徴とする請求項1
    に記載の接合型電界効果トランジスタ。
  11. 【請求項11】上記開口中の上記第5の層が、上記第3
    の半導体層と上記誘電材料の層との界面の上に延びるこ
    とを特徴とする請求項1に記載の接合型電界効果トラン
    ジスタ。
  12. 【請求項12】xが約0から約0.1へ増大することを
    特徴とする請求項1に記載の接合型電界効果トランジス
    タ。
  13. 【請求項13】yが約0.1ないし約0.3の範囲であ
    ることを特徴とする請求項1に記載の接合型電界効果ト
    ランジスタ。
  14. 【請求項14】zが約0.15から約0へ減少すること
    を特徴とする請求項1に記載の接合型電界効果トランジ
    スタ。
  15. 【請求項15】第1の型の第1の半導体層を形成する工
    程と、 上記第1の半導体層の上に軽度にドーピングした第2の
    半導体層を形成する工程と、 上記第2の半導体層の上に上記第2の半導体層の一部を
    露出させる開口を有する第2の型の第3の半導体層を形
    成する工程と、 上記第3の半導体層の上に上記第3の半導体層の上記開
    口と連絡する開口を有する誘電材料の層を形成する工程
    と、 上記第3の半導体層の上記開口中に、第1の型のSi
    1-xGexの第4の半導体層で、xが厚さと共に増大する
    層を形成する工程と、 上記第の半導体層の上記開口中に、第1の型のSi
    1-yGeyの第5の半導体層で、yが厚さ全体でほぼ一定
    の層を形成する工程と、 上記誘電材料の層の上記開口中に、第1の型のSi1-z
    Gezの第6の半導体層で、zが厚さと共に減少する層
    を形成する工程とを含む、 接合型電解効果トランジスタの製法。
JP10018365A 1997-02-19 1998-01-30 Si/SiGe接合型電界効果トランジスタ及びその製法 Expired - Lifetime JP2951629B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/803033 1997-02-19
US08/803,033 US5714777A (en) 1997-02-19 1997-02-19 Si/SiGe vertical junction field effect transistor

Publications (2)

Publication Number Publication Date
JPH10242478A JPH10242478A (ja) 1998-09-11
JP2951629B2 true JP2951629B2 (ja) 1999-09-20

Family

ID=25185391

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10018365A Expired - Lifetime JP2951629B2 (ja) 1997-02-19 1998-01-30 Si/SiGe接合型電界効果トランジスタ及びその製法

Country Status (9)

Country Link
US (1) US5714777A (ja)
EP (1) EP0860884B1 (ja)
JP (1) JP2951629B2 (ja)
KR (1) KR100260687B1 (ja)
CN (1) CN1263161C (ja)
DE (1) DE69838307T2 (ja)
ES (1) ES2289768T3 (ja)
MY (1) MY120718A (ja)
TW (1) TW343365B (ja)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998059365A1 (en) * 1997-06-24 1998-12-30 Massachusetts Institute Of Technology CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
FR2765395B1 (fr) * 1997-06-30 1999-09-03 Sgs Thomson Microelectronics Procede de realisation de grille de transistors mos a forte teneur en germanium
US7227176B2 (en) 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US5891792A (en) * 1998-08-14 1999-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. ESD device protection structure and process with high tilt angle GE implant
US6369438B1 (en) * 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6633066B1 (en) * 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
JP2003520444A (ja) * 2000-01-20 2003-07-02 アンバーウェーブ システムズ コーポレイション 高温成長を不要とする低貫通転位密度格子不整合エピ層
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
JP2004507084A (ja) 2000-08-16 2004-03-04 マサチューセッツ インスティテュート オブ テクノロジー グレーデッドエピタキシャル成長を用いた半導体品の製造プロセス
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6495402B1 (en) 2001-02-06 2002-12-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator (SOI) device having source/drain silicon-germanium regions and method of manufacture
US6410371B1 (en) 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6940089B2 (en) 2001-04-04 2005-09-06 Massachusetts Institute Of Technology Semiconductor device structure
US6770134B2 (en) * 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US6905542B2 (en) * 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
US6462388B1 (en) * 2001-07-26 2002-10-08 Hewlett-Packard Company Isolation of memory cells in cross point arrays
US6690040B2 (en) 2001-09-10 2004-02-10 Agere Systems Inc. Vertical replacement-gate junction field-effect transistor
EP1428262A2 (en) * 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003028106A2 (en) 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
WO2003079415A2 (en) 2002-03-14 2003-09-25 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
JP3925253B2 (ja) * 2002-03-15 2007-06-06 住友電気工業株式会社 横型接合型電界効果トランジスタおよびその製造方法
KR100460201B1 (ko) * 2002-04-08 2004-12-08 한국전자통신연구원 SiGe/Si 이종 접합 전계 효과 트랜지스터 제조용 기판의 형성 방법
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US20030227057A1 (en) * 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7615829B2 (en) * 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US6900521B2 (en) * 2002-06-10 2005-05-31 Micron Technology, Inc. Vertical transistors and output prediction logic circuits containing same
AU2003247513A1 (en) * 2002-06-10 2003-12-22 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
JP4122880B2 (ja) * 2002-07-24 2008-07-23 住友電気工業株式会社 縦型接合型電界効果トランジスタ
JP5144002B2 (ja) 2002-08-23 2013-02-13 台湾積體電路製造股▲ふん▼有限公司 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US7332417B2 (en) 2003-01-27 2008-02-19 Amberwave Systems Corporation Semiconductor structures with structural homogeneity
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
JP4585510B2 (ja) * 2003-03-07 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 シャロートレンチアイソレーションプロセス
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6974733B2 (en) * 2003-06-16 2005-12-13 Intel Corporation Double-gate transistor with enhanced carrier mobility
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US6936881B2 (en) * 2003-07-25 2005-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US6940705B2 (en) * 2003-07-25 2005-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor with enhanced performance and method of manufacture
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US6974755B2 (en) * 2003-08-15 2005-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure with nitrogen-containing liner and methods of manufacture
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US7071052B2 (en) 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US6902965B2 (en) * 2003-10-31 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon structure
US7888201B2 (en) * 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US7211845B1 (en) * 2004-04-19 2007-05-01 Qspeed Semiconductor, Inc. Multiple doped channel in a multiple doped gate junction field effect transistor
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
WO2006042040A2 (en) * 2004-10-07 2006-04-20 Fairchild Semiconductor Corporation Bandgap engineered mos-gated power transistors
US7119380B2 (en) * 2004-12-01 2006-10-10 Semisouth Laboratories, Inc. Lateral trench field-effect transistors in wide bandgap semiconductor materials, methods of making, and integrated circuits incorporating the transistors
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7569873B2 (en) * 2005-10-28 2009-08-04 Dsm Solutions, Inc. Integrated circuit using complementary junction field effect transistor and MOS transistor in silicon and silicon alloys
US8900980B2 (en) * 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US20070228505A1 (en) * 2006-04-04 2007-10-04 Mazzola Michael S Junction barrier schottky rectifiers having epitaxially grown p+-n junctions and methods of making
US7772060B2 (en) * 2006-06-21 2010-08-10 Texas Instruments Deutschland Gmbh Integrated SiGe NMOS and PMOS transistors
KR101217555B1 (ko) * 2006-06-28 2013-01-02 삼성전자주식회사 접합 전계 효과 박막 트랜지스터
WO2008034823A1 (en) * 2006-09-18 2008-03-27 Qunano Ab Method of producing precision vertical and horizontal layers in a vertical semiconductor structure
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
FR2914783A1 (fr) 2007-04-03 2008-10-10 St Microelectronics Sa Procede de fabrication d'un dispositif a gradient de concentration et dispositif correspondant.
US7531854B2 (en) 2007-05-04 2009-05-12 Dsm Solutions, Inc. Semiconductor device having strain-inducing substrate and fabrication methods thereof
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7795605B2 (en) * 2007-06-29 2010-09-14 International Business Machines Corporation Phase change material based temperature sensor
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US9755630B2 (en) 2009-04-30 2017-09-05 The United States of America as represented by the Secretary of the Government Solid-state circuit breakers and related circuits
US8729739B2 (en) 2010-04-28 2014-05-20 The United States Of America As Represented By The Secretary Of The Navy Bi-directional circuit breaker
US8742628B2 (en) * 2009-04-30 2014-06-03 The United States Of America As Represented By The Secretary Of The Army Solid state circuit breaker
TWI416727B (zh) * 2009-12-04 2013-11-21 Inotera Memories Inc P型金屬氧化層半導體場效電晶體及其製造方法
US8754455B2 (en) 2011-01-03 2014-06-17 International Business Machines Corporation Junction field effect transistor structure with P-type silicon germanium or silicon germanium carbide gate(s) and method of forming the structure
CN103187308B (zh) * 2011-12-29 2015-06-03 中芯国际集成电路制造(上海)有限公司 结型场效应管及其形成方法
CN103578996B (zh) * 2012-07-27 2016-09-28 中芯国际集成电路制造(上海)有限公司 晶体管制造方法
CN104124170A (zh) * 2013-04-28 2014-10-29 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US10170618B2 (en) 2017-03-02 2019-01-01 International Business Machines Corporation Vertical transistor with reduced gate-induced-drain-leakage current
US10431695B2 (en) 2017-12-20 2019-10-01 Micron Technology, Inc. Transistors comprising at lease one of GaP, GaN, and GaAs
US10825816B2 (en) 2017-12-28 2020-11-03 Micron Technology, Inc. Recessed access devices and DRAM constructions
CN108258032B (zh) * 2018-01-19 2021-04-20 重庆邮电大学 一种采用组合发射区的异质结双极晶体管及其制造方法
US10734527B2 (en) 2018-02-06 2020-08-04 Micron Technology, Inc. Transistors comprising a pair of source/drain regions having a channel there-between
CN108766967B (zh) * 2018-05-23 2021-05-28 燕山大学 一种平面复合应变Si/SiGe CMOS器件及制备方法
US11271108B2 (en) 2020-04-08 2022-03-08 International Business Machines Corporation Low-noise gate-all-around junction field effect transistor

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60261176A (ja) * 1984-06-08 1985-12-24 Hitachi Ltd 電界効果トランジスタ
JPH05267678A (ja) * 1992-03-17 1993-10-15 Rohm Co Ltd 半導体装置およびその製造方法
JP3229012B2 (ja) * 1992-05-21 2001-11-12 株式会社東芝 半導体装置の製造方法
FR2693314B1 (fr) * 1992-07-02 1994-10-07 Alain Chantre Transistor JFET vertical à mode de fonctionnement bipolaire optimisé et procédé de fabrication correspondant.

Also Published As

Publication number Publication date
US5714777A (en) 1998-02-03
CN1193193A (zh) 1998-09-16
DE69838307D1 (de) 2007-10-11
EP0860884A3 (en) 1999-03-31
TW343365B (en) 1998-10-21
EP0860884A2 (en) 1998-08-26
CN1263161C (zh) 2006-07-05
KR100260687B1 (ko) 2000-07-01
EP0860884B1 (en) 2007-08-29
ES2289768T3 (es) 2008-02-01
KR19980070031A (ko) 1998-10-26
DE69838307T2 (de) 2008-05-21
JPH10242478A (ja) 1998-09-11
MY120718A (en) 2005-11-30

Similar Documents

Publication Publication Date Title
JP2951629B2 (ja) Si/SiGe接合型電界効果トランジスタ及びその製法
US7911024B2 (en) Ultra-thin SOI vertical bipolar transistors with an inversion collector on thin-buried oxide (BOX) for low substrate-bias operation and methods thereof
JP3701873B2 (ja) ヘテロ接合バイポーラ・トランジスタの作製方法
US6593191B2 (en) Buried channel strained silicon FET using a supply layer created through ion implantation
US5422502A (en) Lateral bipolar transistor
KR20020066191A (ko) Mos 전계 효과 트랜지스터
KR940702647A (ko) 높은 얼리전압, 고주파성능 및 고항복전압 특성을 구비한 상보형 바이폴라 트랜지스터 및 그 제조방법(complementary bipolar transistors having high early voltage, high frezuency performance and high breakdown voltage characteristics and method of making same)
US6246104B1 (en) Semiconductor device and method for manufacturing the same
US5098853A (en) Self-aligned, planar heterojunction bipolar transistor and method of forming the same
US6812533B2 (en) SOI based bipolar transistor having a majority carrier accumulation layer as subcollector
KR100188085B1 (ko) 초고속 쌍극성 트랜지스터의 제조방법
JPH03280437A (ja) 半導体装置およびその製造方法
JP2008500720A (ja) 半導体装置及びそのような装置を製造する方法
KR100208977B1 (ko) 초고속 쌍극성 트랜지스터의 제조방법
US5159423A (en) Self-aligned, planar heterojunction bipolar transistor
JP3389009B2 (ja) 半導体装置およびその製造方法
CN100505275C (zh) 双极晶体管和背栅晶体管的结构和方法
JPH0590517A (ja) 半導体装置及びその製造方法
KR910006751B1 (ko) 반도체 집적회로장치 및 그의 제조방법
KR20030045941A (ko) 바이폴라 소자 및 그 제조방법
JPH0332219B2 (ja)
JPH0590284A (ja) ヘテロ接合バイポーラトランジスタ
JPS607772A (ja) 半導体装置の製造方法
CN102738174A (zh) 一种三应变全平面SOI BiCMOS集成器件及制备方法

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070709

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080709

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080709

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090709

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100709

Year of fee payment: 11