JP2018014492A5 - - Google Patents

Download PDF

Info

Publication number
JP2018014492A5
JP2018014492A5 JP2017131641A JP2017131641A JP2018014492A5 JP 2018014492 A5 JP2018014492 A5 JP 2018014492A5 JP 2017131641 A JP2017131641 A JP 2017131641A JP 2017131641 A JP2017131641 A JP 2017131641A JP 2018014492 A5 JP2018014492 A5 JP 2018014492A5
Authority
JP
Japan
Prior art keywords
region
substrate support
thickness
ceramic
support according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017131641A
Other languages
English (en)
Other versions
JP2018014492A (ja
JP7062383B2 (ja
Filing date
Publication date
Priority claimed from US15/634,365 external-priority patent/US11069553B2/en
Application filed filed Critical
Publication of JP2018014492A publication Critical patent/JP2018014492A/ja
Publication of JP2018014492A5 publication Critical patent/JP2018014492A5/ja
Application granted granted Critical
Publication of JP7062383B2 publication Critical patent/JP7062383B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (16)

  1. 基板処理システムのための基板支持体であって、
    ベースプレートと、
    前記ベースプレート上に設けられた接着層と、
    前記接着層上に配置されたセラミック層と、を備え、
    前記セラミック層は、第1の領域と、前記第1の領域の半径方向外側に位置する第2の領域とを含み、
    前記第1の領域は第1の厚さを有し、
    前記第2の領域は第2の厚さを有し、
    前記第1の厚さは、前記第2の厚さより大き
    前記ベースプレートは、伝熱ガスを前記セラミック層の裏面に供給するように配置された伝熱ガス供給孔を備え、
    前記伝熱ガス供給孔は、前記第1の領域の下ではなく前記第2の領域の外縁の下に配置される、基板支持体。
  2. 請求項1に記載の基板支持体であって、
    前記第1の領域は、前記セラミック層の中央領域に対応し、前記第2の領域は、前記中央領域を囲む環状領域に対応する、基板支持体。
  3. 請求項1に記載の基板支持体であって、
    前記第1の厚さは2ミリメータより大きく、前記第2の厚さは2ミリメータより小さい、基板支持体。
  4. 請求項1に記載の基板支持体であって、
    前記セラミック層は、前記第1の領域と前記第2の領域との間に第3の領域を備える、基板支持体。
  5. 請求項に記載の基板支持体であって、
    記第3の領域は、前記第1の領域と前記第2の領域との間で変化する第3の厚さを有する移行領域に対応する、基板支持体。
  6. 請求項に記載の基板支持体であって、
    前記第3の領域には、段差、面取り、および湾曲のうちの1つが施されている、基板支持体。
  7. 請求項1に記載の基板支持体であって、
    前記セラミック層は、セラミックディスクと、前記セラミックディスク上に配置されたセラミックプレートとを含む、基板支持体。
  8. 請求項に記載の基板支持体であって、さらに、
    記セラミックディスクと前記セラミックプレートとの間に設けられた第2の接着層を備える、基板支持体。
  9. 請求項に記載の基板支持体であって、
    前記セラミックディスクおよび前記セラミックプレートの内側部分は、前記第1の領域に対応し、
    前記セラミックディスクおよび前記セラミックプレートの前記内側部分は、前記第1の厚さを規定する、基板支持体。
  10. 請求項に記載の基板支持体であって、
    記セラミックプレートの外側部分は、前記第2の領域に対応し、
    前記セラミックプレートの前記外側部分は、前記第2の厚さを規定する、基板支持体。
  11. 請求項に記載の基板支持体であって、
    前記セラミックプレートは、第1の材料を含み、
    前記セラミックディスクは、第2の材料を含む、基板支持体。
  12. 基板処理システムのための基板支持体であって、
    ベースプレートと、
    前記ベースプレート上に設けられた接着層と、
    前記接着層上に配置されたセラミック層と、
    前記ベースプレートと前記セラミック層との間に設けられた誘電体フィラー層と、を備え、
    前記セラミック層は、内側部分および外側部分を含み、
    前記誘電体フィラー層および前記セラミック層の前記内側部分は、第1の領域を規定し、
    前記セラミック層の前記外側部分は、前記第1の領域の半径方向外側に位置する第2の領域を規定し、
    前記第1の領域は第1の厚さを有し、
    前記第2の領域は第2の厚さを有し、
    前記第1の厚さは、前記第2の厚さより大き
    前記ベースプレートは、伝熱ガスを前記セラミック層の裏面に供給するように配置された伝熱ガス供給孔を備え、
    前記伝熱ガス供給孔は、前記第1の領域の下ではなく前記第2の領域の外縁の下に配置される、基板支持体。
  13. 請求項1に記載の基板支持体であって、
    前記第1の厚さは、2ミリメータより大きく、前記第2の厚さは、2ミリメータより小さい、基板支持体。
  14. 請求項1に記載の基板支持体であって、
    前記セラミック層および前記誘電体フィラー層は、前記第1の領域と前記第2の領域との間に位置する第3の領域を規定する、基板支持体。
  15. 請求項1に記載の基板支持体であって、
    前記第3の領域は、前記第1の領域と前記第2の領域との間で変化する第3の厚さを有する移行領域に対応する、基板支持体。
  16. 請求項1に記載の基板支持体であって、
    前記第3の領域には、段差、面取り、および湾曲のうちの1つが施されている、基板支持体。
JP2017131641A 2016-07-07 2017-07-05 アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック Active JP7062383B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662359405P 2016-07-07 2016-07-07
US62/359,405 2016-07-07
US15/634,365 2017-06-27
US15/634,365 US11069553B2 (en) 2016-07-07 2017-06-27 Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity

Publications (3)

Publication Number Publication Date
JP2018014492A JP2018014492A (ja) 2018-01-25
JP2018014492A5 true JP2018014492A5 (ja) 2020-08-20
JP7062383B2 JP7062383B2 (ja) 2022-05-06

Family

ID=60911098

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017131641A Active JP7062383B2 (ja) 2016-07-07 2017-07-05 アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック

Country Status (5)

Country Link
US (1) US11069553B2 (ja)
JP (1) JP7062383B2 (ja)
KR (1) KR102454532B1 (ja)
CN (1) CN107591355B (ja)
TW (1) TWI752051B (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
CN108649012B (zh) * 2018-05-11 2021-10-01 北京华卓精科科技股份有限公司 新型陶瓷塞及具有该新型陶瓷塞的静电卡盘装置
WO2019230030A1 (ja) * 2018-05-28 2019-12-05 日本特殊陶業株式会社 保持装置、および、保持装置の製造方法
WO2019230031A1 (ja) * 2018-05-28 2019-12-05 日本特殊陶業株式会社 保持装置の製造方法、および、保持装置
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
KR20210088723A (ko) * 2018-12-03 2021-07-14 어플라이드 머티어리얼스, 인코포레이티드 척킹 및 아크 발생 성능이 개선된 정전 척 설계
JP6801773B2 (ja) * 2019-02-27 2020-12-16 Toto株式会社 半導体製造装置用部材および半導体製造装置用部材を備えた半導体製造装置並びにディスプレイ製造装置
CN111627790B (zh) * 2019-02-27 2024-05-03 Toto株式会社 半导体制造装置构件、半导体制造装置、显示器制造装置
KR20210128002A (ko) * 2019-03-08 2021-10-25 램 리써치 코포레이션 플라즈마 프로세싱 챔버를 위한 척
KR20220154736A (ko) * 2020-03-13 2022-11-22 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 스터드 어레이들을 갖는 본딩 층들을 포함하는 기판 지지부들
WO2021221886A1 (en) * 2020-04-29 2021-11-04 Applied Materials, Inc. Heater cover plate for uniformity improvement
CN115151105A (zh) * 2022-07-13 2022-10-04 业成科技(成都)有限公司 贴合治具

Family Cites Families (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3752956A (en) * 1972-05-03 1973-08-14 Du Pont Electrical resistance heating control circuit
DE3017749A1 (de) 1980-05-09 1981-11-12 Artur Dr.H.C. 7244 Waldachtal Fischer Befestigungsvorrichtung fuer die befestigung von sanitaergegenstaenden
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US6998065B1 (en) * 1989-12-28 2006-02-14 Nippon Mitsubishi Oil Corporation Fluid compositions containing refrigerator oils and chlorine-free fluorocarbon refrigerants
US5447570A (en) 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
EP0635870A1 (en) * 1993-07-20 1995-01-25 Applied Materials, Inc. An electrostatic chuck having a grooved surface
KR100404631B1 (ko) 1994-01-31 2004-02-05 어플라이드 머티어리얼스, 인코포레이티드 두께가일정한절연체막을갖는정전기척
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
JPH08293539A (ja) 1995-04-21 1996-11-05 Hitachi Ltd 半導体製造方法および装置
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US6370007B2 (en) * 1995-09-20 2002-04-09 Hitachi, Ltd. Electrostatic chuck
US5781400A (en) * 1995-09-20 1998-07-14 Hitachi, Ltd. Electrostatically attracting electrode and a method of manufacture thereof
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6395363B1 (en) 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6176932B1 (en) * 1998-02-16 2001-01-23 Anelva Corporation Thin film deposition apparatus
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP4053148B2 (ja) 1998-07-28 2008-02-27 株式会社エフオーアイ プラズマ処理装置
US6639783B1 (en) * 1998-09-08 2003-10-28 Applied Materials, Inc. Multi-layer ceramic electrostatic chuck with integrated channel
TW517092B (en) * 1999-03-17 2003-01-11 Kobe Steel Ltd High-temperature and high-pressure treatment device
JP2000323558A (ja) * 1999-05-07 2000-11-24 Nikon Corp 静電吸着装置
US6462928B1 (en) * 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US20020036881A1 (en) * 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
US6490146B2 (en) * 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
KR20010007406A (ko) * 1999-06-17 2001-01-26 조셉 제이. 스위니 정전 처크에 의해 발생한 정전력 균형을 맞추는 방법 및장치
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6839217B1 (en) * 1999-10-01 2005-01-04 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP4522527B2 (ja) * 2000-03-06 2010-08-11 キヤノンアネルバ株式会社 半導体製造装置における基板搭載方法
JP5165817B2 (ja) * 2000-03-31 2013-03-21 ラム リサーチ コーポレーション 静電チャック及びその製造方法
US6306247B1 (en) * 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6922324B1 (en) * 2000-07-10 2005-07-26 Christopher M. Horwitz Remote powering of electrostatic chucks
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP4868649B2 (ja) 2001-03-29 2012-02-01 ラム リサーチ コーポレーション プラズマ処理装置
US6693790B2 (en) * 2001-04-12 2004-02-17 Komatsu, Ltd. Static electricity chuck apparatus and semiconductor producing apparatus provided with the static electricity chuck apparatus
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
WO2002089531A1 (en) * 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
JP3810300B2 (ja) * 2001-10-30 2006-08-16 京セラ株式会社 静電チャック
US6538872B1 (en) * 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
WO2003047312A1 (fr) * 2001-11-30 2003-06-05 Ibiden Co., Ltd. Dispositif chauffant en ceramique
US6754062B2 (en) * 2002-02-27 2004-06-22 Praxair S.T. Technology, Inc. Hybrid ceramic electrostatic clamp
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6682603B2 (en) * 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
US20040045813A1 (en) * 2002-09-03 2004-03-11 Seiichiro Kanno Wafer processing apparatus, wafer stage, and wafer processing method
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7850174B2 (en) 2003-01-07 2010-12-14 Tokyo Electron Limited Plasma processing apparatus and focus ring
US7361865B2 (en) * 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
US20050079729A1 (en) * 2003-10-08 2005-04-14 Woo-Sung Jang High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
KR100505035B1 (ko) 2003-11-17 2005-07-29 삼성전자주식회사 기판을 지지하기 위한 정전척
US7196295B2 (en) * 2003-11-21 2007-03-27 Watlow Electric Manufacturing Company Two-wire layered heater system
KR100808349B1 (ko) * 2003-11-21 2008-02-27 다이킨 고교 가부시키가이샤 밀봉재, 그의 제조방법 및 그를 갖는 액정·반도체 제조 장치
US8680443B2 (en) * 2004-01-06 2014-03-25 Watlow Electric Manufacturing Company Combined material layering technologies for electric heaters
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
KR101098798B1 (ko) * 2004-05-26 2011-12-26 쿄세라 코포레이션 히터와 웨이퍼 가열장치 및 히터의 제조방법
CN100470756C (zh) * 2004-06-28 2009-03-18 京瓷株式会社 静电卡盘
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
US7052553B1 (en) * 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US20060273277A1 (en) * 2005-06-02 2006-12-07 Heller Mark J Plasma resistant seal assembly with replaceable barrier shield
SG163536A1 (en) * 2005-06-29 2010-08-30 Watlow Electric Mfg Smart layered heater surfaces
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7525787B2 (en) * 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US7869184B2 (en) * 2005-11-30 2011-01-11 Lam Research Corporation Method of determining a target mesa configuration of an electrostatic chuck
JP2007311613A (ja) * 2006-05-19 2007-11-29 Hitachi High-Technologies Corp 試料台及びそれを備えたプラズマ処理装置
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080041312A1 (en) * 2006-08-10 2008-02-21 Shoichiro Matsuyama Stage for plasma processing apparatus, and plasma processing apparatus
JP4943086B2 (ja) * 2006-08-10 2012-05-30 東京エレクトロン株式会社 静電チャック装置及びプラズマ処理装置
JP5233093B2 (ja) * 2006-08-10 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
JP5029089B2 (ja) * 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
JP2009054871A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
TWI459851B (zh) * 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
JP4905375B2 (ja) 2008-01-30 2012-03-28 住友電気工業株式会社 ウエハ保持体の支持構造
JP5284153B2 (ja) * 2008-03-21 2013-09-11 日本碍子株式会社 セラミックスヒータ
JP5324251B2 (ja) * 2008-05-16 2013-10-23 キヤノンアネルバ株式会社 基板保持装置
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
CN102160167B (zh) * 2008-08-12 2013-12-04 应用材料公司 静电吸盘组件
JP2010080717A (ja) * 2008-09-26 2010-04-08 Tokyo Electron Ltd プラズマ処理装置用の載置台
KR101413764B1 (ko) 2008-10-22 2014-07-02 주식회사 뉴파워 프라즈마 서셉터 어셈블리
US9218997B2 (en) 2008-11-06 2015-12-22 Applied Materials, Inc. Electrostatic chuck having reduced arcing
JP2010153730A (ja) * 2008-12-26 2010-07-08 Omron Corp 配線構造、ヒータ駆動装置、計測装置および制御システム
JP5262878B2 (ja) 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5218865B2 (ja) * 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
WO2012056807A1 (ja) * 2010-10-25 2012-05-03 日本碍子株式会社 セラミックス材料、積層体、半導体製造装置用部材及びスパッタリングターゲット部材
WO2012056808A1 (ja) * 2010-10-25 2012-05-03 日本碍子株式会社 セラミックス材料、半導体製造装置用部材、スパッタリングターゲット部材及びセラミックス材料の製造方法
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
WO2012090782A1 (ja) 2010-12-27 2012-07-05 株式会社クリエイティブ テクノロジー ワーク加熱装置及びワーク処理装置
JP5339162B2 (ja) * 2011-03-30 2013-11-13 Toto株式会社 静電チャック
US8901459B2 (en) * 2011-06-30 2014-12-02 Semes Co. Ltd. Substrate supporting units and substrate treating apparatuses including the same
US8520360B2 (en) * 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
CN103999545B (zh) * 2011-08-30 2018-02-06 沃特洛电气制造公司 制造高清晰度加热器系统的方法
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9076831B2 (en) * 2011-11-04 2015-07-07 Lam Research Corporation Substrate clamping system and method for operating the same
CN103123906A (zh) * 2011-11-18 2013-05-29 中芯国际集成电路制造(北京)有限公司 用于处理晶圆的反应装置、静电吸盘和晶圆温度控制方法
US9083182B2 (en) * 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
WO2013111363A1 (ja) * 2012-01-26 2013-08-01 京セラ株式会社 静電チャック
TWI579956B (zh) * 2012-02-08 2017-04-21 東京威力科創股份有限公司 靜電吸盤裝置
JP5823915B2 (ja) * 2012-05-29 2015-11-25 新光電気工業株式会社 静電チャックの製造方法
JP5441019B1 (ja) * 2012-08-29 2014-03-12 Toto株式会社 静電チャック
JP5633766B2 (ja) * 2013-03-29 2014-12-03 Toto株式会社 静電チャック
US20140356985A1 (en) * 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US10211046B2 (en) 2013-07-19 2019-02-19 Applied Materials, Inc. Substrate support ring for more uniform layer thickness
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
JP6432474B2 (ja) 2014-03-27 2018-12-05 Toto株式会社 静電チャック
JP6442296B2 (ja) * 2014-06-24 2018-12-19 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP6375163B2 (ja) 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6435247B2 (ja) 2015-09-03 2018-12-05 新光電気工業株式会社 静電チャック装置及び静電チャック装置の製造方法
US10340171B2 (en) * 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10741425B2 (en) * 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing

Similar Documents

Publication Publication Date Title
JP2018014492A5 (ja)
JP2016503238A5 (ja)
JP7239560B2 (ja) 静電チャックヒータ
JP2008288598A5 (ja)
JP2017502514A5 (ja)
PH12019501983A1 (en) Release film for manufacturing ceramic green sheet and method for manufacturing release film
TWI419227B (zh) 電漿處理裝置
JP2016127279A5 (ja) 半導体パッケージ
JP2016531836A5 (ja)
WO2010033904A3 (en) Shutter disk for physical vapor deposition chamber
JP2010287883A5 (ja) 基板及び基板の作製方法
SG10201908699SA (en) Pattern coated filter and method
JP2013145628A5 (ja)
JP2012011775A5 (ja)
JP2018530451A5 (ja)
ES2621552T3 (es) Sustrato transparente, en particular un sustrato de vidrio, revestido con al menos una capa porosa al menos bifuncional, procedimiento de fabricación y aplicaciones
EP3629371A3 (en) Heat sink arrangement
JP2016514771A5 (ja)
JP2018531323A5 (ja)
JP2016514771A (ja) 室温および高温の両方におけるホルダー内のプレートのセンタリング
TWM497253U (zh) 傳熱效果良好的均溫板
US20170042370A1 (en) Automatic Constant Temperature Cookware Utensil and Combined Structure with Eletro-magnetic Heating Device
JP2014188668A5 (ja) 基板の製造方法及びキャリア
JP2017212332A (ja) 電極内蔵型載置台構造
JP2013115210A5 (ja) 貼り合わせ装置