JP2015188102A - マルチゲートトランジスタ - Google Patents

マルチゲートトランジスタ Download PDF

Info

Publication number
JP2015188102A
JP2015188102A JP2015111261A JP2015111261A JP2015188102A JP 2015188102 A JP2015188102 A JP 2015188102A JP 2015111261 A JP2015111261 A JP 2015111261A JP 2015111261 A JP2015111261 A JP 2015111261A JP 2015188102 A JP2015188102 A JP 2015188102A
Authority
JP
Japan
Prior art keywords
fin
region
gate
source
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015111261A
Other languages
English (en)
Other versions
JP6284502B2 (ja
Inventor
カペラーニ、アナリサ
Cappellani Annalisa
ガーニ、タヒア
Ghani Tahir
シェン、クァン−ユア
Kuan-Yueh Shen
エス. マーシー、アナンド
S Murthy Anand
エス. マーシー、アナンド
ゴメズ、ハリー
Gomez Harry
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2015188102A publication Critical patent/JP2015188102A/ja
Application granted granted Critical
Publication of JP6284502B2 publication Critical patent/JP6284502B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

【課題】低寄生抵抗であるチャネル歪みされたマルチゲートトランジスタとその製造方法を提供する。
【解決手段】ゲートを連結したチャネル側壁の高さがHsiである半導体フィンのチャネル領域の上にゲートスタックを形成し、ゲートスタックに隣接する半導体フィンのソース/ドレイン領域内に、エッチングレートを制御するドーパントを注入し106、ドーピングされたフィン領域をエッチングして110、半導体フィンの略Hsiに等しい厚みを除去して112、ゲートスタックの一部の下にある半導体基板の部分を露呈させるソース/ドレイン延長キャビティを形成し、露呈した半導体基板の上に材料を成長させて、再成長したソース/ドレイン・フィン領域を形成して、ソース/ドレイン延長キャビティを充填し114、ゲートスタックからの長さを、チャネルの長さに実質的に平行な方向に離れる方向に延ばす。
【選択図】図1

Description

パフォーマンスを上げるために、基板上の相補型金属酸化膜半導体(CMOS)デバイス((例えば半導体基板上の集積回路(IC)トランジスタ等))上で利用されるN型金属酸化物半導体(NMOS)デバイスチャネル領域の電子およびP型MOSデバイス(PMOS)チャネル領域の正の電荷の空孔の遷移時間を低減させると望ましい場合が多い。チャネル長の低減は、遷移時間を短くするために好ましい方法であるが、これによりチャネル効果が低減する場合もあるので、チャネル領域を非平面半導体本体の部分、つまり、ゲートスタックが被覆する「フィン」とするマルチゲートデバイスが開発された。このようなマルチゲートデバイスでは、トランジスタをフィンの側壁および上面によりゲートスタックがゲーティングすることができ、ゲート制御がより良好になる。
マルチゲート設計によりゲート制御が向上すると、フィンの大きさが、フィンへのコンタクトにより、寄生抵抗Rexternalが生じるまでスケーリングされてしまうこともあり、これにより、マルチゲートデバイスの動作パフォーマンスが著しく制限される。全抵抗を低減させる方法の1つに、フィンのソース/ドレイン領域にドーピングを施す、というものがある。ここでは、例えばドーパントをソース/ドレイン領域に注入して、アニーリング処理をしてドーパントを活性化してチャネル領域に向かって拡散することができる。
注入・拡散方法を利用すると、フィン内でドーパント濃度および位置を制御する能力が制限される。さらには、MOSデバイスの他の部分(例えばフィンの周りのスペーサが存在する箇所)の大きさもRexternalの低下を著しく妨げる場合がある。
さらに、フィンの構造が周囲の基板から自由なので、平面デバイスでは過去に効果があった歪みが引き起こす移動性の向上技術をすぐにマルチゲートデバイスに適用することはできない。歪み(例えば一軸または二軸)によりチャネル移動性を向上させる機能がなくては、チャネルの長さを短くすることによるマルチゲートデバイスのパフォーマンスの向上は、チャネル移動性が比較的低いことにより、少なくとも部分的にオフセットされる。従って、フィンのソース/ドレイン領域におけるこれらの限定を克服するために、方法および構造を向上させる必要がある。
本発明の実施形態の組成および方法、並びに、目的、特徴、および利点は、以下の詳細な説明を添付図面とともに読むことでよりよく理解される。
本発明の一実施形態における、マルチゲートデバイスのエピタキシャルソース/ドレイン延長部の形成方法を示すフロー図である。
本発明の一実施形態における、図1の処理106に対応するマルチゲートデバイスの製造における一段階の等角図である。
図2Aのデバイスの断面図である。
本発明の一実施形態における、図1の処理108に対応するマルチゲートデバイスの製造における一段階の等角図である。
図3Aのデバイスの断面図である。
本発明の一実施形態における、図1の処理110に対応するマルチゲートデバイスの製造における一段階の等角図である。
本発明の一実施形態における、図4AのデバイスのB−B'面の断面図である。
本発明の一実施形態における、図4AのデバイスのB−B'面の断面図である。
本発明の一実施形態における、図1の処理112に対応するマルチゲートデバイスの製造における一段階の第1の断面図である。
本発明の一実施形態における、図1の処理112に対応するマルチゲートデバイスの製造における一段階の、図5Aに直交する第2の断面図である。
本発明の一実施形態における、図1の処理114に対応するマルチゲートデバイスの製造における一段階の第1の断面図である。
本発明の一実施形態における、図1の処理114に対応するマルチゲートデバイスの製造における一段階の、図6Aに直交する第2の断面図である。
本発明の一実施形態における、図1の処理116に対応するマルチゲートデバイスの製造における一段階の断面図である。
本発明の一実施形態における、図1の処理118に対応するマルチゲートデバイスの製造における一段階の断面図である。
本発明の一実施形態における、図1の処理120に対応するマルチゲートデバイスの製造における一段階の断面図である。
例示を簡潔に明確に行うべく、図面に示す部材は必ずしも実際の縮尺率で描かれてはいない。例えば、一部の部材を他の部材より大きく描いて明確化している場合がある。さらに、適切であると思われる箇所では図面間で参照番号を繰り返して、同様の部材であることを示している場合もある。
本明細書で、マルチゲートMOSデバイス(例えば「フィンフェット」)のエピタキシャルソース/ドレイン延長部を形成するシステムおよび方法を説明する。以下の記載では、例示する実装例の様々な態様を、当業者が自身の仕事内容を他の当業者に伝えるために普通に利用する用語を利用して記載する。しかし、当業者には明らかなように、本発明は、記載されている態様の一部のみを利用して行うこともできる。説明の便宜上、特定の数、材料、および構成を述べて、例示する実施形態の完全な理解を促すようにする。しかし当業者には明らかなように、本発明は、これら特定の詳細なしに実行することもできる。また公知の特徴については記載を避けて、簡略化することで、例示する実施形態を曖昧にしないようにしている箇所もある。
本発明の例示である実施形態の理解に役立つように、多数の離散的な処理として様々な処理を記述するが、処理が一定の順序で記載されているからといって、これら処理が必ずしも順序が大切な処理である、という意味ではない。特に、これら処理は記述されている順序で実行される必要はない。
本明細書では、チャネルの近くに略Hsiの垂直方向の厚みを有するエピタキシャルソース/ドレイン・フィン領域を含み、さらに、トランジスタのゲート誘電体層の下に、再成長させたエピタキシャルソース/ドレイン・フィン領域の部分を含むことができるマルチゲートデバイスが開示されている。図1は、エピタキシャルソース/ドレイン延長部を含む本発明の一実施形態における、再成長されたソース/ドレイン領域を形成するための方法100を示すフロー図である。図2A−図9は、方法100の特定の処理が実行された後のマルチゲートデバイスを示している。
方法100は、半導体フィンの上に設けられたゲートスタックに隣接する半導体フィンに、ドーピング領域を形成するイオン注入処理106から始まる。ドーピング領域は、形成されるマルチゲートMOSトランジスタのためのソースおよびドレイン領域を再成長させるための準備段階で除去される。適切なエッチャントに晒されることで、ドーピング領域は、周辺の基板のエッチング領域およびチャネル半導体材料のエッチングレートより速いエッチングレートを有することで、エッチングプロフィールを良好に制御して、再成長されるソースおよびドレイン領域を成形してサブフィンのリーク特性およびチャネル歪みを最適化することができるようになる。
図2Aは、本発明の一実施形態における、図1の処理106で半導体フィンの上に形成されるゲートスタックの等角図である。図2Bは、図2AのA−A'参照線でとったマルチゲートトランジスタの断面図である。図2Aおよび図2Bに示すように、基板202の上の非平面半導体本体によって、側壁の高さHsiである側壁207を有し、上面211が隣接する隔離領域210を超えて延びる平行六面体であるフィンが形成されている。上面211および側壁207は、非平面ソース領域215と非平面ドレイン領域216とに分かれ、その間のチャネル領域がゲートスタック217で被覆されている。このマルチゲートトランジスタにおいては、チャネルの容量が少なくとも側壁207により制御可能であり、Hsiは、ゲートを連結したチャネル側壁の高さを表す。上面211の容量も、重ねられているゲートスタックにより制御可能であり、より大きなサブ閾値制御が可能である。例である実施形態では、ゲートスタック217は、犠牲層であり、後の置換金属ゲートプロセスで除去される。しかし、ここで記載する方法は、ゲートスタック217が犠牲層ではなくて、最終物のマルチゲートデバイスでも維持されるような実施形態に利用することもできる。
一例である実施形態では、基板202はバルクシリコンまたはシリコンオンインシュレータ副構造であってよい。しかし半導体基板202は、さらに、ゲルマニウム、アンチモン化インジウム、テルル化鉛、ヒ化インジウム、リン化インジウム、ヒ化ガリウム、または、アンチモン化ガリウム等の他の材料から形成されてもよく、これらはシリコンを組み合わせても組み合わせなくてもよい。基板を形成する材料の数例を記述したが、本発明の精神および範囲において、当技術分野で半導体デバイスの下に利用できるとされている任意の材料を利用することができる。
図示されているように、ゲートスタック217はゲート誘電体212、ゲート電極213、およびゲートキャップ214を含む。ゲート誘電体212は、二酸化シリコン、窒化シリコン、シリコン酸窒化物、または、誘電率が10を越える(高誘電率)誘電体材料であってよい。利用可能な高誘電率ゲート誘電体材料の例には、これらに限定はされないが、酸化ハフニウム、酸化ケイ素ハフニウム、酸化ランタン、酸化ランタンアルミニウム、酸化ジルコニウム、酸化ケイ素ジルコニウム、酸化タンタル、酸化チタニウム、チタン酸バリウムストロンチウム、チタン酸バリウム、チタン酸ストロンチウム、酸化イットリウム、酸化アルミニウム、鉛スカンジウムタンタル酸化物、および、ニオブ酸亜鉛鉛が含まれてよい。ゲート電極213は、ポリシリコン、ポリゲルマニウム、金属、またはこれらの組み合わせであってよい。ゲートキャップ214は、酸化シリコン、窒化シリコン等の任意の従来の硬化マスク誘電材料であってよい。
図2Bは、第1の非平面本体250と第2の非平面本体225とが、ドーピングされたフィン領域208の反対側に形成される様子を示す。第2の非平面本体225は、別の機能トランジスタの基礎であってもよいし、単に、第1の非平面本体250の製造の1以上の態様を制御する手段を提供するダミーの構造であってもよい。従い図2Bは、ドーピングされたフィン領域208の2つの異なる界面の例(つまり、隔離領域210との界面および第2の非平面半導体本体との界面)を示している。ドーピングされたフィン領域208は、これら2つの界面のいずれかに隣接する第1の非平面本体250から遠隔の端部を有してよいことを理解されたい。
イオン注入処理106に利用されるドーパントは、注入する半導体フィン材料のエッチングレートを増加させる能力に基づいて選択される。従って、具体的なドーパントは、基板材料および後続するドーピングフィンのエッチングにおけるエッチャントに基づいて変えてもよい。ドーパントのこれらの例は、シリコン、ゲルマニウム、またはアンチモン化インジウムのエッチングレートを増加させる。特定の実施形態では、これらドーパントの具体例には、炭素、リン、および、ヒ素が含まれる。例えば炭素は、1x1014から1x1016原子/cmの範囲の量を利用してよい。リンは、1x1014から5x1015原子/cmの範囲の量を利用してよい。ヒ素は、1x1014から5x1015原子/cmの範囲の量を利用してよい。イオン注入は、実質的に垂直の方向に行ってよい(基板に垂直の方向)。しかし一部の実施形態では、イオン注入プロセスの少なくとも一部を、角度をつけた方向で行い、ゲートスタック217の下にイオンを注入してもよい。置換を行わないゲートの実施形態では、ゲートキャップ214を適切な厚みに形成することで、ゲート電極213がドーピングされないようにする。エッチングプロフィール制御ドーパントを半導体フィン内に形成した後で、アニーリングを行い処理106を完了する。アニーリングにより、ドーパントを半導体フィン内に深く入れることができるようになり、イオン注入中の基板の損傷を緩和することができる。一例では、アニーリングは、1分間までの期間(例えば5秒間)、摂氏700度および摂氏1100度の間の温度で行われる。
ドーピングされたフィン領域208の大きさは(深さを含む)、形成するマルチゲートMOSトランジスタの要件に応じて変化させてよい。図2Aおよび図2Bに示すように、注入処理106の後に、チャネル領域205に隣接するドーピングされたフィン領域208は、半導体フィンの深さ方向において、高さHsi以下延びる。図2Bに示す実施形態では、ドーピングされたフィン領域208は、チャネル領域205を含む実質的に垂直の側壁界面209Aを形成する。実質的に垂直の側壁界面209Aは、高さHsiの半導体フィンの全厚みに沿って延びる。一実施形態では、ドーピングされたフィン領域208はさらに、隔離領域210の上面を有し、実質的に平面である、下部半導体基板202によって、底部界面209Bを形成している。別の実施形態では、ドーピングされたフィン領域208は、隔離領域210の上面の下に量D分延びる、下部半導体基板202によって、底部界面209Cを形成していてもよい。いずれにしても、ゲートスタック217から横方向への勾配があり、好適には、ゲート誘電体212から下方にHsi以下の箇所から始まっているような、遷移界面245が設けられていてよい。さらに図2Aおよび図2Bに示されているように、ドーピングされたフィン領域208の各部分は、XIMの量分、ゲートスタック217の下に、または、その下部に存在している。一例であるこの実施形態では、ドーピングされたフィン領域208がゲートスタック217と重なっている部分の量は、実質的に高さHsi全体で一定であり(界面209A沿いに)、且つ、深さがHsiを超えると、重なる量が低減する(つまり、遷移界面245が形成される)。
図1に戻ると、処理108で、ゲートスタックおよび半導体フィンのいずれかの側部にスペーサが形成される。これらスペーサは、従来の誘電材料(これらに限定はされないが、酸化シリコンまたは窒化シリコン)を利用して形成されてよい。スペーサの幅は、形成されるマルチゲートトランジスタの設計要件に基づいて選択されてよい。図3Aおよび図3Bでは、ゲートスタック217の側壁にゲートスタックスペーサ319が形成されている。ゲートスタックスペーサ319を形成する際には、半導体フィンの側壁にさらにフィンスペーサ318を形成する(具体的には、ドーピングされたフィン領域208に隣接し、且つ、隔離領域210の上に形成される)。
図1に戻ると、処理110でエッチングプロセスを実行して、ドーピングされたフィン領域をエッチングする。特定の実施形態では、このエッチングプロセスでさらに、ゲートスタックの下に、ソース/ドレイン領域を再成長させるためのキャビティを形成する。エッチング処理110では、ドーピング領域のエッチングレートを上げるために、イオン注入プロセスで利用するドーパントを補うエッチャントが利用される。こうすると、エッチングプロセスで、ドーピングされたフィン領域を、ドーピングされない(またはより軽いドーピングをする)残りの基板の部分よりも、より高いレートで除去することができるようになる。従って、エッチングレートを適切な速さにまで高めることで、エッチングプロセスで、半導体フィンの実質上全体を除去することができるようになり(つまり、図3Aで示す、チャネル幅Wsi全体の上の高さHsi全体)、チャネル領域のみを、良好なプロフィールおよび制御された深さで維持することができるようになる。これには、ゲートスタックスペーサおよびゲート誘電体の下部を切り取ったドーピング領域の部分が含まれ、マルチゲートトランジスタにおいて、自己整合したソース/ドレイン・フィン延長部を画定することができるようになる。
本発明の一例である実施形態において、エッチング処理110は、塩素処理化学物質を、NF、HBr、SF、および、ArまたはHeのうち少なくとも1つをキャリアガスとして組み合わせて利用したドライエッチングを含む。活性エッチャントの種類の流量は、標準的に毎分50および200立方センチメートル(SCCM)の間で変化してよく、キャリアガスの流量は、150および400SCCMの間で変化してよい。高いエネルギーのプラズマを、700Wから1100Wの範囲の電力、および、0または100W未満のRFバイアスで利用することができる。反応器の圧力は、約1パスカル(Pa)から約2Paの範囲であってよい。さらなる実施形態では、エッチング処理110が、さらに、半導体基板202の、ドーピングされたフィン領域208が除去された箇所を洗浄して、さらにエッチングするためのウェットエッチングを含んでよい。シリコンおよび酸化物材料を洗浄するためには従来のウェットエッチングを利用することができる。例えば、結晶学平面に沿ってシリコンを除去することができるウェット化学エッチング(wet etch chemistries)を利用することができる。
図4A、図4B、および図4Cを参照すると、エッチング処理110の後のマルチゲートデバイスが示されている。この一例である実施形態では、ソース/ドレイン延長キャビティ421が、Hsiに略等しいエッチング深さにわたり実質的に一定になるように、注入プロフィールXIMに基づいて制御しながらアンダーカット量がXUCとなるようエッチングされる。特定の実施形態では、ゲートを連結したチャネルの高さHsi全体に対するゲートスタック長(XUCに平行に測った大きさ)が例えば約25nmである場合、ゲート長の範囲が15から40nmであるときにXUCが0から12nmを超えない範囲であってよい。従来の先端インプラントを利用して、再成長させるソース/ドレインがチャネルに直接インタフェースされないような別の実施形態では、XUCが0である。ソース/ドレイン・フィン領域をエッチングして、ソース/ドレイン延長部の下のアンダーカット量XUCがHsi全体で略一定になるように形成することで、ソース/ドレイン延長キャビティ421がHsi未満の深さである場合よりも、またはアンダーカット量XUCが低い場合(例えば注入を実施する実施形態では0)よりも、チャネル領域205にかかる応力の量を大きくすることができる。より大きな応力を利用すると、マルチゲートトランジスタのId,satの量が上るという利点がある。ソース/ドレイン・フィン領域をHsiにまでエッチングすることで、さらに、低減したRexternalについて後で再成長させたソース/ドレイン領域が接触することができるチャネル領域205の面積を最大化することもできる。
しかし、サブフィンのリーク(チャネル領域205の下のソース対ドレインリーク)が、チャネル領域205に近い箇所におけるフィンエッチング深さの関数であることも分かっており、ゲート誘電体212の界面から測った深さがHsiを超える深さでのアンダーカット量XUCが低減しない箇所では、そのようなリークは顕著に増加する。従って、フィンエッチングの深さおよびプロフィールは、応力とチャネルリークとの間で最適化されるべきである。従って、実質的に平坦な底面を有するエッチングプロフィールを提供する一実施形態では、エッチング処理110中に除去されるドーピングされたフィン領域208の厚みが、Hsiを超えないようにして、ソース/ドレインキャビティ420およびソース/ドレイン延長キャビティ421の両方が実質的に、ゲートスタック217の下に設けられている隣接する隔離領域210に平坦になるように、または、平らになるようにする(図2A)。一定の実施形態では、隔離領域210の、ゲートスタック217が被覆しない表面は、製造プロセス中に凹む。
注入および/またはエッチングを行い、チャネル領域205から離れるようテーパ状の、または勾配のあるプロフィールを提供する実施形態では、エッチング処理110中に除去されるドーピングされたフィン領域208の厚みは、チャネル領域205から離れた箇所でHsiより大きくてよい。このような実施形態では、ソース/ドレインキャビティ420が、ある量、隔離領域210のゲートスタックで保護された領域の下で凹んでおり(破線422)、ソース/ドレイン延長キャビティ421の、チャネル領域205に近い部分は、実質的に、隔離領域210の、ゲートスタックの下にある各部分に平坦である、または、平らである(Hsiに略等しいソース/ドレイン凹部の深さに対応している)。この実施形態では、ソース/ドレイン延長キャビティ421のアンダーカット量XUCは、Hsiの閾値エッチング深さよりも大きなエッチング深さの関数として低減する(422の勾配で示されている)。
処理112で、フィンスペーサ318を除去する。実施形態によって、スペーサ除去処理112は、ドーピングフィンエッチング処理110の前に行っても、ドーピングフィンエッチング処理110の間に行っても、ドーピングフィンエッチング処理110の後に行ってもよい。図4A、図4B、および図4Cに示す実施形態では、ソース/ドレインエッチング処理110は、誘電材料に選択性を有し(例えば、ゲート電極213の誘電体カプセル化を維持するべく)、ゲートスタックスペーサ319およびフィンスペーサ318の両方を、エッチング処理110の後に維持する。このような実施形態では、フィンスペーサ318は、ソース/ドレインキャビティ420の周りに誘電体膜(dielectric veil)を維持する。ソース/ドレインエッチング処理110が誘電体材料にあまり選択性を有さない実施形態では、フィンスペーサ318が、ドーピングフィンエッチング処理110中に部分的にまたはその全体を除去される(この場合、図1の処理110および112を同時に実行する)。
フィンスペーサ318の少なくとも一部が処理110の後に残存する実施形態では、図5Aおよび図5Bを参照して後述するように、ゲートスタックスペーサ319およびゲートキャップ214が残ることを優先させて半導体基板202からフィンスペーサ318を除去する。一実施形態では、等方性エッチングプロセス(ドライまたはウェット)を利用してフィンスペーサ318をエッチングする。このような実施形態では、フィンスペーサ318は、ゲートスタックスペーサ319およびゲートキャップ214のみが部分的に薄くされている間に、隔離領域210の表面からエッチングで除去される。フィンスペーサ318の除去後にも、ゲート電極213がカプセル化されたままで残存している場合には、ゲート電極は、後続するソース/ドレインの再成長中にシード面を提供しないことになる。
図1に戻ると、処理114で、ソース/ドレインキャビティ420(ソース/ドレインキャビティ421を含む)を、選択的エピタキシャル堆積プロセスを利用して、再成長したソース/ドレイン・フィンを形成することができる材料で充填する。図6Aおよび図6Bに示す一実施形態では、ソース/ドレイン・フィン618を形成する材料により、チャネル領域205上に歪みが加わる。特定の実施形態においては、再成長したソース/ドレイン・フィン618を形成する材料にはシリコンが含まれ、基板202の結晶性に準じるが、基板202の格子間隔とは異なる格子間隔を有する。格子間隔の差異により、ソースおよびドレイン延長キャビティ421にシリコン合金を堆積することにより顕著となるMOSトランジスタのチャネル領域に引っ張り応力または圧縮応力が生じる。当業者には既知であるが、引っ張り応力または圧縮応力のいずれを生じさせるかの判断は、NMOSまたはPMOSトランジスタのいずれを形成するかによる。
従って、エピタキシャル堆積処理114により、ソース/ドレイン領域およびソース/ドレイン延長部の再成長を1つのプロセスで行うことができる。再成長させるソース/ドレイン領域で、XUCが0より大きいアンダーカットを充填する実施形態では、エピタキシャル再成長したソース/ドレイン・フィン618が、先端注入を実行して界面のドーパントをチャネルに注入する実施形態よりも(例えばXUCが0)急峻な界面609Aを有するだろう。言い換えると、エピタキシャル再成長ソース/ドレイン・フィン618およびチャネル領域205の間の界面609Aは、再成長プロセスにより、よく定義することができる。界面609Aの片側は、エピタキシャル堆積されたドーピングシリコン材料であり、界面609Aの他の側は、チャネル領域205を構成する基板材料である。再成長ソース/ドレイン・フィン618のドーパントは、チャネル領域205へと拡散するが、この拡散は、XUCの全体(dimension)の位置(つまり、チャネル領域205を有する界面209Aの位置)を制御することで、および、EPI堆積および後続する熱処理の温度を最適化することで行われる。これにより、再成長ソース/ドレイン領域の、多くドーピングされたソース/ドレイン材料を、従来の技術(アンダーカット量XUCが、ゲートスタックに大部分重なる)よりも、チャネル領域205に非常に近接させることができるようになる。当業者であれば理解するように、これにより、ゲートスタックの大きさを小さくしなくても、チャネル長を短くすることができるようになる。
一実施形態では、ソース/ドレイン領域を、少なくともHsiの厚みに再成長させる。さらなる実施形態では、ソース/ドレイン領域の幅を、少なくともWsiに、好ましくはWsiを超える値にまで再成長させる(図6B参照)。再成長したソース/ドレイン・フィン618の高さをHsiとして、チャネル領域205に比較的近接させて配置することで、チャネルに対して大きな静水圧応力が与えられる。前述したように、この応力により、チャネル領域205内の歪みが大きくなり、チャネル内の移動性が上がり、駆動電流が上がる。フィンスペーサ318のない実施形態では、ソース/ドレイン領域を、欠陥がないように、または、側壁の成長制約がある場合よりもかなり欠陥が低くなるように、再成長させる。フィンスペーサ318がない場合、再成長するソース/ドレイン・フィン618の横方向のエピタキシャル成長は妨害を受けないので、{111}面を形成することができ、{111}面への成長を、隔離領域210の一部の上へと続けさせることができる(図6A)。もちろん、エピタキシャル成長面は、下にある基板202の結晶方位に基づいており、つまり、基板の方位が異なれば、エピタキシャル面の方位も異なる。従って、再成長ソース/ドレイン・フィン618の幅は、除去されたドーピングされたフィン領域208の幅より大きくなる。故に、チャネル領域205は、再成長したソース/ドレイン・フィン618の幅より小さい幅Wsiを有している。例えば、再成長したソース/ドレイン・フィン618の幅は、10%と100%の間の比率で、Wsiより大きくすることで、パフォーマンスを最適化することができる。一実施形態では、再成長したソース/ドレイン・フィン618の幅は、高さHsiの少なくとも半分においてWsiより大きい。言い換えると、再成長したソース/ドレイン・フィン618が形成されると、再成長したソース/ドレインの厚みがHsiの約1/2になる頃には、Wsiを超える幅になる。再成長したソース/ドレイン・フィン618の幅を比較的広げることで、金属化コンタクトが形成される表面積をより大きくすることができ、幅がWsiであるソース/ドレイン領域よりもRexternalを小さくすることができる。再成長したソース/ドレイン・フィン618の幅が大きくなると、チャネル領域205にかかる歪みの量も大きくなる。
一定の実施形態では、シリコン合金を、再成長したソース/ドレイン・フィン618に利用する。合金は、チャネル領域205に歪みを加えることができる。実施形態によっては、合金がインサイチューでホウ素ドーピングされたシリコンゲルマニウムであってもよいし(圧縮歪みをかけられたチャネルを有するPMOSマルチゲートトランジスタ用)、インサイチューで炭素およびリンをドーピングされたシリコンであってもよいし(引っ張り歪みをかけられたチャネルを有するNMOSマルチゲートトランジスタ用)、または、インサイチューでリンをドーピングされたシリコンであってもよい。また別の実施形態では、他のシリコン合金を利用してもよい。例えば、利用可能な別のシリコン合金材料には、これらに限定はされないが、ニッケルシリサイド、ケイ化チタン、コバルトシリサイド等を含み、ホウ素および/またはアルミニウムのうち1以上でドーピングされてもよい。また別の実施形態では、シリコンではない材料を利用してもよい(例えば純粋なゲルマニウム、ゲルマニウム塩(germatet)等)。
あるNMOSトランジスタの実施形態では、再成長したソース/ドレイン・フィン618は、炭素ドーピングされたシリコンを充填される。炭素ドーピングシリコンは、エピタキシャルで選択的に堆積することができる。さらなる実装例では、炭素ドーピングシリコンはさらに、インサイチューでリンをドーピングされてもよい。炭素濃度は、0.5原子%から5.0原子%の範囲であってよい。リン濃度は、5x1019/cmから3x1021cmの間の範囲であってよい。炭素ドーピングシリコンの厚みは、400オングストロームから1200オングストロームの間の範囲であってよい。炭素およびリンをドーピングされたシリコンは、(C,P)Si(1−y)と記述されてよい。ドーピングされた(C,P)Si(1−y)のソースおよびドレイン領域の堆積は、同時に流れる(co-flown)または循環して堆積されることを利用した化学気相堆積反応器、および、エッチングシーケンスプロセス(co-flown or cyclically deposition and etch sequenced process)を利用して実行される。一例では、循環堆積法およびシラン(SiH)、ジクロロシラン(diclholorosilane)、ジシラン、PH、CHSiH、および、塩素(Cl)またはHCl化学物質を利用するエッチングで形成する。
1つのPMOSトランジスタ実施形態で、再成長したソース/ドレイン・フィン618に、シリコンゲルマニウムを充填してよい。シリコンゲルマニウムはエピタキシャル堆積してよい。ゲルマニウム濃度は、10原子%と80原子%の間の範囲であってよい。さらなる実装例では、シリコンゲルマニウムはさらに、インサイチューにホウ素をドーピングされてもよい。ホウ素濃度は、2x1019/cmから2x1021/cmの範囲であってよい。シリコンゲルマニウムの厚みは、40オングストロームから1500オングストロームの間の範囲であってよい。ドーピングシリコンゲルマニウムの堆積は、CVD反応器、LPCVD反応器、または、超高真空CVD(UHVCVD)で行うことができる。反応器の温度は、摂氏600度と摂氏800度との間の範囲であってよく、反応器の圧力は、1Torrと760Torrとの間の範囲であってよい。キャリアガスは、水素とヘリウムとからなってよく、その流量は10SLMと50SLMの間の範囲であってよい。
当業者であれば理解するように、マルチゲートMOSトランジスタにさらなる処理(例えば、トランジスタをさらに修正したり、必要な電気相互接続を提供したりするための置換ゲート酸化プロセス、置換金属ゲートプロセス、アニーリング、または、ケイ素化プロセス(salicidation process))を施してもよい。例えば、再成長させたソース/ドレイン・フィン618のエピタキシャル堆積の後に、層間誘電体(ILD)が、マルチゲートデバイスの上に堆積され平坦化されてよい(図1の処理116および図7にも示す)。フィンスペーサ618は除去されているので、ILD723を、再成長したソース/ドレイン・フィン618の側壁に直接堆積することができるので、ゲートスタックスペーサ319の側壁および高さHsiの再成長したソース/ドレイン・フィン618の側壁部分の両方に接触させることができる。ILD723は、例えば低誘電率材料等の集積回路構造用の誘電層に利用可能なことが知られている材料で形成されてよい。これら誘電材料には、これらに限定はされないが、二酸化ケイ素(SiO)および炭素ドーピングされた酸化物(CDO)、窒化シリコン、有機ポリマー(例えば、ペルフルオロシクロブタン(perfluorocyclobutane)、ポリテトラフルオロエチレン(polytetrafluoroethylene)、ケイフッ化ガラス(FSG))、および、有機シリケート(例えば、シルセスキオキサン、シロキサン、または有機シリケートガラス)が含まれてよい。誘電体層723は、孔その他の空隙を含むと、さらに誘導率が下がる。
次に、置換金属ゲートプロセスを利用する本発明の実施形態で、処理118でエッチングプロセスによりゲートスタック217を除去して、延長部キャビティ421に充填された再成長したドレイン/ソース延長部618Aを露呈させる。ゲートスタック217の層を除去する方法は当技術分野で公知である。別の実装例では、ゲート電極213およびゲートキャップ214のみを除去して、ゲート誘電体212を露呈させる。図8は、ゲートスタックをエッチングで除去した後で形成されたトレンチ開口を示す。
図1に戻ると、ゲート誘電体層を除去して、処理120で、新たなゲート誘電体層をチャネル領域205の上のトレンチ開口に堆積させてよい。前述した高誘電率の材料(例えば、酸化ハフニウム)をここで利用することができる。同じ堆積プロセスを利用することもできる。ゲート誘電体層の置換を行って、ドライおよびウェットエッチングプロセスを行う間に元のゲート誘電体層に生じうる損傷を解消することができる。次に、金属ゲート電極層をゲート誘電体層の上に堆積させる。従来の金属堆積プロセス(例えばCVD、ALD、PVD、無電極めっき法、電気めっき法等)を利用して金属ゲート電極層を形成することができる。図9は、トレンチ開口内に堆積され、再成長したドレイン/ソース延長部618Aがゲート電極層924の下に設けられた(ゲート電極層926およびゲートスタックスペーサ319の側壁に接触しているゲート誘電体層924の一部の下にあってもよいし、ゲート電極926の下に設けられたゲート誘電体層924の一部の下にあってもよい)、高誘電率ゲート誘電体層924およびゲート電極層926を示す。
ゲート電極層926は、トランジスタがPMOSまたはNMOSトランジスタのいずれかであるかに応じて、P型仕事関数の金属またはN型仕事関数の金属から形成されてよい。一部の実装例では、PMOSトランジスタを形成する場合、P型仕事関数の金属層を形成するのに利用することができる材料には、これらに限定はされないが、ルテニウム、パラジウム、プラチナ、コバルト、ニッケル、および導電性金属酸化物(例えば酸化ルテニウム)が含まれる)が含まれる。P型金属層により、仕事関数が約4.9eVおよび約5.2eVの間のPMOSゲート電極を形成することができるようになる。一部の実装例では、NMOSトランジスタを形成する場合、N型仕事関数の金属層を形成するのに利用することができる材料には、これらに限定はされないが、ハフニウム、ジルコニウム、チタニウム、タンタル、アルミニウム、およびこれらの合金(例えば、これら元素を含む金属炭化物、すなわち炭化ハフニウム、炭化ジルコニウム、炭化チタニウム、炭化タンタル、炭化アルミニウム等)が含まれる)が含まれる。N型金属層により、仕事関数が約3.9eVおよび約4.2eVの間のNMOSゲート電極を形成することができるようになる。一部の実装例では、2以上の金属ゲート電極層を堆積することができる。例えば、アルミニウム金属のような、金属ゲート電極を充填する金属の後に、ある仕事関数を有する金属が堆積されてよい。もちろん、従来技術に従ってドーピングポリシリコン、ケイ化物シリコン(silicided silicon)等を利用することもできる。
以上のようにして、増加したドーピングシリコン量(例えばホウ素ドーピングシリコンゲルマニウムの量のこと)および低減したチャネルシリコン量の組み合わせによりチャネル歪みを増加させマルチゲートトランジスタの全抵抗を低減させることのできる、自己整合したエピタキシャルに再成長したソース/ドレイン領域を有するマルチゲートトランジスタを開示してきた。エピタキシャルソース/ドレイン延長部は、およそ、フィンの高さ全体Hsi分、延び、チャネル領域とソース/ドレイン領域の間に急峻な境界を形成して、ドーピング濃度の制御が容易であり、ソース−ドレインプロフィールの最適化が容易である。
上述した本発明の実施形態は、要約も含めて、本発明の全貌を示す意図も、本発明を示された態様そのものに限定する意図もない。本発明の具体的な実装例は例示を目的としたものであり、本発明の範囲内で様々な均等物である変形例が可能であることを当業者であれば理解する。本発明の範囲は、請求項解釈について確立されている原理に基づいて構築される以下の請求項によってのみ限定される。
上述した本発明の実施形態は、要約も含めて、本発明の全貌を示す意図も、本発明を示された態様そのものに限定する意図もない。本発明の具体的な実装例は例示を目的としたものであり、本発明の範囲内で様々な均等物である変形例が可能であることを当業者であれば理解する。本発明の範囲は、請求項解釈について確立されている原理に基づいて構築される以下の請求項によってのみ限定される。なお、本明細書に係る発明は以下の項目により実施されてもよい。
[項目1]
マルチゲートトランジスタを形成する方法であって、
ゲートを連結したチャネル側壁の高さがH si である半導体フィンのチャネル領域の上にゲートスタックを形成する段階と、
前記ゲートスタックに隣接する前記半導体フィンのソース/ドレイン領域内に、エッチングレートを制御するドーパントを注入する段階と、
ドーピングされたフィン領域をエッチングして、前記半導体フィンの、H si に等しい厚みを除去して、前記ゲートスタックの一部の下にある半導体基板の部分を露呈させるソース/ドレイン延長キャビティを形成する段階と、
前記露呈した半導体基板の上に材料を成長させて、再成長したソース/ドレイン・フィン領域を形成する段階と
を備え、
前記再成長したソース/ドレイン・フィン領域は、前記ソース/ドレイン延長キャビティを充填し、前記チャネルの長さ方向に平行な方向において前記ゲートスタックから離れる方向に延び、
前記ドーピングされたフィン領域の前記エッチングにより、トランジスタチャネル幅(W si )方向と高さ方向とに垂直な方向に、前記高さH si において一定であるアンダーカット長(X UC )を有する前記ソース/ドレイン延長キャビティが形成される方法。
[項目2]
前記再成長したソース/ドレイン・フィン領域を、トランジスタチャネル幅(W si )に平行な方向において、W si より大きい最大幅に成長させる項目1に記載の方法。
[項目3]
前記ドーピングされたフィン領域のエッチングレートは、前記下にある半導体基板のエッチングレートより大きく、前記露呈した半導体基板に再成長させた前記材料はシリコンを含む項目1に記載の方法。
[項目4]
前記アンダーカット長(X UC )は、前記ゲートスタックの一部の下にあり、前記高さH si より大きいエッチング深さでは低減する項目3に記載の方法。
[項目5]
前記アンダーカット長(X UC )は、前記トランジスタチャネル幅(W si )にわたり一定である項目3に記載の方法。
[項目6]
前記ドーパントを注入した後に、前記ゲートスタックの横方向の向かい合う側壁上に第1のスペーサ対を形成して、前記半導体フィンの横方向の向かい合う側面上に第2のスペーサ対を形成する段階と、
前記シリコンを含む材料を成長させる前に前記第2のスペーサ対を、前記ゲートスタックのゲート電極層を露呈させるに足る程度に前記第1のスペーサ対を除去しないよう、除去する段階と
をさらに備え、
前記第1のスペーサ対は、前記半導体フィンの前記注入された領域の上に設けられ、前記第2のスペーサ対は、前記半導体フィンの前記注入された領域に隣接して設けられる
項目3に記載の方法。
[項目7]
前記第2のスペーサ対を除去する段階は、前記ドーピングされたフィン領域のエッチングの後にエッチングを行う段階をさらに有する項目6に記載の方法。
[項目8]
前記第2のスペーサ対を除去する段階は、さらに、前記ドーピングされたフィン領域のエッチング中にエッチングを行う段階をさらに有する項目6に記載の方法。
[項目9]
前記ドーピングされたフィン領域をエッチングして、前記半導体フィンの、少なくともH si に等しい厚みを除去する段階は、さらに、チャネル領域に近接した前記半導体フィンの領域における、H si に等しい厚み分をエッチングにより除去して、前記チャネル領域から遠い前記半導体フィンの領域の、H si より大きい厚みをエッチングにより除去する段階を有する項目1に記載の方法。
[項目10]
前記チャネル領域から遠い前記半導体フィンの前記領域の、H si より大きい厚みのエッチングによる除去は、隔離上面よりも下の位置にまで前記半導体基板を後退させることを含む項目9に記載の方法。
[項目11]
前記ドーパントを注入する段階は、炭素、リン、またはヒ素のうちの少なくとも1つを注入する段階を含み、
前記ドーピングされたフィン領域をエッチングする段階は、NF 、HBr、SF 、およびArからなる群から選択された別の化合物と、Cl との混合物を含むドライエッチングを含む項目1に記載の方法。
[項目12]
半導体基板から延びる半導体フィンの、ゲートを連結したチャネル側壁の高さがH si であるチャネル領域の上に設けられたゲート誘電体とゲート電極とを含むゲートスタックと、
前記基板上に設けられ、前記チャネル領域に隣接したソース/ドレイン延長領域を含む、再成長したソース/ドレイン半導体フィンと
を備え、
前記ソース/ドレイン延長領域と前記チャネル領域とで、H si に等しい高さに沿った界面が形成され、
前記ソース/ドレイン延長領域は、トランジスタチャネル幅(W si )方向と高さ方向とに垂直な方向に、前記ゲートスタックの下に重なる量が、前記高さH si において一定であり、
前記ソース/ドレイン延長領域が前記ゲートスタックと重なる量は、ゲート誘電体界面から測った高さがH si より大きくなると低減する
マルチゲートトランジスタ。
[項目13]
前記チャネル領域から離れる方向の前記再成長したソースドレイン・フィンの高さは、少なくともH si に等しく、トランジスタチャネルの幅(W si )に平行な大きさに沿った再成長したソース/ドレイン・フィンの幅は、W si より大きい項目12に記載のマルチゲートトランジスタ。
[項目14]
前記再成長したソース/ドレイン・フィンの幅は、前記高さH si の少なくとも半分の場所でW si より大きい項目13に記載のマルチゲートトランジスタ。
[項目15]
前記ゲートスタックの横方向の向かい合う側面は誘電体スペーサに隣接しており、層間誘電体(ILD)は、前記誘電体スペーサの外部側壁および前記高さH si 内に位置する前記再成長したソース/ドレイン・フィンの側壁部分の両方に接触している項目12に記載のマルチゲートトランジスタ。
[項目16]
前記ゲートスタックは、高誘電率ゲート誘電体層および金属ゲート電極を含み、
前記再成長したソース/ドレイン・フィン領域は、炭素およびリンをドーピングされたシリコン、または、ホウ素ドーピングされたシリコンゲルマニウムを含むことで、前記チャネル領域に歪みを与える項目12に記載のマルチゲートトランジスタ。
[項目17]
前記ソース/ドレイン延長領域は、前記高誘電率ゲート誘電体層から下方に、0より大きい距離分存在している項目16に記載のマルチゲートトランジスタ。

Claims (17)

  1. マルチゲートトランジスタを形成する方法であって、
    ゲートを連結したチャネル側壁の高さがHsiである半導体フィンのチャネル領域の上にゲートスタックを形成する段階と、
    前記ゲートスタックに隣接する前記半導体フィンのソース/ドレイン領域内に、エッチングレートを制御するドーパントを注入する段階と、
    ドーピングされたフィン領域をエッチングして、前記半導体フィンの、Hsiに等しい厚みを除去して、前記ゲートスタックの一部の下にある半導体基板の部分を露呈させるソース/ドレイン延長キャビティを形成する段階と、
    前記露呈した半導体基板の上に材料を成長させて、再成長したソース/ドレイン・フィン領域を形成する段階と
    を備え、
    前記再成長したソース/ドレイン・フィン領域は、前記ソース/ドレイン延長キャビティを充填し、前記チャネルの長さ方向に平行な方向において前記ゲートスタックから離れる方向に延び、
    前記ドーピングされたフィン領域の前記エッチングにより、トランジスタチャネル幅(Wsi)方向と高さ方向とに垂直な方向に、前記高さHsiにおいて一定であるアンダーカット長(XUC)を有する前記ソース/ドレイン延長キャビティが形成される方法。
  2. 前記再成長したソース/ドレイン・フィン領域を、トランジスタチャネル幅(Wsi)に平行な方向において、Wsiより大きい最大幅に成長させる請求項1に記載の方法。
  3. 前記ドーピングされたフィン領域のエッチングレートは、前記下にある半導体基板のエッチングレートより大きく、前記露呈した半導体基板に再成長させた前記材料はシリコンを含む請求項1に記載の方法。
  4. 前記アンダーカット長(XUC)は、前記ゲートスタックの一部の下にあり、前記高さHsiより大きいエッチング深さでは低減する請求項3に記載の方法。
  5. 前記アンダーカット長(XUC)は、前記トランジスタチャネル幅(Wsi)にわたり一定である請求項3に記載の方法。
  6. 前記ドーパントを注入した後に、前記ゲートスタックの横方向の向かい合う側壁上に第1のスペーサ対を形成して、前記半導体フィンの横方向の向かい合う側面上に第2のスペーサ対を形成する段階と、
    前記シリコンを含む材料を成長させる前に前記第2のスペーサ対を、前記ゲートスタックのゲート電極層を露呈させるに足る程度に前記第1のスペーサ対を除去しないよう、除去する段階と
    をさらに備え、
    前記第1のスペーサ対は、前記半導体フィンの前記注入された領域の上に設けられ、前記第2のスペーサ対は、前記半導体フィンの前記注入された領域に隣接して設けられる
    請求項3に記載の方法。
  7. 前記第2のスペーサ対を除去する段階は、前記ドーピングされたフィン領域のエッチングの後にエッチングを行う段階をさらに有する請求項6に記載の方法。
  8. 前記第2のスペーサ対を除去する段階は、さらに、前記ドーピングされたフィン領域のエッチング中にエッチングを行う段階をさらに有する請求項6に記載の方法。
  9. 前記ドーピングされたフィン領域をエッチングして、前記半導体フィンの、少なくともHsiに等しい厚みを除去する段階は、さらに、チャネル領域に近接した前記半導体フィンの領域における、Hsiに等しい厚み分をエッチングにより除去して、前記チャネル領域から遠い前記半導体フィンの領域の、Hsiより大きい厚みをエッチングにより除去する段階を有する請求項1に記載の方法。
  10. 前記チャネル領域から遠い前記半導体フィンの前記領域の、Hsiより大きい厚みのエッチングによる除去は、隔離上面よりも下の位置にまで前記半導体基板を後退させることを含む請求項9に記載の方法。
  11. 前記ドーパントを注入する段階は、炭素、リン、またはヒ素のうちの少なくとも1つを注入する段階を含み、
    前記ドーピングされたフィン領域をエッチングする段階は、NF、HBr、SF、およびArからなる群から選択された別の化合物と、Clとの混合物を含むドライエッチングを含む請求項1に記載の方法。
  12. 半導体基板から延びる半導体フィンの、ゲートを連結したチャネル側壁の高さがHsiであるチャネル領域の上に設けられたゲート誘電体とゲート電極とを含むゲートスタックと、
    前記基板上に設けられ、前記チャネル領域に隣接したソース/ドレイン延長領域を含む、再成長したソース/ドレイン半導体フィンと
    を備え、
    前記ソース/ドレイン延長領域と前記チャネル領域とで、Hsiに等しい高さに沿った界面が形成され、
    前記ソース/ドレイン延長領域は、トランジスタチャネル幅(Wsi)方向と高さ方向とに垂直な方向に、前記ゲートスタックの下に重なる量が、前記高さHsiにおいて一定であり、
    前記ソース/ドレイン延長領域が前記ゲートスタックと重なる量は、ゲート誘電体界面から測った高さがHsiより大きくなると低減する
    マルチゲートトランジスタ。
  13. 前記チャネル領域から離れる方向の前記再成長したソースドレイン・フィンの高さは、少なくともHsiに等しく、トランジスタチャネルの幅(Wsi)に平行な大きさに沿った再成長したソース/ドレイン・フィンの幅は、Wsiより大きい請求項12に記載のマルチゲートトランジスタ。
  14. 前記再成長したソース/ドレイン・フィンの幅は、前記高さHsiの少なくとも半分の場所でWsiより大きい請求項13に記載のマルチゲートトランジスタ。
  15. 前記ゲートスタックの横方向の向かい合う側面は誘電体スペーサに隣接しており、層間誘電体(ILD)は、前記誘電体スペーサの外部側壁および前記高さHsi内に位置する前記再成長したソース/ドレイン・フィンの側壁部分の両方に接触している請求項12に記載のマルチゲートトランジスタ。
  16. 前記ゲートスタックは、高誘電率ゲート誘電体層および金属ゲート電極を含み、
    前記再成長したソース/ドレイン・フィン領域は、炭素およびリンをドーピングされたシリコン、または、ホウ素ドーピングされたシリコンゲルマニウムを含むことで、前記チャネル領域に歪みを与える請求項12に記載のマルチゲートトランジスタ。
  17. 前記ソース/ドレイン延長領域は、前記高誘電率ゲート誘電体層から下方に、0より大きい距離分存在している請求項16に記載のマルチゲートトランジスタ。
JP2015111261A 2009-12-23 2015-06-01 マルチゲートトランジスタ及びその製造方法 Active JP6284502B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/646,518 US8313999B2 (en) 2009-12-23 2009-12-23 Multi-gate semiconductor device with self-aligned epitaxial source and drain
US12/646,518 2009-12-23

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012544544A Division JP5756996B2 (ja) 2009-12-23 2010-11-19 マルチゲートトランジスタおよび形成する方法

Publications (2)

Publication Number Publication Date
JP2015188102A true JP2015188102A (ja) 2015-10-29
JP6284502B2 JP6284502B2 (ja) 2018-02-28

Family

ID=44149866

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012544544A Expired - Fee Related JP5756996B2 (ja) 2009-12-23 2010-11-19 マルチゲートトランジスタおよび形成する方法
JP2015111261A Active JP6284502B2 (ja) 2009-12-23 2015-06-01 マルチゲートトランジスタ及びその製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2012544544A Expired - Fee Related JP5756996B2 (ja) 2009-12-23 2010-11-19 マルチゲートトランジスタおよび形成する方法

Country Status (8)

Country Link
US (1) US8313999B2 (ja)
EP (1) EP2517231B1 (ja)
JP (2) JP5756996B2 (ja)
KR (1) KR101380984B1 (ja)
CN (2) CN102656672B (ja)
HK (2) HK1175028A1 (ja)
TW (1) TWI450341B (ja)
WO (1) WO2011087571A1 (ja)

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8716798B2 (en) 2010-05-13 2014-05-06 International Business Machines Corporation Methodology for fabricating isotropically recessed source and drain regions of CMOS transistors
US20110278580A1 (en) * 2010-05-13 2011-11-17 International Business Machines Corporation Methodology for fabricating isotropically source regions of cmos transistors
US8431995B2 (en) * 2010-05-13 2013-04-30 International Business Machines Corporation Methodology for fabricating isotropically recessed drain regions of CMOS transistors
US8558279B2 (en) * 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
US8614134B2 (en) * 2011-03-21 2013-12-24 Globalfoundries Inc. Shallow source and drain architecture in an active region of a semiconductor device having a pronounced surface topography by tilted implantation
JP5325932B2 (ja) * 2011-05-27 2013-10-23 株式会社東芝 半導体装置およびその製造方法
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US8871584B2 (en) * 2011-07-27 2014-10-28 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
US9076817B2 (en) * 2011-08-04 2015-07-07 International Business Machines Corporation Epitaxial extension CMOS transistor
US8674433B2 (en) * 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
CN102983079B (zh) * 2011-09-06 2017-12-19 联华电子股份有限公司 半导体工艺
US9202699B2 (en) 2011-09-30 2015-12-01 Intel Corporation Capping dielectric structure for transistor gates
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
KR101685555B1 (ko) * 2011-09-30 2016-12-12 인텔 코포레이션 비 평면형 트랜지스터용의 텅스텐 게이트 및 그 제조방법
CN103858215B (zh) * 2011-09-30 2016-12-07 英特尔公司 非平坦晶体管以及其制造的方法
DE112011105702T5 (de) 2011-10-01 2014-07-17 Intel Corporation Source-/Drain-Kontakte für nicht planare Transistoren
US9040399B2 (en) * 2011-10-27 2015-05-26 International Business Machines Corporation Threshold voltage adjustment for thin body MOSFETs
WO2013085490A1 (en) 2011-12-06 2013-06-13 Intel Corporation Interlayer dielectric for non-planar transistors
WO2013095550A1 (en) 2011-12-22 2013-06-27 Intel Corporation Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
KR101876793B1 (ko) * 2012-02-27 2018-07-11 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US8586455B1 (en) * 2012-05-15 2013-11-19 International Business Machines Corporation Preventing shorting of adjacent devices
US8664072B2 (en) 2012-05-30 2014-03-04 Globalfoundries Inc. Source and drain architecture in an active region of a P-channel transistor by tilted implantation
JP2013258188A (ja) * 2012-06-11 2013-12-26 Hitachi Kokusai Electric Inc 基板処理方法と半導体装置の製造方法、および基板処理装置
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9728464B2 (en) * 2012-07-27 2017-08-08 Intel Corporation Self-aligned 3-D epitaxial structures for MOS device fabrication
US8993402B2 (en) * 2012-08-16 2015-03-31 International Business Machines Corporation Method of manufacturing a body-contacted SOI FINFET
US8963206B2 (en) * 2012-08-27 2015-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for increasing fin density
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8846477B2 (en) * 2012-09-27 2014-09-30 Globalfoundries Inc. Methods of forming 3-D semiconductor devices using a replacement gate technique and a novel 3-D device
US8633516B1 (en) * 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US9006065B2 (en) * 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US8866235B2 (en) * 2012-11-09 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain dislocation fabrication in FinFETs
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US20140138777A1 (en) * 2012-11-21 2014-05-22 Qualcomm Incorporated Integrated circuit device and method for making same
TWI643346B (zh) 2012-11-22 2018-12-01 三星電子股份有限公司 在凹處包括一應力件的半導體裝置及其形成方法(三)
KR102059526B1 (ko) * 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
CN103855022B (zh) * 2012-12-04 2017-06-13 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN103855027B (zh) * 2012-12-06 2017-01-25 中国科学院微电子研究所 FinFET及其制造方法
US20140167163A1 (en) * 2012-12-17 2014-06-19 International Business Machines Corporation Multi-Fin FinFETs with Epitaxially-Grown Merged Source/Drains
US8768271B1 (en) * 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US8927377B2 (en) 2012-12-27 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming FinFETs with self-aligned source/drain
US8809171B2 (en) 2012-12-28 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming FinFETs having multiple threshold voltages
US8853025B2 (en) * 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US8987791B2 (en) * 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9362386B2 (en) 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US9231106B2 (en) * 2013-03-08 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with an asymmetric source/drain structure and method of making same
US8927373B2 (en) * 2013-03-13 2015-01-06 Samsung Electronics Co, Ltd. Methods of fabricating non-planar transistors including current enhancing structures
GB2527214B (en) * 2013-03-29 2020-06-17 Intel Corp Transistor Architecture having extended recessed spacer and source/drain regions and method of making same
US9257327B2 (en) * 2013-04-09 2016-02-09 Samsung Electronics Co., Ltd. Methods of forming a Field Effect Transistor, including forming a region providing enhanced oxidation
US9331176B2 (en) 2013-04-25 2016-05-03 Samsung Electronics Co., Ltd. Methods of forming field effect transistors, including forming source and drain regions in recesses of semiconductor fins
US9219133B2 (en) * 2013-05-30 2015-12-22 Stmicroelectronics, Inc. Method of making a semiconductor device using spacers for source/drain confinement
US9093532B2 (en) * 2013-06-21 2015-07-28 International Business Machines Corporation Overlapped III-V finFET with doped semiconductor extensions
US8957478B2 (en) 2013-06-24 2015-02-17 International Business Machines Corporation Semiconductor device including source/drain formed on bulk and gate channel formed on oxide layer
KR102064330B1 (ko) 2013-06-29 2020-01-09 인텔 코포레이션 멀티 게이트 트랜지스터를 가진 압전 저항 공진기
US9105707B2 (en) * 2013-07-24 2015-08-11 International Business Machines Corporation ZRAM heterochannel memory
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9520494B2 (en) * 2013-09-26 2016-12-13 Intel Corporation Vertical non-planar semiconductor device for system-on-chip (SoC) applications
CN110071168B (zh) * 2013-09-27 2022-08-16 英特尔公司 Ge和III-V族沟道半导体器件及制造方法
US9306063B2 (en) 2013-09-27 2016-04-05 Intel Corporation Vertical transistor devices for embedded memory and logic technologies
US9166024B2 (en) * 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
KR102117978B1 (ko) * 2013-11-19 2020-06-02 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 설비
KR102135303B1 (ko) 2013-12-27 2020-07-17 인텔 코포레이션 확산된 팁 연장 트랜지스터
CN104752211B (zh) * 2013-12-30 2018-12-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US9087900B1 (en) 2014-01-07 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
KR102157839B1 (ko) 2014-01-21 2020-09-18 삼성전자주식회사 핀-전계효과 트랜지스터의 소오스/드레인 영역들을 선택적으로 성장시키는 방법
US10164107B2 (en) 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9853154B2 (en) * 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
KR102151768B1 (ko) * 2014-01-27 2020-09-03 삼성전자주식회사 반도체 장치 및 그 제조방법
US9660035B2 (en) * 2014-01-29 2017-05-23 International Business Machines Corporation Semiconductor device including superlattice SiGe/Si fin structure
KR102193493B1 (ko) 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20150228503A1 (en) * 2014-02-07 2015-08-13 Applied Materials, Inc. Hardmask trimming in semiconductor fin patterning
US9437738B2 (en) * 2014-02-07 2016-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Field effect transistor with heterostructure channel
US9059043B1 (en) * 2014-02-11 2015-06-16 International Business Machines Corporation Fin field effect transistor with self-aligned source/drain regions
US9246005B2 (en) 2014-02-12 2016-01-26 International Business Machines Corporation Stressed channel bulk fin field effect transistor
US9871037B2 (en) * 2014-02-26 2018-01-16 Taiwan Semiconductor Manufacturing Company Limited Structures and methods for fabricating semiconductor devices using fin structures
JP6361180B2 (ja) * 2014-03-10 2018-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
KR102178831B1 (ko) * 2014-03-13 2020-11-13 삼성전자 주식회사 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9882027B2 (en) 2014-03-27 2018-01-30 Intel Corporation Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions
KR102017611B1 (ko) 2014-04-04 2019-09-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US20170179275A1 (en) * 2014-04-04 2017-06-22 Tang ZONG Fin-type semiconductor structure and method for forming the same
US9721955B2 (en) * 2014-04-25 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device having an oxide feature
US9761721B2 (en) * 2014-05-20 2017-09-12 International Business Machines Corporation Field effect transistors with self-aligned extension portions of epitaxial active regions
KR102160100B1 (ko) * 2014-05-27 2020-09-25 삼성전자 주식회사 반도체 장치 제조 방법
US9431540B2 (en) * 2014-05-28 2016-08-30 Stmicroelectronics, Inc. Method for making a semiconductor device with sidewall spacers for confining epitaxial growth
US9496270B2 (en) 2014-05-30 2016-11-15 Qualcomm Incorporated High density single-transistor antifuse memory cell
US9660057B2 (en) * 2014-06-17 2017-05-23 Stmicroelectronics, Inc. Method of forming a reduced resistance fin structure
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US20150380526A1 (en) * 2014-06-27 2015-12-31 Applied Materials, Inc. Methods for forming fin structures with desired dimensions for 3d structure semiconductor applications
US20160005868A1 (en) * 2014-07-01 2016-01-07 Globalfoundries Inc. Finfet with confined epitaxy
TWI615976B (zh) 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
KR102227128B1 (ko) 2014-09-03 2021-03-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN105470135B (zh) * 2014-09-11 2018-11-06 中国科学院微电子研究所 半导体器件制造方法
US9818877B2 (en) 2014-09-18 2017-11-14 International Business Machines Corporation Embedded source/drain structure for tall finFET and method of formation
US10559690B2 (en) 2014-09-18 2020-02-11 International Business Machines Corporation Embedded source/drain structure for tall FinFET and method of formation
CN105489494B (zh) * 2014-10-09 2020-03-31 联华电子股份有限公司 半导体元件及其制作方法
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
CN105633152B (zh) * 2014-11-05 2019-12-10 联华电子股份有限公司 半导体结构及其制作方法
US9660059B2 (en) * 2014-12-12 2017-05-23 International Business Machines Corporation Fin replacement in a field-effect transistor
US9515072B2 (en) * 2014-12-26 2016-12-06 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof
US9362278B1 (en) * 2014-12-29 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with multiple dislocation planes and method for forming the same
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9406680B1 (en) * 2015-02-13 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9991343B2 (en) * 2015-02-26 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. LDD-free semiconductor structure and manufacturing method of the same
US9484250B2 (en) * 2015-03-10 2016-11-01 International Business Machines Corporation Air gap contact formation for reducing parasitic capacitance
US9899268B2 (en) 2015-03-11 2018-02-20 Globalfoundries Inc. Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device
KR20160112778A (ko) 2015-03-20 2016-09-28 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체
KR102224849B1 (ko) * 2015-03-24 2021-03-08 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 제조 방법
US9450078B1 (en) 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
US9520394B1 (en) * 2015-05-21 2016-12-13 International Business Machines Corporation Contact structure and extension formation for III-V nFET
US9397005B1 (en) * 2015-07-20 2016-07-19 International Business Machines Corporation Dual-material mandrel for epitaxial crystal growth on silicon
US11049939B2 (en) 2015-08-03 2021-06-29 Semiwise Limited Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
WO2017044117A1 (en) 2015-09-11 2017-03-16 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
US20180240874A1 (en) * 2015-09-25 2018-08-23 Intel Corporation Resistance reduction under transistor spacers
US9536981B1 (en) * 2015-09-29 2017-01-03 International Business Machines Corporation Field effect transistor device spacers
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
US9431486B1 (en) 2015-11-30 2016-08-30 International Business Machines Corporation Channel strain and controlling lateral epitaxial growth of the source and drain in FinFET devices
US9799649B2 (en) * 2015-12-17 2017-10-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
US10403733B2 (en) * 2015-12-24 2019-09-03 Intel Corporation Dielectric metal oxide cap for channel containing germanium
US9570567B1 (en) * 2015-12-30 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain process for FinFET
US9536989B1 (en) 2016-02-15 2017-01-03 Globalfoundries Inc. Field-effect transistors with source/drain regions of reduced topography
US9837538B2 (en) * 2016-03-25 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9954109B2 (en) 2016-05-05 2018-04-24 International Business Machines Corporation Vertical transistor including controlled gate length and a self-aligned junction
WO2017218014A1 (en) 2016-06-17 2017-12-21 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
WO2018004680A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Self-aligned gate edge trigate and finfet devices
CN107644816B (zh) * 2016-07-22 2020-09-25 中芯国际集成电路制造(上海)有限公司 FinFET半导体器件及其制造方法
US10269940B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10516037B2 (en) * 2017-06-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming shaped source/drain epitaxial layers of a semiconductor device
US10217660B2 (en) * 2017-07-18 2019-02-26 Globalfoundries Inc. Technique for patterning active regions of transistor elements in a late manufacturing stage
CN109659233B (zh) * 2017-10-12 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10297675B1 (en) * 2017-10-27 2019-05-21 Globalfoundries Inc. Dual-curvature cavity for epitaxial semiconductor growth
US10355104B2 (en) 2017-10-27 2019-07-16 Globalfoundries Inc. Single-curvature cavity for semiconductor epitaxy
US10586853B2 (en) 2017-11-27 2020-03-10 International Business Machines Corporation Non-planar field effect transistor devices with wrap-around source/drain contacts
EP3718142A4 (en) * 2017-11-30 2021-09-22 Intel Corporation STRUCTURING RIBS FOR THE PRODUCTION OF AN INTEGRATED CIRCUIT
CN109979986B (zh) * 2017-12-28 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11239342B2 (en) 2018-06-28 2022-02-01 International Business Machines Corporation Vertical transistors having improved control of top source or drain junctions
US10600885B2 (en) 2018-08-20 2020-03-24 International Business Machines Corporation Vertical fin field effect transistor devices with self-aligned source and drain junctions
US10741451B2 (en) * 2018-10-03 2020-08-11 Globalfoundries Inc. FinFET having insulating layers between gate and source/drain contacts
US11069579B2 (en) * 2018-10-19 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN113327894A (zh) * 2020-02-28 2021-08-31 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20220102554A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Gate and fin trim isolation for advanced integrated circuit structure fabrication
US11373696B1 (en) 2021-02-19 2022-06-28 Nif/T, Llc FFT-dram

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002118255A (ja) * 2000-07-31 2002-04-19 Toshiba Corp 半導体装置およびその製造方法
US20050087824A1 (en) * 2003-10-24 2005-04-28 International Business Machines Corporation High performance fet with laterally thin extension
US20060286755A1 (en) * 2005-06-15 2006-12-21 Brask Justin K Method for fabricating transistor with thinned channel
US20070004123A1 (en) * 2005-06-30 2007-01-04 Bohr Mark T Transistor with improved tip profile and method of manufacture thereof
JP2007208160A (ja) * 2006-02-06 2007-08-16 Renesas Technology Corp 半導体装置およびその製造方法
JP2008533695A (ja) * 2005-01-04 2008-08-21 インテル・コーポレーション Cvdエッチングおよび堆積シーケンスにより形成されるcmosトランジスタ接合領域
US20090032841A1 (en) * 2007-08-01 2009-02-05 Manfred Eller Semiconductor Devices and Methods of Manufacture Thereof
JP2009517867A (ja) * 2005-12-27 2009-04-30 インテル・コーポレーション リセスのあるストレイン領域を有すマルチゲートデバイス
JP2009529803A (ja) * 2006-03-31 2009-08-20 インテル コーポレイション 電界効果型トランジスタにおけるコンタクト抵抗を減少させるエピタキシャルシリコンゲルマニウム
JP2009267021A (ja) * 2008-04-24 2009-11-12 Toshiba Corp 半導体装置及びその製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2749977B1 (fr) * 1996-06-14 1998-10-09 Commissariat Energie Atomique Transistor mos a puits quantique et procedes de fabrication de celui-ci
JP3033518B2 (ja) 1997-04-21 2000-04-17 日本電気株式会社 半導体装置の製造方法
US6693009B1 (en) * 2000-11-15 2004-02-17 Advanced Micro Devices, Inc. Flash memory cell with minimized floating gate to drain/source overlap for minimizing charge leakage
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US7518196B2 (en) * 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7221006B2 (en) 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US7465976B2 (en) * 2005-05-13 2008-12-16 Intel Corporation Tunneling field effect transistor using angled implants for forming asymmetric source/drain regions
JP2007250665A (ja) * 2006-03-14 2007-09-27 Toshiba Corp 半導体装置及びその製造方法
US7825400B2 (en) * 2006-06-09 2010-11-02 Intel Corporation Strain-inducing semiconductor regions
US7732285B2 (en) * 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
US7833883B2 (en) 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US7667271B2 (en) * 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
JP5184831B2 (ja) * 2007-07-13 2013-04-17 ルネサスエレクトロニクス株式会社 フィン型トランジスタの形成方法
US7939889B2 (en) 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
US7964910B2 (en) * 2007-10-17 2011-06-21 International Business Machines Corporation Planar field effect transistor structure having an angled crystallographic etch-defined source/drain recess and a method of forming the transistor structure
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002118255A (ja) * 2000-07-31 2002-04-19 Toshiba Corp 半導体装置およびその製造方法
US20050087824A1 (en) * 2003-10-24 2005-04-28 International Business Machines Corporation High performance fet with laterally thin extension
JP2008533695A (ja) * 2005-01-04 2008-08-21 インテル・コーポレーション Cvdエッチングおよび堆積シーケンスにより形成されるcmosトランジスタ接合領域
US20060286755A1 (en) * 2005-06-15 2006-12-21 Brask Justin K Method for fabricating transistor with thinned channel
US20070004123A1 (en) * 2005-06-30 2007-01-04 Bohr Mark T Transistor with improved tip profile and method of manufacture thereof
JP2009517867A (ja) * 2005-12-27 2009-04-30 インテル・コーポレーション リセスのあるストレイン領域を有すマルチゲートデバイス
JP2007208160A (ja) * 2006-02-06 2007-08-16 Renesas Technology Corp 半導体装置およびその製造方法
JP2009529803A (ja) * 2006-03-31 2009-08-20 インテル コーポレイション 電界効果型トランジスタにおけるコンタクト抵抗を減少させるエピタキシャルシリコンゲルマニウム
US20090032841A1 (en) * 2007-08-01 2009-02-05 Manfred Eller Semiconductor Devices and Methods of Manufacture Thereof
JP2009267021A (ja) * 2008-04-24 2009-11-12 Toshiba Corp 半導体装置及びその製造方法

Also Published As

Publication number Publication date
CN104992979A (zh) 2015-10-21
CN102656672A (zh) 2012-09-05
TWI450341B (zh) 2014-08-21
US8313999B2 (en) 2012-11-20
WO2011087571A1 (en) 2011-07-21
EP2517231B1 (en) 2019-12-25
CN104992979B (zh) 2019-06-18
TW201137985A (en) 2011-11-01
EP2517231A1 (en) 2012-10-31
HK1216455A1 (zh) 2016-11-11
JP2013515356A (ja) 2013-05-02
KR101380984B1 (ko) 2014-04-17
US20110147842A1 (en) 2011-06-23
KR20120098843A (ko) 2012-09-05
JP5756996B2 (ja) 2015-07-29
CN102656672B (zh) 2015-08-19
HK1175028A1 (zh) 2013-06-21
JP6284502B2 (ja) 2018-02-28
EP2517231A4 (en) 2015-07-29

Similar Documents

Publication Publication Date Title
JP6284502B2 (ja) マルチゲートトランジスタ及びその製造方法
US20220352346A1 (en) Method of forming devices with strained source/drain structures
US10134847B2 (en) FinFET structures and methods of forming the same
TWI689971B (zh) 使用n型摻雜的選擇性磊晶生長以在n型金氧半導體鰭式電晶體中形成非直視性的源極汲極延伸部分
US10957698B2 (en) Reduction of multi-threshold voltage patterning damage in nanosheet device structure
US8642417B2 (en) Method of manufacturing strained source/drain structures
US7943469B2 (en) Multi-component strain-inducing semiconductor regions
US9142643B2 (en) Method for forming epitaxial feature
US7332439B2 (en) Metal gate transistors with epitaxial source and drain regions
US8871584B2 (en) Replacement source/drain finFET fabrication
US10943835B2 (en) Fabrication of silicon germanium channel and silicon/silicon germanium dual channel field-effect transistors
US7687337B2 (en) Transistor with differently doped strained current electrode region
US20090302348A1 (en) Stress enhanced transistor devices and methods of making
US20130171794A1 (en) Epitaxial extension cmos transistor
CN105225951A (zh) 鳍式场效应晶体管的形成方法
US20170229450A1 (en) Field effect transistors
CN102292811A (zh) 具有外延成长的应力引发源极与漏极区的金氧半导体装置的制造方法
KR20110038594A (ko) 스트레스 라이너 상의 실리콘(sol)을 갖는 반도체 장치
US10763328B2 (en) Epitaxial semiconductor material grown with enhanced local isotropy
US9412865B1 (en) Reduced resistance short-channel InGaAs planar MOSFET
US9443977B1 (en) FinFET with reduced source and drain resistance
JP2024507600A (ja) 非対称の閾値電圧を有するナノシート金属酸化膜半導体電界効果トランジスタ
CN109727866A (zh) 一种半导体器件的制造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150811

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160719

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161004

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170321

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170621

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171228

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180130

R150 Certificate of patent or registration of utility model

Ref document number: 6284502

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250