HK1216455A1 - 具有自對準外延源和漏的多栅半導體器件 - Google Patents

具有自對準外延源和漏的多栅半導體器件

Info

Publication number
HK1216455A1
HK1216455A1 HK16104363.3A HK16104363A HK1216455A1 HK 1216455 A1 HK1216455 A1 HK 1216455A1 HK 16104363 A HK16104363 A HK 16104363A HK 1216455 A1 HK1216455 A1 HK 1216455A1
Authority
HK
Hong Kong
Prior art keywords
drain
self
semiconductor device
epitaxial source
gate semiconductor
Prior art date
Application number
HK16104363.3A
Other languages
English (en)
Inventor
Cappellani Annalisa
Ghani Tahir
Shen Kuan-Yueh
S Murthy Anand
Gomez Harry
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of HK1216455A1 publication Critical patent/HK1216455A1/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
HK16104363.3A 2009-12-23 2016-04-18 具有自對準外延源和漏的多栅半導體器件 HK1216455A1 (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/646,518 US8313999B2 (en) 2009-12-23 2009-12-23 Multi-gate semiconductor device with self-aligned epitaxial source and drain

Publications (1)

Publication Number Publication Date
HK1216455A1 true HK1216455A1 (zh) 2016-11-11

Family

ID=44149866

Family Applications (2)

Application Number Title Priority Date Filing Date
HK13102336.4A HK1175028A1 (zh) 2009-12-23 2013-02-25 具有自對準外延源和漏的多柵半導體器件及其製造方法
HK16104363.3A HK1216455A1 (zh) 2009-12-23 2016-04-18 具有自對準外延源和漏的多栅半導體器件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
HK13102336.4A HK1175028A1 (zh) 2009-12-23 2013-02-25 具有自對準外延源和漏的多柵半導體器件及其製造方法

Country Status (8)

Country Link
US (1) US8313999B2 (zh)
EP (1) EP2517231B1 (zh)
JP (2) JP5756996B2 (zh)
KR (1) KR101380984B1 (zh)
CN (2) CN102656672B (zh)
HK (2) HK1175028A1 (zh)
TW (1) TWI450341B (zh)
WO (1) WO2011087571A1 (zh)

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8431995B2 (en) * 2010-05-13 2013-04-30 International Business Machines Corporation Methodology for fabricating isotropically recessed drain regions of CMOS transistors
US20110278580A1 (en) * 2010-05-13 2011-11-17 International Business Machines Corporation Methodology for fabricating isotropically source regions of cmos transistors
US8716798B2 (en) 2010-05-13 2014-05-06 International Business Machines Corporation Methodology for fabricating isotropically recessed source and drain regions of CMOS transistors
US8558279B2 (en) * 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
US8614134B2 (en) * 2011-03-21 2013-12-24 Globalfoundries Inc. Shallow source and drain architecture in an active region of a semiconductor device having a pronounced surface topography by tilted implantation
JP5325932B2 (ja) * 2011-05-27 2013-10-23 株式会社東芝 半導体装置およびその製造方法
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US8871584B2 (en) * 2011-07-27 2014-10-28 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
US9076817B2 (en) * 2011-08-04 2015-07-07 International Business Machines Corporation Epitaxial extension CMOS transistor
US8674433B2 (en) * 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
CN102983079B (zh) * 2011-09-06 2017-12-19 联华电子股份有限公司 半导体工艺
JP5911583B2 (ja) * 2011-09-30 2016-04-27 インテル・コーポレーション 非プレーナ型トランジスタの製造方法
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
KR20140049075A (ko) 2011-09-30 2014-04-24 인텔 코오퍼레이션 트랜지스터 게이트용 캡핑 유전체 구조
KR20170106657A (ko) 2011-09-30 2017-09-21 인텔 코포레이션 집적회로 구조 및 집적회로 구조의 제조 방법
US8981435B2 (en) 2011-10-01 2015-03-17 Intel Corporation Source/drain contacts for non-planar transistors
US9040399B2 (en) * 2011-10-27 2015-05-26 International Business Machines Corporation Threshold voltage adjustment for thin body MOSFETs
CN103975424B (zh) 2011-12-06 2016-12-07 英特尔公司 用于非平面晶体管的夹层电介质
DE112011105996B4 (de) * 2011-12-22 2023-11-16 Intel Corporation Halbleiterbauelement mit einem verengten Halbleiterkörper
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9171925B2 (en) * 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
KR101876793B1 (ko) * 2012-02-27 2018-07-11 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US8586455B1 (en) * 2012-05-15 2013-11-19 International Business Machines Corporation Preventing shorting of adjacent devices
US8664072B2 (en) 2012-05-30 2014-03-04 Globalfoundries Inc. Source and drain architecture in an active region of a P-channel transistor by tilted implantation
JP2013258188A (ja) * 2012-06-11 2013-12-26 Hitachi Kokusai Electric Inc 基板処理方法と半導体装置の製造方法、および基板処理装置
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9728464B2 (en) * 2012-07-27 2017-08-08 Intel Corporation Self-aligned 3-D epitaxial structures for MOS device fabrication
US8993402B2 (en) * 2012-08-16 2015-03-31 International Business Machines Corporation Method of manufacturing a body-contacted SOI FINFET
US8963206B2 (en) * 2012-08-27 2015-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for increasing fin density
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8846477B2 (en) * 2012-09-27 2014-09-30 Globalfoundries Inc. Methods of forming 3-D semiconductor devices using a replacement gate technique and a novel 3-D device
US8633516B1 (en) * 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US9006065B2 (en) * 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US8866235B2 (en) * 2012-11-09 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain dislocation fabrication in FinFETs
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US20140138777A1 (en) * 2012-11-21 2014-05-22 Qualcomm Incorporated Integrated circuit device and method for making same
KR102059526B1 (ko) * 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
TWI643346B (zh) 2012-11-22 2018-12-01 三星電子股份有限公司 在凹處包括一應力件的半導體裝置及其形成方法(三)
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
CN103855022B (zh) * 2012-12-04 2017-06-13 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN103855027B (zh) * 2012-12-06 2017-01-25 中国科学院微电子研究所 FinFET及其制造方法
US20140167163A1 (en) * 2012-12-17 2014-06-19 International Business Machines Corporation Multi-Fin FinFETs with Epitaxially-Grown Merged Source/Drains
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US8927377B2 (en) * 2012-12-27 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming FinFETs with self-aligned source/drain
US8809171B2 (en) * 2012-12-28 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming FinFETs having multiple threshold voltages
US8853025B2 (en) * 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US8987791B2 (en) 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9362386B2 (en) 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US9231106B2 (en) * 2013-03-08 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with an asymmetric source/drain structure and method of making same
US8927373B2 (en) * 2013-03-13 2015-01-06 Samsung Electronics Co, Ltd. Methods of fabricating non-planar transistors including current enhancing structures
DE112013006607T5 (de) * 2013-03-29 2015-10-29 Intel Corporation Transistorarchitektur mit erweiterten vertieften Abstandhalter- und Source/Drain-Regionen und Verfahren zu deren Herstellung
US9257327B2 (en) * 2013-04-09 2016-02-09 Samsung Electronics Co., Ltd. Methods of forming a Field Effect Transistor, including forming a region providing enhanced oxidation
US9331176B2 (en) 2013-04-25 2016-05-03 Samsung Electronics Co., Ltd. Methods of forming field effect transistors, including forming source and drain regions in recesses of semiconductor fins
US9219133B2 (en) * 2013-05-30 2015-12-22 Stmicroelectronics, Inc. Method of making a semiconductor device using spacers for source/drain confinement
US9093532B2 (en) * 2013-06-21 2015-07-28 International Business Machines Corporation Overlapped III-V finFET with doped semiconductor extensions
US8957478B2 (en) 2013-06-24 2015-02-17 International Business Machines Corporation Semiconductor device including source/drain formed on bulk and gate channel formed on oxide layer
KR102064330B1 (ko) 2013-06-29 2020-01-09 인텔 코포레이션 멀티 게이트 트랜지스터를 가진 압전 저항 공진기
US9105707B2 (en) * 2013-07-24 2015-08-11 International Business Machines Corporation ZRAM heterochannel memory
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9520494B2 (en) * 2013-09-26 2016-12-13 Intel Corporation Vertical non-planar semiconductor device for system-on-chip (SoC) applications
US9306063B2 (en) 2013-09-27 2016-04-05 Intel Corporation Vertical transistor devices for embedded memory and logic technologies
SG11201601319QA (en) * 2013-09-27 2016-03-30 Intel Corp Ge and iii-v channel semiconductor devices having maximized compliance and free surface relaxation
US9166024B2 (en) * 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
KR102117978B1 (ko) 2013-11-19 2020-06-02 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 설비
CN106104771A (zh) 2013-12-27 2016-11-09 英特尔公司 扩散的尖端延伸晶体管
CN104752211B (zh) * 2013-12-30 2018-12-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US9087900B1 (en) 2014-01-07 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
KR102157839B1 (ko) 2014-01-21 2020-09-18 삼성전자주식회사 핀-전계효과 트랜지스터의 소오스/드레인 영역들을 선택적으로 성장시키는 방법
US10164107B2 (en) * 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9853154B2 (en) * 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
KR102151768B1 (ko) * 2014-01-27 2020-09-03 삼성전자주식회사 반도체 장치 및 그 제조방법
US9660035B2 (en) * 2014-01-29 2017-05-23 International Business Machines Corporation Semiconductor device including superlattice SiGe/Si fin structure
KR102193493B1 (ko) 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20150228503A1 (en) * 2014-02-07 2015-08-13 Applied Materials, Inc. Hardmask trimming in semiconductor fin patterning
US9437738B2 (en) * 2014-02-07 2016-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Field effect transistor with heterostructure channel
US9059043B1 (en) * 2014-02-11 2015-06-16 International Business Machines Corporation Fin field effect transistor with self-aligned source/drain regions
US9246005B2 (en) * 2014-02-12 2016-01-26 International Business Machines Corporation Stressed channel bulk fin field effect transistor
US9871037B2 (en) * 2014-02-26 2018-01-16 Taiwan Semiconductor Manufacturing Company Limited Structures and methods for fabricating semiconductor devices using fin structures
JP6361180B2 (ja) * 2014-03-10 2018-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
KR102178831B1 (ko) * 2014-03-13 2020-11-13 삼성전자 주식회사 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
EP3902016A1 (en) * 2014-03-27 2021-10-27 Intel Corporation Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions
KR102017611B1 (ko) 2014-04-04 2019-09-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US20170179275A1 (en) * 2014-04-04 2017-06-22 Tang ZONG Fin-type semiconductor structure and method for forming the same
US9721955B2 (en) 2014-04-25 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device having an oxide feature
US9761721B2 (en) * 2014-05-20 2017-09-12 International Business Machines Corporation Field effect transistors with self-aligned extension portions of epitaxial active regions
KR102160100B1 (ko) * 2014-05-27 2020-09-25 삼성전자 주식회사 반도체 장치 제조 방법
US9431540B2 (en) * 2014-05-28 2016-08-30 Stmicroelectronics, Inc. Method for making a semiconductor device with sidewall spacers for confining epitaxial growth
US9496270B2 (en) 2014-05-30 2016-11-15 Qualcomm Incorporated High density single-transistor antifuse memory cell
US9660057B2 (en) * 2014-06-17 2017-05-23 Stmicroelectronics, Inc. Method of forming a reduced resistance fin structure
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US20150380526A1 (en) * 2014-06-27 2015-12-31 Applied Materials, Inc. Methods for forming fin structures with desired dimensions for 3d structure semiconductor applications
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US20160005868A1 (en) * 2014-07-01 2016-01-07 Globalfoundries Inc. Finfet with confined epitaxy
TWI615976B (zh) 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
KR102227128B1 (ko) * 2014-09-03 2021-03-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN105470135B (zh) * 2014-09-11 2018-11-06 中国科学院微电子研究所 半导体器件制造方法
US9818877B2 (en) 2014-09-18 2017-11-14 International Business Machines Corporation Embedded source/drain structure for tall finFET and method of formation
US10559690B2 (en) 2014-09-18 2020-02-11 International Business Machines Corporation Embedded source/drain structure for tall FinFET and method of formation
CN105489494B (zh) * 2014-10-09 2020-03-31 联华电子股份有限公司 半导体元件及其制作方法
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
CN105633152B (zh) * 2014-11-05 2019-12-10 联华电子股份有限公司 半导体结构及其制作方法
US9660059B2 (en) 2014-12-12 2017-05-23 International Business Machines Corporation Fin replacement in a field-effect transistor
US9515072B2 (en) * 2014-12-26 2016-12-06 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof
US9362278B1 (en) * 2014-12-29 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with multiple dislocation planes and method for forming the same
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9406680B1 (en) * 2015-02-13 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9991343B2 (en) * 2015-02-26 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. LDD-free semiconductor structure and manufacturing method of the same
US9484250B2 (en) 2015-03-10 2016-11-01 International Business Machines Corporation Air gap contact formation for reducing parasitic capacitance
US9899268B2 (en) 2015-03-11 2018-02-20 Globalfoundries Inc. Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device
KR20160112778A (ko) 2015-03-20 2016-09-28 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체
KR102224849B1 (ko) * 2015-03-24 2021-03-08 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 제조 방법
US9450078B1 (en) 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
US9520394B1 (en) * 2015-05-21 2016-12-13 International Business Machines Corporation Contact structure and extension formation for III-V nFET
US9397005B1 (en) 2015-07-20 2016-07-19 International Business Machines Corporation Dual-material mandrel for epitaxial crystal growth on silicon
US11049939B2 (en) 2015-08-03 2021-06-29 Semiwise Limited Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
WO2017044117A1 (en) 2015-09-11 2017-03-16 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
EP3353811A4 (en) * 2015-09-25 2019-05-01 Intel Corporation RESISTANCE REDUCTION UNDER TRANSISTOR SPACERS
US9536981B1 (en) * 2015-09-29 2017-01-03 International Business Machines Corporation Field effect transistor device spacers
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
US9431486B1 (en) 2015-11-30 2016-08-30 International Business Machines Corporation Channel strain and controlling lateral epitaxial growth of the source and drain in FinFET devices
US9799649B2 (en) * 2015-12-17 2017-10-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
US10403733B2 (en) * 2015-12-24 2019-09-03 Intel Corporation Dielectric metal oxide cap for channel containing germanium
US9570567B1 (en) 2015-12-30 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain process for FinFET
US9536989B1 (en) 2016-02-15 2017-01-03 Globalfoundries Inc. Field-effect transistors with source/drain regions of reduced topography
US9837538B2 (en) * 2016-03-25 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9954109B2 (en) 2016-05-05 2018-04-24 International Business Machines Corporation Vertical transistor including controlled gate length and a self-aligned junction
WO2017218014A1 (en) 2016-06-17 2017-12-21 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
US11043492B2 (en) * 2016-07-01 2021-06-22 Intel Corporation Self-aligned gate edge trigate and finFET devices
CN107644816B (zh) * 2016-07-22 2020-09-25 中芯国际集成电路制造(上海)有限公司 FinFET半导体器件及其制造方法
US10269940B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10516037B2 (en) 2017-06-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming shaped source/drain epitaxial layers of a semiconductor device
US10217660B2 (en) * 2017-07-18 2019-02-26 Globalfoundries Inc. Technique for patterning active regions of transistor elements in a late manufacturing stage
CN109659233B (zh) * 2017-10-12 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10355104B2 (en) 2017-10-27 2019-07-16 Globalfoundries Inc. Single-curvature cavity for semiconductor epitaxy
US10297675B1 (en) * 2017-10-27 2019-05-21 Globalfoundries Inc. Dual-curvature cavity for epitaxial semiconductor growth
US10586853B2 (en) 2017-11-27 2020-03-10 International Business Machines Corporation Non-planar field effect transistor devices with wrap-around source/drain contacts
WO2019108237A1 (en) * 2017-11-30 2019-06-06 Intel Corporation Fin patterning for advanced integrated circuit structure fabrication
CN109979986B (zh) * 2017-12-28 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11239342B2 (en) 2018-06-28 2022-02-01 International Business Machines Corporation Vertical transistors having improved control of top source or drain junctions
US10600885B2 (en) 2018-08-20 2020-03-24 International Business Machines Corporation Vertical fin field effect transistor devices with self-aligned source and drain junctions
US10741451B2 (en) * 2018-10-03 2020-08-11 Globalfoundries Inc. FinFET having insulating layers between gate and source/drain contacts
US11069579B2 (en) * 2018-10-19 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN113327894A (zh) * 2020-02-28 2021-08-31 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20220102554A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Gate and fin trim isolation for advanced integrated circuit structure fabrication
US11373696B1 (en) 2021-02-19 2022-06-28 Nif/T, Llc FFT-dram

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2749977B1 (fr) 1996-06-14 1998-10-09 Commissariat Energie Atomique Transistor mos a puits quantique et procedes de fabrication de celui-ci
JP3033518B2 (ja) 1997-04-21 2000-04-17 日本電気株式会社 半導体装置の製造方法
JP2002118255A (ja) * 2000-07-31 2002-04-19 Toshiba Corp 半導体装置およびその製造方法
US6693009B1 (en) * 2000-11-15 2004-02-17 Advanced Micro Devices, Inc. Flash memory cell with minimized floating gate to drain/source overlap for minimizing charge leakage
US6933577B2 (en) * 2003-10-24 2005-08-23 International Business Machines Corporation High performance FET with laterally thin extension
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US7518196B2 (en) * 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7221006B2 (en) * 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US7465976B2 (en) * 2005-05-13 2008-12-16 Intel Corporation Tunneling field effect transistor using angled implants for forming asymmetric source/drain regions
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7494858B2 (en) * 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
JP5126930B2 (ja) * 2006-02-06 2013-01-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2007250665A (ja) * 2006-03-14 2007-09-27 Toshiba Corp 半導体装置及びその製造方法
US7566605B2 (en) * 2006-03-31 2009-07-28 Intel Corporation Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
US7825400B2 (en) * 2006-06-09 2010-11-02 Intel Corporation Strain-inducing semiconductor regions
US7732285B2 (en) * 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
US7833883B2 (en) 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US7667271B2 (en) * 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
JP5184831B2 (ja) * 2007-07-13 2013-04-17 ルネサスエレクトロニクス株式会社 フィン型トランジスタの形成方法
US9209088B2 (en) * 2007-08-01 2015-12-08 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7939889B2 (en) * 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
US7964910B2 (en) * 2007-10-17 2011-06-21 International Business Machines Corporation Planar field effect transistor structure having an angled crystallographic etch-defined source/drain recess and a method of forming the transistor structure
JP5159413B2 (ja) * 2008-04-24 2013-03-06 株式会社東芝 半導体装置及びその製造方法
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain

Also Published As

Publication number Publication date
EP2517231B1 (en) 2019-12-25
JP5756996B2 (ja) 2015-07-29
CN102656672A (zh) 2012-09-05
HK1175028A1 (zh) 2013-06-21
CN104992979B (zh) 2019-06-18
CN104992979A (zh) 2015-10-21
KR20120098843A (ko) 2012-09-05
JP2013515356A (ja) 2013-05-02
TW201137985A (en) 2011-11-01
US8313999B2 (en) 2012-11-20
JP6284502B2 (ja) 2018-02-28
WO2011087571A1 (en) 2011-07-21
EP2517231A4 (en) 2015-07-29
US20110147842A1 (en) 2011-06-23
TWI450341B (zh) 2014-08-21
JP2015188102A (ja) 2015-10-29
EP2517231A1 (en) 2012-10-31
CN102656672B (zh) 2015-08-19
KR101380984B1 (ko) 2014-04-17

Similar Documents

Publication Publication Date Title
HK1216455A1 (zh) 具有自對準外延源和漏的多栅半導體器件
EP2149150A4 (en) SEMICONDUCTOR ELEMENT WITH ULTIMATE EPITACTIC SOURCE / DRAIN AREAS
EP2070110A4 (en) FIELD EFFECT TRANSISTOR WITH HIGH SOURCE / DRAIN FIN FASTENERS
EP2491593A4 (en) SPLIT-GATE SEMICONDUCTOR ELEMENT WITH CURVED GATE OXID PROFILE
EP2491592A4 (en) FIELD EFFECT TRANSISTOR WITH DIVIDED GATE
GB2491778B (en) A p-Fet with a strained nanowire channel and embedded SiGe source and drain stressors
GB2487308B (en) Self-aligned graphene transistor
EP2416365A4 (en) FIELD EFFECT TRANSISTOR
GB2500848B (en) Stressed channel fet with source/drain buffers
EP2513950A4 (en) SEMICONDUCTOR ASSEMBLY STRUCTURES WITH MODULATED DOPING AND CORRESPONDING METHODS
EP2478554A4 (en) TRANSISTOR AND DISPLAY DEVICE
GB2503378B (en) Mosfet with recessed channel film and abrupt junctions
EP2911188A4 (en) FIELD EFFECT TRANSISTOR AND SEMICONDUCTOR ELEMENT WITH IT
HK1176741A1 (zh) 具有摻雜的外延區域的半導體器件及其製造方法
GB2497248B (en) A graphene transistor with a self-aligned gate
EP2396812A4 (en) MULTI-THRESHOLD VOLTAGE FIELD EFFECT TRANSISTOR DEVICES
GB2497175B (en) Field-effect transistor device
TWI368324B (en) Recessed-gate transistor device and mehtod of making the same
EP2412013A4 (en) CONFIGURATION AND PREPARATION OF A SEMICONDUCTOR STRUCTURE WITH DEFINITION OF THE SOURCE / DRAIN EXTENSIONS OF THE FIELD EFFECT TRANSISTOR BASED ON DIFFERENT DOTANDES
HK1175888A1 (zh) 用於鰭式 和三柵極器件的環繞式接觸
EP2494427A4 (en) DISPLAY DEVICE AND SEMICONDUCTOR ELEMENT
EP2402348A4 (en) FIELD EFFECT TRANSISTOR
GB2494608B (en) Delta monolayer dopants epitaxy for embedded source/drain silicide
EP2418683A4 (en) FIELD EFFECT TRANSISTOR WITH INSULATED GATE
HK1182530A1 (zh) 具有自對準溝道寬度的晶體管