CN102292811A - 具有外延成长的应力引发源极与漏极区的金氧半导体装置的制造方法 - Google Patents
具有外延成长的应力引发源极与漏极区的金氧半导体装置的制造方法 Download PDFInfo
- Publication number
- CN102292811A CN102292811A CN201080005507.6A CN201080005507A CN102292811A CN 102292811 A CN102292811 A CN 102292811A CN 201080005507 A CN201080005507 A CN 201080005507A CN 102292811 A CN102292811 A CN 102292811A
- Authority
- CN
- China
- Prior art keywords
- stress
- epitaxial growth
- monocrystal material
- recess
- area
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 66
- 230000001939 inductive effect Effects 0.000 title abstract 5
- 239000000463 material Substances 0.000 claims abstract description 90
- 239000000758 substrate Substances 0.000 claims abstract description 29
- 239000004065 semiconductor Substances 0.000 claims abstract description 28
- 239000000203 mixture Substances 0.000 claims abstract description 7
- 230000006835 compression Effects 0.000 claims description 36
- 238000007906 compression Methods 0.000 claims description 36
- 238000011065 in-situ storage Methods 0.000 claims description 31
- 230000000977 initiatory effect Effects 0.000 claims description 18
- 125000006850 spacer group Chemical group 0.000 claims description 17
- 238000004519 manufacturing process Methods 0.000 claims description 10
- 230000015572 biosynthetic process Effects 0.000 claims description 7
- 239000002019 doping agent Substances 0.000 claims description 6
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 claims description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 3
- 229910052785 arsenic Inorganic materials 0.000 claims description 3
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 claims description 3
- 229910052796 boron Inorganic materials 0.000 claims description 3
- 229910052698 phosphorus Inorganic materials 0.000 claims description 3
- 239000011574 phosphorus Substances 0.000 claims description 3
- 229910020328 SiSn Inorganic materials 0.000 claims description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 2
- 238000005530 etching Methods 0.000 abstract description 18
- 229910052710 silicon Inorganic materials 0.000 description 18
- 239000010703 silicon Substances 0.000 description 18
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 17
- 230000008569 process Effects 0.000 description 17
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 11
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- 239000012535 impurity Substances 0.000 description 8
- 229920001296 polysiloxane Polymers 0.000 description 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 7
- 229910052799 carbon Inorganic materials 0.000 description 7
- 238000000151 deposition Methods 0.000 description 7
- 230000008021 deposition Effects 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- 229910052732 germanium Inorganic materials 0.000 description 6
- 239000012212 insulator Substances 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 5
- 238000000407 epitaxy Methods 0.000 description 5
- 239000010408 film Substances 0.000 description 5
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 5
- 229920002120 photoresistant polymer Polymers 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- 230000004888 barrier function Effects 0.000 description 4
- 239000003795 chemical substances by application Substances 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- 239000000376 reactant Substances 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 3
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 3
- 239000011435 rock Substances 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 235000011114 ammonium hydroxide Nutrition 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 230000005611 electricity Effects 0.000 description 2
- 230000002708 enhancing effect Effects 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 239000005046 Chlorosilane Substances 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 240000007594 Oryza sativa Species 0.000 description 1
- 235000007164 Oryza sativa Nutrition 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical class Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- QUZPNFFHZPRKJD-UHFFFAOYSA-N germane Chemical compound [GeH4] QUZPNFFHZPRKJD-UHFFFAOYSA-N 0.000 description 1
- 229910052986 germanium hydride Inorganic materials 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- ZYCMDWDFIQDPLP-UHFFFAOYSA-N hbr bromine Chemical compound Br.Br ZYCMDWDFIQDPLP-UHFFFAOYSA-N 0.000 description 1
- IXCSERBJSXMMFS-UHFFFAOYSA-N hcl hcl Chemical compound Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 1
- 229960002050 hydrofluoric acid Drugs 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 235000009566 rice Nutrition 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 150000003376 silicon Chemical class 0.000 description 1
- 239000012686 silicon precursor Substances 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000010792 warming Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823412—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823418—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66674—DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
- H01L29/66712—Vertical DMOS transistors, i.e. VDMOS transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/84—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Ceramic Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
本发明提供在具有第一区域(180)与第二区域(200)的半导体衬底(110)上和半导体衬底(110)中制造半导体装置(100)的方法。依照本发明的一个范例实施例,一种方法包括:形成第一栅极堆栈(124)以覆于该第一区域(180)上和第二栅极堆栈(128)以覆于该第二区域(200)上;将第一凹部(142)和第二凹部(142)蚀刻入该衬底(110),该第一凹部(142)至少对准于该第一区域(180)中的该第一栅极堆栈(124),而该第二凹部(142)至少对准于该第二区域(200)中的该第二栅极堆栈(128);外延成长第一应力引发单晶材料(150)于该第一和第二凹部(142)中;从该第一凹部(142)去除该第一应力引发单晶材料(150);以及,外延成长第二应力引发单晶材料(170)于该第一凹部(142)中;其中该第二应力引发单晶材料(170)具有与该第一应力引发单晶材料(150)不同的组成。
Description
技术领域
本发明大体上是关于制造半导体装置的方法,且尤是关于制造具有外延成长的应力引发源极与漏极区的金氧半导体装置的方法。
背景技术
多数的现今集成电路(IC)是通过使用多个互连场效晶体管(FET),亦称之为金氧半场效晶体管(MOSFET或MOS晶体管)来施行。一般使用P沟道和N沟道FET二者来形成IC,于此种情况,IC是称为互补MOS或者CMOS IC。目前是持续有加入更多具有更复杂的电路于单一IC芯片上的倾向。为了持续此种倾向,针对各个新的技术世代缩减电路中各个个别装置的尺寸和装置组件之间的间隔,或者间距(pitch)。再者,当间距微缩至较小尺寸时,在这些装置的栅极堆栈中所用的栅极绝缘体与电极的厚度亦被缩减。
众所周知的是,可通过施加适当的应力于沟道区域以提升主要载子的移动率而改善晶体管装置的效能。举例而言,通过施加拉伸纵向应力(tensile longitudinal stress)于沟道而能够增加于N沟道MOS(NMOS)晶体管中的主要载子(电子)的移动率。同样情况,通过施加压缩纵向沟道应力(compressive longitudinal channel stress)而能够增加于P沟道MOS(PMOS)晶体管中的主要载子(电洞)的移动率。拉伸和压缩应力衬膜已经加入作为分别用于NMOS和PMOS装置的沟道应力引发层,而用于65nm、45nm、和32nm技术世代。然而,因为这些膜的厚度随着装置间距而减少,因此所施加的应力,和因此所达成的效能利益,亦随着各个新世代而衰退。再者,随着栅极堆栈的厚度于先进装置中减小,来自高能量离子注入工艺的杂质掺杂剂所造成的沟道污染可能性会增加。
因此,希望提供一种用来制造具有外延成长的应力引发源极与漏极区的制造MOS装置的方法。此外,希望提供使用较少的工艺步骤来进行应力引发源极与漏极区的外延成长的方法。而且,亦希望提供减缓需要使用离子注入作为掺杂源极与漏极区的方式的方法。再者,由本发明之后续的详细说明,和所附的权利要求,结合所附图式和本发明的此背景技术,则本发明的其它所希望的特征和特性将变得清楚了解。
发明内容
本发明提供在具有第一区域与第二区域的半导体衬底上和半导体衬底中制造半导体装置的方法。依照本发明的一个范例实施例,一种方法包括:形成第一栅极堆栈以覆于该第一区域上和第二栅极堆栈以覆于该第二区域上;将第一凹部和第二凹部蚀刻入该衬底,该第一凹部至少对准于该第一区域中的该第一栅极堆栈,而该第二凹部至少对准于该第二区域中的该第二栅极堆栈;外延成长第一应力引发单晶材料于该第一和第二凹部中;从该第一凹部去除该第一应力引发单晶材料;以及,外延成长第二应力引发单晶材料于该第一凹部中;其中该第二应力引发单晶材料具有与该第一应力引发单晶材料不同的组成。
依照本发明另一个范例实施例提供一种在具有第一区域与第二区域的半导体衬底上和半导体衬底中制造半导体装置的方法。该方法包括下列步骤:形成具有第一侧壁的第一栅极堆栈以覆于该第一区域上;形成具有第二侧壁的第二栅极堆栈以覆于该第二区域上;形成第一侧壁间隔件覆于该第一栅极堆栈的该第一侧壁上;形成第二侧壁间隔件覆于该第二栅极堆栈的该第二侧壁上;将第一凹部和第二凹部蚀刻入该衬底,该第一凹部配置于该第一区域并且对准该第一栅极堆栈和该第一侧壁间隔件,而该第二凹部配置于该第二区域并且对准该第二栅极堆栈和该第二侧壁间隔件;外延成长原位掺杂的压缩应力引发单晶材料于该第一和第二凹部中;从该第一凹部去除该于原位掺杂的压缩应力引发单晶材料;以及外延成长原位掺杂的拉伸应力引发单晶材料于该第一凹部中。
附图说明
上文中结合下列的图式而说明本发明,其中相同的组件符号表示相同的组件,且其中:
图1至7示意地显示依照本发明的范例实施例制造具有原位掺杂、经外延成长的应力引发源极与漏极区的半导体装置的方法的剖面图。
具体实施方式
本发明的下列详细说明本质上仅仅为范例,并不打算用来限制本发明或者本发明的应用和使用。再者,并不欲受前面的背景技术或下列的实施方式中所提出的任何理论的限制。
以往,以外延方式形成区用于NFET和PFET装置的源极与漏极区是涉及针对各装置类型的个别组的工艺步骤。于PFET与NFET区二者中形成栅极堆栈后,接着使用第一组的工艺步骤以形成这些装置类型其中一者的源极与漏极。于此顺序中,接着进行第二组相似的步骤以形成另一个装置类型的源极与漏极。各组的工艺步骤典型包含:1)于PFET与NFET区二者中沉积包覆介电层(blanket dielectric layer),2)以微影方式形成软掩膜(soft mask)以覆盖于第一(PFET或NFET区)上,3)非等向性蚀刻于第二(未保护)区域中的介电层以于该区域中的该栅极堆栈上形成侧壁间隔件,4)进行非等向性蚀刻以在该第二区域形成自对准于栅极堆栈的源极/漏极凹部,5)于该第二区域的该源极/漏极凹部中成长适当的应力引发外延材料(用于NFET的拉伸应力或者用于PFET的压缩应力),以及6)去除于该第一区域中的该介电层。然后将掩膜保护施加于所完成的装置,而这些工艺步骤是重复用于其它的装置类型。
本发明的各种实施例说明用来制造具有经外延成长的应力引发源极与漏极区的NMOS和PMOS晶体管的方法。相较于上述说明的习知的方法,本发明的这些方法包含以单一蚀刻步骤形成自对准于NMOS和PMOS装置的栅极堆栈的源极与漏极凹部。用具有压缩或拉伸应力引发性质的第一外延单晶材料填满两种装置类型的凹部。该第一外延材料维持于其所适用的装置类型的源极/漏极凹部中,并且从另一凹部被去除,并且接着用具有相反于第一材料的应力引发性质的第二外延单晶材料取代之。如此一来,能够利用简化的工艺顺序来制造具有效能提升的应力引发源极/漏极区的NMOS和PMOS装置。当相较于替代工艺时,此种工艺顺序需要较少的微影和蚀刻步骤。依照另一个实施例,于外延成长过程中以适用于各装置的P或N型杂质掺杂剂于原位掺杂(insitu-doped)该源极与漏极区。
图1至7示意地显示依照本发明的各种范例实施例形成具有经外延成长的应力引发源极与漏极区的NMOS和PMOS晶体管的半导体装置100的方法的剖面图。虽然显示了一个NMOS和一个PMOS晶体管的制造的部分,但是应该了解到能够使用描述于图1至7方法来制造任何数目的此种晶体管。于制造MOS组件的各种步骤为已熟知,因此为了简便的目的,许多习知的步骤于本文中仅将简单的提及,或者将整个省略而不提供已熟知工艺的细节。
参照图1,依照一个范例实施例,该方法由提供半导体衬底110开始。该半导体衬底能够是硅、锗、III-V族材料(譬如,砷化镓)、或者另一种半导体材料。后文中为了方便起见,半导体衬底110将称为硅衬底,但不限于此。本文中所用的术语“硅衬底”包含典型使用于半导体工业相当纯的硅材料,以及与其它的元素譬如锗、碳等等混合的硅。硅衬底可以是包括单晶硅的块体晶片(bulk wafer),或者如图1中所示可以是在绝缘层104上的单晶硅的薄层106(一般已知为绝缘体上覆硅,或SOI),该绝缘层104依次由载体晶片102所支撑。薄硅层106的厚度可以根据打算敷设的半导体装置100而改变,于一个实施例中,层106为从大约80奈米(nm)至大约90nm厚。隔离区118是形成为延伸穿过薄硅层106到达绝缘层104。隔离区较佳由已熟知的浅沟槽隔离(shallow trench isolation,STI)技术形成,于此技术中沟槽被蚀刻入薄硅层106中,该沟槽被填满譬如沉积的二氧化硅的介电材料,而通过化学机械平坦化(chemical mechanical planarization,CMP)去除过量的二氧化硅。隔离区118是用来电性隔离NFET区180与PFET区200,后续地于该NFET区180与PFET区200上分别形成NFET与PFET晶体管的栅极堆栈。至少该硅衬底110的表面区域108是例如通过在PFET区200中形成N型井区与在NFET区180中形成P型井区而进行杂质掺杂,用以分别制造PFET与NFET晶体管。
栅极堆栈124和128是分别形成而覆盖NFET区180与PFET区200。栅极堆栈124和128典型各包括栅极绝缘体(未图示)覆于薄硅层106的表面上,而栅极电极覆于该栅极绝缘体上。栅极绝缘体的组成将根据技术世代和打算敷设的装置100而定,并且可以包括热成长的二氧化硅(SiO2)、或者沉积的介电质,该沉积的介电质譬如为氧化硅(SiOX)(其中x为大于0的数)、氮化硅、氧氮化硅、或高介电常数(高k)材料(譬如像是二氧化铪(HFO2)、或氧化铪硅(HfSixOy)(其中x和y为大于0的数)等等)、或者这些材料的组合。应该了解到,如本文中所使用者,氮化硅或氧氮化硅的沉积薄膜是意指包含这些材料的化学计量的和非化学计量的组成。用于各栅极堆栈的栅极电极的组成亦为依据应用/技术世代而定者,并且可以包括例如掺杂有杂质的多晶硅。栅极电极亦可以是具有多晶硅层覆于含金属层(譬如像是氮化钛(TiN)、氮化钽(TaN)、氮化钨(WN)等等)上的复合类型,如于此技术领域中所熟知者。各栅极堆栈124、128亦典型包含覆于栅极电极上的盖层,该盖层包括例如氮化硅、SiOx、或氧氮化硅、或其它适合的材料,以于后续的处理步骤保护该栅极电极。
其次,包括介电材料(譬如像是氧氮化硅或者较佳为氮化硅)的侧壁间隔件层130是被覆盖沉积(blanket-deposited)而覆于包含区域180和200以与门极堆栈124、128的该装置100的表面。可以通过使用硅烷(SiH4)和氨(NH3)或氮气(N2)其中任一者并于氩(Ar)电浆的存在下进行的电浆辅助化学气相沉积(PECVD)工艺来沉积侧壁间隔件层130。亦可以使用具有SiH4和NH3或者具有双氯硅烷(SiH2Cl2)和NH3的低压化学气相沉积(LPCVD)来沉积层130。侧壁间隔件层130的厚度在从大约5nm至大约15nm的范围。
本方法接着对侧壁间隔件层130进行非等向性蚀刻以分别形成第一侧壁间隔件132和134于栅极堆栈124、128的侧壁上,如图2中所例示。此蚀刻可以使用例如电浆或者使用根据用以蚀刻氮化硅的三氟酸碳/氧(CHF3/O2)、以及用以蚀刻氧氮化硅碳的CHF3或四氟酸碳(CF4)的化学作用的反应性离子蚀刻(reactive ion etching,RIE)来实施。实施此蚀刻以便从薄硅层106的区域去除侧壁间隔件层130,其中,源极与漏极凹部将后续地形成于该薄硅层106上,并且将第一侧壁间隔件132和134形成至所希望的厚度。第一侧壁间隔件132和134的厚度将根据侧壁间隔件层130的厚度和制造装置100所欲的应用和技术世代而定,而于一个实施例中,该第一侧壁间隔件132和134的厚度在其基部沿着表面区域108为大约4nm至大约12nm之间。
参照图3,使用栅极堆栈124、128和第一侧壁间隔件132和134作为蚀刻掩膜,将源极与漏极凹部142非等向性地蚀刻至薄硅层106中,以接近和自对准于栅极堆栈124、128。于此蚀刻过程中,可以稍微腐蚀掉第一侧壁间隔件132和134的厚度。能够通过例如使用氢溴酸(HBr)和O2化学作用的RIE而蚀刻源极与漏极凹部142。依照一个范例实施例,源极与漏极凹部142被蚀刻至从大约50nm至大约90nm的深度,且较佳为从大约50nm至大约65nm之间的深度。较佳的情况是,将蚀刻深度控制成维持至少大约10nm的薄硅层106于凹部142的底部与绝缘层104之间。
参照图4,包括硅(Si)的第一应力引发单晶材料层150是分别外延成长于NFET区180与PFET区200的源极与漏极凹部142中。对硅表面选择性地实施外延工艺,以便防止于非硅表面(譬如第一侧壁间隔件132和134、和覆于栅极堆栈124、128上的栅极电极盖层)上的成长。能够例如通过在添加作为蚀刻剂的氢氯酸(HCl)的存在下的SiH4和SiH2Cl2的还原作用以控制成长选择性,而成长第一应力引发单晶材料层150。通过引入额外的压缩应力引发元素,而将层150形成为压缩应力引发层。这些元素包括,例如,锗(Ge)或锡(Sn),藉此将该等元素加入晶格中以分别形成埋置的硅/锗(eSi:Ge)或硅/锡(eSi:Sn)层。较佳的情况是,埋置的压缩应力体(embedded compressive stressor)为eSi:Ge,其可以由硅先驱物与锗烷气体(GeH4)之间的高温反应,并添加HCl气体作为蚀刻剂而形成。可以使用这些材料施加压缩应力至分别在栅极堆栈124、128下方的沟道154和158。于另一个实施例中,eSi:Ge材料包含达大约原子百分率为50%(50atomic%;下文中亦类似)的锗,和较佳地包含从大约原子百分率为20%至大约原子百分率为30%的Ge。于另一个实施例中,单晶材料层150是于形成过程中通过添加例如乙硼烷(B2H6)至外延成长反应剂中而杂质掺杂有P型掺杂剂元素,譬如像是硼(B))。使用此种结合了P型掺杂剂的压缩应力引发的源极和漏极材料对PFET装置的效能尤其有效。
其次,包括介电材料(譬如像是氧氮化硅或者较佳地为氮化硅)的硬掩膜层160是经覆盖性地沉积而覆于包含栅极堆栈124、128、第一间隔件132、134、和第一应力引发单晶材料层150的区域180和200上。硬掩膜层160可以用前面说明的有关侧壁间隔件层130的方式沉积至厚度从大约5nm至大约15nm的范围。于一个范例实施例中,硬掩膜层160是沉积为压缩应力层。能够通过以已知方式调整沉积反应剂和沉积条件而沉积硬掩膜层160作为压缩应力层。
然后形成光刻胶掩膜(photoresist mask)164覆于PFET区200中的硬掩膜层160上,如图5中所示。光刻胶掩膜164是使用适当的微影工艺形成,并且该光刻胶掩膜164是用作为非等向性蚀刻NFET区180中的硬掩膜层160的蚀刻掩膜。由于此非等向性蚀刻,第二侧壁间隔件162是形成为覆于第一侧壁间隔件132上和覆于第一应力引发单晶材料层150的一部分上。可以使用前面有关侧壁间隔件层130说明的蚀刻工艺,并且控制该蚀刻工艺以形成具有所希望厚度的第二侧壁间隔件162。此厚度一般大约为硬掩膜层160的厚度的80%,或者从大约4nm至大约12nm厚。然后使用习知的灰化(ashing)和/或溶剂剥除工艺(solvent stripping process)去除光刻胶掩膜164。
其次,因为压缩应力引发膜并不会对将形成于区域180中的NFET装置产生效能提升,因此是使用适当的湿或干蚀刻工艺将第一应力引发单晶材料层150从NFET区180以等向的方式去除,如图6中所示。于一个范例实施例中,此蚀刻工艺包含于包括氢氧化铵、过氧化氢、和水(NH4OH/H2O2/H2O)的“标准清洁一号”(SC-1)溶液中浸泡约10分钟。于另一个实施例中,将此溶液的温度升温至大约55℃或更高。于此蚀刻过程中,硬掩膜层160保护包含层150的PFET区200中的结构。
包括硅并且具有适合于NFET装置的拉伸应力引发性质的第二应力引发单晶材料层170是选择性地外延成长于NFET区180中的源极和漏极凹部142,如图7中所示。于一个实施例中,除了改变反应剂之外,可以用如前面说明的参照第一应力引发单晶材料层150的相似的方式实施层170的外延成长。举例而言,是将譬如像是碳(C)的拉伸应力引发元素或者拉伸应力引发元素的结合予以添加到外延反应剂中。层170因而形成为单晶埋置的硅碳(eSi:C)层,该硅碳层施加拉伸应力于沟道154。用来形成eSi:C的沉积气体典型包含SiH4、甲基硅烷(Si2H6)、或者这些化学物种的衍生物,以提供碳来源。典型的蚀刻剂气体为HCL和/或氯(Cl2)。于另一个实施例中,第二应力引发单晶材料层170包含达大约原子百分率为3%的碳,而较佳包含原子百分率从大约1%至大约2%的碳。因为存在有图案化的硬掩膜层160,所以第二应力引发单晶层仅成长于NMOS晶体管的源极与漏极区中。于另一个范例实施例中,将譬如像是砷化三氢(AsH3)和/或磷化三氢(PH3)的化合物添加到外延反应剂,从而以N型杂质掺杂剂元素砷(As)和/或磷(p)而分别原位掺杂层170。使用此种包含N型掺杂剂的拉伸应力引发的源极和漏极材料是尤其有助于提升NFET装置的效能。
如此一来,本方法提供分别用于NFET和PFET装置的拉伸和压缩应力引发的源极与漏极区。适用于PFET装置的压缩应力引发层是外延成长于NFET和PFET装置二者的源极与漏极区中,并且后续于NFET装置中被适当的拉伸应力引发层替代。通过使用以上所述的顺序,在譬如eSi:C的拉伸应力引发材料之前,先沉积譬如eSi:Ge的压缩应力引发材料。此种压缩应力引发材料在受到后续的高温处理时(譬如沉积硬掩膜层160),相较于在此种状况下倾向于松弛的拉伸应力引发材料(譬如eSi:C),典型地更能维持他们的应力引发性质。再者,于相同的外延工艺期间,通过成长压缩应力引发层于NFET和PFET源极/漏极区二者中,外延成长的面积相对于全部的衬底面积(亦称之为工艺负载(process loading))是会实质地增加。此因素可能有利于达成薄膜厚度的一致性,因为于小区域的外延材料的成长率,或者低程度的工艺负载,相较于较大区域的成长通常较不可控制。因此以低负载程度达成目标薄膜厚度更加困难,并且可能导致整个衬底和衬底之间的薄膜厚度的不一致。然而,虽然上述实施例提供先形成压缩应力引发材料再形成拉伸应力引发材料的做法,但是在此亦可考虑到实施反向顺序。就此而言,在可能希望用于特定的装置设备和/或工艺时,可以先外延成长拉伸应力引发材料。
因此,本发明提供了制造具有经外延成长的应力引发源极与漏极区的PFET和NFET装置的方法。具有压缩或拉伸应力引发性质的第一单晶材料是外延成长于PFET与NFET源极/漏极区二者中。维持第一材料于适合其应力引发性质的一个装置类型(PFET或NFET)的源极/漏极中,并且后续于另一装置类型中被具有相反应力引发性质的第二材料所替代。于相同成长工艺过程中,于PFET与NFET装置二者中形成外延材料会增加工艺负载并且因此提升外延工艺的成长率控制。此外,本文中所说明的工艺顺序会比习知的工艺需要较少的微影和蚀刻步骤。再者,外延成长的压缩或拉伸应力引发的源极/漏极区可以被原位杂质掺杂,因此免除了杂质注入步骤。如此一来,能够制造先进的世代装置所需的具有较薄的栅极绝缘层和电极层,而不须冒由注入的掺杂剂使沟道污染的风险。
虽然已在本发明的上述详细说明中提出至少一个实施范例,但是应该了解到仍存在有许多的变化。亦应该了解到实施范例或诸实施范例仅是作实例用,而并不欲限制本发明的范围、应用、或架构于任何方式。而是,以上的详细说明将供提熟悉此项技术者施行本发明的实施范例的方便的蓝图,将了解到在例示的实施范例中所说明的功能和组件的配置可以作各种的改变而仍不脱离本发明提出于所附权利要求中及其合法均等的范围。
权利要求书(按照条约第19条的修改)
1.一种在具有第一区域(180)与第二区域(200)的半导体衬底(110)上和半导体衬底(110)中制造半导体装置(100)的方法,该方法包括下列步骤:
形成第一栅极堆栈(124)以覆于该第一区域(180)上和第二栅极堆栈(128)以覆于该第二区域(200)上;
将第一凹部(142)和第二凹部(142)蚀刻入该衬底(110),该第一凹部(142)至少对准于该第一区域(180)中的该第一栅极堆栈(124),而该第二凹部(142)至少对准于该第二区域(200)中的该第二栅极堆栈(128);
外延成长压缩应力引发单晶材料(150)于该第一和第二凹部(142)中;
从该第一凹部(142)去除该压缩应力引发单晶材料(150);以及
外延成长拉伸应力引发单晶材料(170)于该第一凹部(142)中,其中,该拉伸应力引发单晶材料(170)具有与该压缩应力引发单晶材料(150)不同的组成,以使該拉伸应力引发单晶材料(170)具有相反于该压缩应力引发单晶材料(150)的应力引发性质。
2.如权利要求1所述的方法,其中,外延成长压缩应力引发单晶材料(150)的该步骤包括:外延成长原位掺杂的压缩应力引发单晶材料(150)。
3.如权利要求1所述的方法,其中,外延成长拉伸应力引发单晶材料(170)的该步骤包括:外延成长原位掺杂的拉伸应力引发单晶材料(170)。
4.如权利要求1所述的方法,其中,形成第一栅极堆栈(124)以覆于该第一区域(180)上和第二栅极堆栈(128)以覆于该第二区域(200)上的该步骤包括:
形成具有第一侧壁的第一栅极堆栈(124)以覆于该第一区域(180)上;
形成具有第二侧壁的二栅极堆栈(128)以覆于该第二区域(200)上;以及
还包括:
将第一侧壁间隔件(132)形成为邻接该第一栅极堆栈(124)的该第一侧壁;及
将第二侧壁间隔件(134)形成为邻接该第二栅极堆栈(128)的该第二侧壁;以及
其中,将第一凹部(142)和第二凹部(142)蚀刻入该衬底(110),该第一凹部(142)至少对准于该第一区域(180)中的该第一栅极堆栈(124),而该第二凹部(142)至少对准于该第二区域(200)中的该第二栅极堆栈(128)的该步骤包括:
将第一凹部(142)和第二凹部(142)蚀刻入该衬底(110),该第一凹部(142)配置于该第一区域(180)并且对准该第一栅极堆栈(124)和该第一侧壁间隔件(132),而该第二凹部(142)配置于该第二区域(200)并且对准该第二栅极堆栈(128)和该第二侧壁间隔件(134)。
5.如权利要求2所述的方法,其中,外延成长原位掺杂的压缩应力引发单晶材料(150)的该步骤包括:外延成长选自由SiGe和SiSn所组成的群组的原位掺杂的压缩应力引发单晶材料(150)。
6.如权利要求2所述的方法,其中,外延成长原位掺杂的压缩应力引发单晶材料(150)的该步骤包括:外延成长原位掺杂硼的压缩应力引发单晶材料(150)。
7.如权利要求3所述的方法,其中,外延成长原位掺杂的拉伸应力引发单晶材料(170)的该步骤包括:外延成长包括SiC的原位掺杂的拉伸应力引发单晶材料(170)。
8.如权利要求3所述的方法,其中,外延成长原位掺杂的拉伸应力引发单晶材料(170)的该步骤包括:外延成长以选自由磷和砷所组成的群组的掺杂剂进行原位掺杂的原位掺杂的拉伸应力引发单晶材料(170)。
Claims (10)
1.一种在具有第一区域(180)与第二区域(200)的半导体衬底(110)上和半导体衬底(110)中制造半导体装置(100)的方法,该方法包括下列步骤:
形成第一栅极堆栈(124)以覆于该第一区域(180)上和第二栅极堆栈(128)以覆于该第二区域(200)上;
将第一凹部(142)和第二凹部(142)蚀刻入该衬底(110),该第一凹部(142)至少对准于该第一区域(180)中的该第一栅极堆栈(124),而该第二凹部(142)至少对准于该第二区域(200)中的该第二栅极堆栈(128);
外延成长第一应力引发单晶材料(150)于该第一和第二凹部(142)中;
从该第一凹部(142)去除该第一应力引发单晶材料(150);以及
外延成长第二应力引发单晶材料(170)于该第一凹部(142)中,其中,该第二应力引发单晶材料(170)具有与该第一应力引发单晶材料(150)不同的组成。
2.如权利要求1所述的方法,其中,外延成长第一应力引发单晶材料(150)的该步骤包括:外延成长压缩应力引发单晶材料(150)。
3.如权利要求1所述的方法,其中,外延成长第二应力引发单晶材料(170)的该步骤包括:外延成长拉伸应力引发单晶材料(170)。
4.如权利要求1所述的方法,其中,外延成长第一应力引发单晶材料(150)的该步骤包括:外延成长第一种经原位掺杂的应力引发单晶材料(150)。
5.如权利要求1所述的方法,其中,外延成长第二应力引发单晶材料(170)的该步骤包括:外延成长第二种经原位掺杂的应力引发单晶材料(170)。
6.一种在具有第一区域(180)与第二区域(200)的半导体衬底(110)上和半导体衬底(110)中制造半导体装置(100)的方法,该方法包括下列步骤:
形成具有第一侧壁的第一栅极堆栈(124)以覆于该第一区域(180)上;
形成具有第二侧壁的二栅极堆栈(128)以覆于该第二区域(200)上;
将第一侧壁间隔件(132)形成为邻接该第一栅极堆栈(124)的该第一侧壁;
将第二侧壁间隔件(134)形成为邻接该第二栅极堆栈(128)的该第二侧壁;
将第一凹部(142)和第二凹部(142)蚀刻入该衬底(110),该第一凹部(142)配置于该第一区域(180)并且对准该第一栅极堆栈(124)和该第一侧壁间隔件(132),而该第二凹部(142)配置于该第二区域(200)并且对准该第二栅极堆栈(128)和该第二侧壁间隔件(134);
外延成长原位掺杂的压缩应力引发单晶材料(150)于该第一和第二凹部(142)中;
从该第一凹部(142)去除该原位掺杂的压缩应力引发单晶材料(150);以及
外延成长原位掺杂的拉伸应力引发单晶材料(170)于该第一凹部(142)中。
7.如权利要求6所述的方法,其中,外延成长原位掺杂的压缩的应力引发单晶材料(150)的该步骤包括:外延成长选自由SiGe和SiSn所组成的群组的原位掺杂的压缩应力引发单晶材料(150)。
8.如权利要求6所述的方法,其中,外延成长原位掺杂的压缩应力引发单晶材料(150)的该步骤包括:外延成长原位掺杂硼的压缩应力引发单晶材料(150)。
9.如权利要求6所述的方法,其中,外延成长原位掺杂的拉伸应力引发单晶材料(170)的该步骤包括:外延成长包括SiC的原位掺杂的拉伸应力引发单晶材料(170)。
10.如权利要求6所述的方法,其中,外延成长原位掺杂的拉伸应力引发单晶材料(170)的该步骤包括:外延成长以选自由磷和砷所组成的群组的掺杂剂进行原位掺杂的原位掺杂的拉伸应力引发单晶材料(170)。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/359,764 | 2009-01-26 | ||
US12/359,764 US7670934B1 (en) | 2009-01-26 | 2009-01-26 | Methods for fabricating MOS devices having epitaxially grown stress-inducing source and drain regions |
PCT/US2010/021999 WO2010085757A1 (en) | 2009-01-26 | 2010-01-25 | Methods for fabricating mos devices having epitaxially grown stress-inducing source and drain regions |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102292811A true CN102292811A (zh) | 2011-12-21 |
CN102292811B CN102292811B (zh) | 2016-01-20 |
Family
ID=41717592
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201080005507.6A Expired - Fee Related CN102292811B (zh) | 2009-01-26 | 2010-01-25 | 具有外延成长的应力引发源极与漏极区的金氧半导体装置的制造方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US7670934B1 (zh) |
KR (1) | KR101600553B1 (zh) |
CN (1) | CN102292811B (zh) |
DE (1) | DE112010000721T5 (zh) |
SG (1) | SG172835A1 (zh) |
WO (1) | WO2010085757A1 (zh) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103681505A (zh) * | 2012-09-20 | 2014-03-26 | 中芯国际集成电路制造(上海)有限公司 | 一种源漏双外延层的形成方法 |
WO2014067200A1 (zh) * | 2012-11-02 | 2014-05-08 | 中国科学院微电子研究所 | 半导体结构及其制造方法 |
WO2015039337A1 (zh) * | 2013-09-23 | 2015-03-26 | 李利锋 | 半导体结构 |
CN110416161A (zh) * | 2018-04-28 | 2019-11-05 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其制作方法 |
CN115440666A (zh) * | 2022-11-10 | 2022-12-06 | 广州粤芯半导体技术有限公司 | 具有双应力衬垫结构的cmos器件的制造方法 |
Families Citing this family (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102009010882B4 (de) * | 2009-02-27 | 2012-04-19 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Transistor mit einer eingebetteten Halbleiterlegierung in Drain- und Sourcegebieten, die sich unter die Gateelektrode erstreckt und Verfahren zum Herstellen des Transistors |
US8426265B2 (en) * | 2010-11-03 | 2013-04-23 | International Business Machines Corporation | Method for growing strain-inducing materials in CMOS circuits in a gate first flow |
US8415221B2 (en) * | 2011-01-27 | 2013-04-09 | GlobalFoundries, Inc. | Semiconductor devices having encapsulated stressor regions and related fabrication methods |
US8815712B2 (en) * | 2011-12-28 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for epitaxial re-growth of semiconductor region |
US9171762B2 (en) * | 2012-11-01 | 2015-10-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and fabrication method thereof |
US10134895B2 (en) * | 2012-12-03 | 2018-11-20 | Stmicroelectronics, Inc. | Facet-free strained silicon transistor |
US9419126B2 (en) * | 2013-03-15 | 2016-08-16 | GlobalFoundries, Inc. | Integrated circuits and methods for fabricating integrated circuits with active area protection |
US8895396B1 (en) * | 2013-07-11 | 2014-11-25 | United Microelectronics Corp. | Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures |
KR102619874B1 (ko) * | 2016-06-23 | 2024-01-03 | 삼성전자주식회사 | 불순물 영역을 갖는 반도체 소자 |
US10692973B2 (en) * | 2017-04-01 | 2020-06-23 | Intel Corporation | Germanium-rich channel transistors including one or more dopant diffusion barrier elements |
US10475904B2 (en) * | 2018-01-11 | 2019-11-12 | Globalfoundries Inc. | Methods of forming merged source/drain regions on integrated circuit products |
US10720364B2 (en) * | 2018-08-20 | 2020-07-21 | International Business Machines Corporation | Forming vertical transistor devices with greater layout flexibility and packing density |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7303949B2 (en) * | 2003-10-20 | 2007-12-04 | International Business Machines Corporation | High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture |
JP4515305B2 (ja) * | 2005-03-29 | 2010-07-28 | 富士通セミコンダクター株式会社 | pチャネルMOSトランジスタおよびその製造方法、半導体集積回路装置の製造方法 |
KR101155097B1 (ko) * | 2005-08-24 | 2012-06-11 | 삼성전자주식회사 | 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치 |
DE102005041225B3 (de) * | 2005-08-31 | 2007-04-26 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren |
KR100630767B1 (ko) * | 2005-09-08 | 2006-10-04 | 삼성전자주식회사 | 에피택셜 영역을 구비하는 모스 트랜지스터의 제조방법 |
DE102006015087B4 (de) * | 2006-03-31 | 2011-03-10 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung von Transistoren |
DE102006015090B4 (de) * | 2006-03-31 | 2008-03-13 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung unterschiedlicher eingebetteter Verformungsschichten in Transistoren |
US7566605B2 (en) * | 2006-03-31 | 2009-07-28 | Intel Corporation | Epitaxial silicon germanium for reduced contact resistance in field-effect transistors |
US7410875B2 (en) * | 2006-04-06 | 2008-08-12 | United Microelectronics Corp. | Semiconductor structure and fabrication thereof |
US8207523B2 (en) * | 2006-04-26 | 2012-06-26 | United Microelectronics Corp. | Metal oxide semiconductor field effect transistor with strained source/drain extension layer |
JP2008198715A (ja) * | 2007-02-09 | 2008-08-28 | Toshiba Corp | 半導体装置 |
KR100855977B1 (ko) * | 2007-02-12 | 2008-09-02 | 삼성전자주식회사 | 반도체 소자 및 그 제조방법 |
JP5359863B2 (ja) * | 2007-02-22 | 2013-12-04 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
US8124473B2 (en) * | 2007-04-12 | 2012-02-28 | Advanced Micro Devices, Inc. | Strain enhanced semiconductor devices and methods for their fabrication |
-
2009
- 2009-01-26 US US12/359,764 patent/US7670934B1/en active Active
-
2010
- 2010-01-25 KR KR1020117018190A patent/KR101600553B1/ko not_active IP Right Cessation
- 2010-01-25 SG SG2011048782A patent/SG172835A1/en unknown
- 2010-01-25 CN CN201080005507.6A patent/CN102292811B/zh not_active Expired - Fee Related
- 2010-01-25 DE DE112010000721T patent/DE112010000721T5/de not_active Ceased
- 2010-01-25 WO PCT/US2010/021999 patent/WO2010085757A1/en active Application Filing
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103681505A (zh) * | 2012-09-20 | 2014-03-26 | 中芯国际集成电路制造(上海)有限公司 | 一种源漏双外延层的形成方法 |
WO2014067200A1 (zh) * | 2012-11-02 | 2014-05-08 | 中国科学院微电子研究所 | 半导体结构及其制造方法 |
US9691899B2 (en) | 2012-11-02 | 2017-06-27 | Institute of Microelectronics, Chinese Academy of Sciences | Semiconductor structure and method for manufacturing the same |
WO2015039337A1 (zh) * | 2013-09-23 | 2015-03-26 | 李利锋 | 半导体结构 |
CN110416161A (zh) * | 2018-04-28 | 2019-11-05 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其制作方法 |
CN115440666A (zh) * | 2022-11-10 | 2022-12-06 | 广州粤芯半导体技术有限公司 | 具有双应力衬垫结构的cmos器件的制造方法 |
Also Published As
Publication number | Publication date |
---|---|
KR101600553B1 (ko) | 2016-03-07 |
SG172835A1 (en) | 2011-08-29 |
US7670934B1 (en) | 2010-03-02 |
WO2010085757A1 (en) | 2010-07-29 |
DE112010000721T5 (de) | 2012-06-21 |
KR20110123733A (ko) | 2011-11-15 |
CN102292811B (zh) | 2016-01-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102292811B (zh) | 具有外延成长的应力引发源极与漏极区的金氧半导体装置的制造方法 | |
US9647118B2 (en) | Device having EPI film in substrate trench | |
US7494884B2 (en) | SiGe selective growth without a hard mask | |
US7985641B2 (en) | Semiconductor device with strained transistors and its manufacture | |
JP5283233B2 (ja) | 応力強化mosトランジスタならびにその製造方法 | |
JP5756996B2 (ja) | マルチゲートトランジスタおよび形成する方法 | |
US7592214B2 (en) | Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate | |
JP5278022B2 (ja) | 半導体装置の製造方法 | |
US7838372B2 (en) | Methods of manufacturing semiconductor devices and structures thereof | |
US8030173B2 (en) | Silicon nitride hardstop encapsulation layer for STI region | |
US7601574B2 (en) | Methods for fabricating a stress enhanced MOS transistor | |
US20090302348A1 (en) | Stress enhanced transistor devices and methods of making | |
KR20150035436A (ko) | 반도체 구조물 및 디바이스 그리고 선택적 에피택셜 프로세스를 사용한 이의 형성 방법 | |
JP2006332337A (ja) | 半導体装置及びその製造方法 | |
KR20070052329A (ko) | 에피택셜 소스 및 드레인 영역들을 구비한 금속 게이트트랜지스터 | |
US20110217847A1 (en) | Semiconductor device and manufacturing method thereof | |
US11139432B1 (en) | Methods of forming a FinFET device | |
JP2009094300A (ja) | 半導体装置及びその製造方法 | |
US9331174B2 (en) | Method for improving device performance using epitaxially grown silicon carbon (SiC) or silicon-germanium (SiGe) | |
TWI585861B (zh) | 具有磊晶成長之應力引發源極與汲極區之金氧半導體裝置的製造方法 | |
US20070066023A1 (en) | Method to form a device on a soi substrate | |
CN113851425A (zh) | 形成半导体元件的方法 | |
KR101146956B1 (ko) | 반도체 소자의 제조방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20160120 Termination date: 20190125 |
|
CF01 | Termination of patent right due to non-payment of annual fee |