JP2015172752A - 電子デバイスを形成する方法 - Google Patents

電子デバイスを形成する方法 Download PDF

Info

Publication number
JP2015172752A
JP2015172752A JP2015081170A JP2015081170A JP2015172752A JP 2015172752 A JP2015172752 A JP 2015172752A JP 2015081170 A JP2015081170 A JP 2015081170A JP 2015081170 A JP2015081170 A JP 2015081170A JP 2015172752 A JP2015172752 A JP 2015172752A
Authority
JP
Japan
Prior art keywords
resist pattern
layer
alkaline
resist
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015081170A
Other languages
English (en)
Inventor
ヨン・チョル・ペ
Young Cheol Bae
トーマス・カルドレーシャ
Cardolaccia Thomas
イ・リュウ
Yi Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of JP2015172752A publication Critical patent/JP2015172752A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】高解像度、パターン制御性に優れたのパターン形成方法を提供する。【解決手段】パターン化されたレジスト膜をアルカリ性処理をし、その上に熱酸発生剤を含有する感熱性組成物を塗布し、加熱及び現像処理を行うパターン形成方法。【選択図】図1

Description

本出願は35U.S.C.119(e)に基づいて、米国仮出願第61/281,681号(2009年11月19日出願)および第61/335,168号(2009年12月31日出願)の優先権の利益を主張し、これら出願の全内容は参照により本明細書に組み込まれる。
本発明は概して、電子デバイスの製造に関する。より具体的には、本発明はフォトレジストパターン寸法の制御を可能にするフォトリソグラフィ方法に関する。本発明は、本発明の方法に使用されうるフォトレジストパターンを処理するための組成物にも関する。
半導体製造産業においては、半導体基体上に配置された1以上の下層、例えば、金属層、半導体層、または誘電体層、並びに基体それ自体に像を転写するために、フォトレジスト物質が使用されている。半導体デバイスの集積密度を高め、ナノメートル範囲の寸法を有する構造の形成を可能にするために、高解像能を有するフォトレジストおよびフォトリソグラフィ処理ツールが開発されており、開発され続けている。
半導体デバイスにおいてナノメートルスケールのフィーチャーサイズを達成するための1つの手法は、化学増幅型フォトレジストの露光中での短波長、例えば、193nm以下の光の使用である。液浸リソグラフィは、像形成装置、例えば、KrFまたはArF光源を有するスキャナーのレンズの開口数を効果的に増大させる。これは、像形成装置の最終面と半導体ウェハの上面との間に、比較的高い屈折率の流体(すなわち、液浸流体)を使用することにより達成される。液浸流体は、空気または不活性ガス媒体を用いて起こるであろうよりも、より多量の光がレジスト層に焦点を合わせられることを可能にする。
レイリー方程式(Rayleigh equation)によって定義される理論的な解像限界は以下に示される:
Figure 2015172752
式中、kはプロセス因子であり、λは像形成ツールの波長であり、NAは像形成レンズの開口数である。液浸流体として水を使用する場合には、最大開口数は、例えば、1.2から1.35に増大されうる。ラインアンドスペースパターンを印刷する場合の0.25のkについては、193nmの液浸スキャナは36nmハーフピッチラインアンドスペースパターンを解像することができるのみであろう。コンタクトホールまたは任意の2Dパターンを印刷するための解像度は、ダークフィールドマスクを用いた低空中像コントラストのせいで、さらに限定され、kについての理論的限界は0.35である。よって、コンタクトホールの最も小さいハーフピッチは約50nmに限定される。標準の液浸リソグラフィプロセスは、より高解像度を必要とするデバイスの製造に一般的に適していない。
半導体製造産業においては、半導体基体上に配置された1以上の下層、例えば、金属層、半導体層、および誘電体層、並びに基体自体に像を転写するために、フォトレジスト物質が使用されている。半導体デバイスの集積密度を高め、ナノメートル(nm)範囲の寸法を有する構造を形成するのを可能にするために、高解像能を有するフォトレジストおよびフォトリソグラフィ処理ツールが開発されており、開発され続けている。
半導体デバイスにおいてナノメートルスケールのフィーチャーサイズを達成するための1つの手法は、レジスト露光中での短波長、例えば、193nm以下の光の使用である。液浸リソグラフィは、像形成装置、例えば、KrFまたはArF光源を有するスキャナーのレンズの開口数(NA)を効果的に増大させる。これは、像形成装置の最終面と半導体ウェハの上面との間に、比較的高い屈折率の流体(すなわち、液浸流体)を使用することにより達成される。液浸流体は、空気または不活性ガス媒体を用いて起こるであろうよりも、より多量の光がレジスト層に焦点を合わせられることを可能にする。液浸流体として水を使用する場合には、最大開口数は、例えば、1.2から1.35に増大されうる。開口数のこのような増大によって、単一の露光プロセスにおいて40nmハーフピッチ解像度を達成することが可能であり、よって、向上したデザイン縮小を可能にする。しかし、この標準的な液浸露光プロセスは、より高い解像度を必要とするデバイスの製造に、例えば、32nmおよび22nmハーフピッチノードに一般的に適していない。
より高解像度を達成し、かつ既存の製造ツールの能力を理論的な解像度限界を超えて拡大させるための努力において、様々なダブルパターニングプロセス、例えば、自己整合ダブルパターニング(self−aligned double patterning;SADP)、リソ−エッチ−リソ−エッチ(litho−etch−litho−etch;LELE)およびリソ−リソ−エッチ(litho−litho−etch;LLE)技術が提案されてきた。しかし、典型的に実施されるこのような技術は1以上の不利益に悩まされる。SADPプロセスは、典型的には、比較的多数のプロセス工程を伴い、それにより、生産スループットに悪影響を及ぼす。LELE技術からは、フォトリソグラフィ処理モジュールとエッチング処理モジュールとの間で往復してウェハを輸送することから、並びにエッチングおよびレジスト除去プロセス自体から、生成物の汚染および欠陥が発生する場合がある。LLE手順は第1のリソグラフィ(L1)レジストパターンの形成および安定化と、それに続く第2のリソグラフィ(L2)パターンの形成を伴う。イオン注入、UV硬化、熱硬質化、熱硬化および化学硬化などの様々なレジスト安定化技術が提案されてきた。Brzozowyらへの米国特許出願公開第2008/0199814A1号(特許文献1)は、溶媒、レジストポリマー中のアンカー基と反応性である少なくとも2つの官能基を有する定着剤化合物、並びに任意の添加剤、例えば、触媒、界面活性剤およびポリマーを含む定着剤溶液でレジストパターンがコーティングされる、上塗り化学硬化技術を開示する。LLEプロセスはSADPおよびLELEよりも少ないプロセス工程を含むが、レジスト安定化中のパターン変形;L2レジストコーティング/ソフトベークプロセス中でのL1レジスト層とL2レジスト層との間の相互混合;およびL2露光/現像プロセス中のL1パターンの現像:を回避するのが困難な場合がある。
米国特許出願公開第2008/0199814A1号明細書
最新技術に関連する上記課題の1以上に取り組むフォトリソグラフィ方法についての、当該技術分野における継続した必要性が存在している。
本発明の第1の形態に従って、電子デバイスを形成する方法が提供される。この方法は、(a)パターン形成される1以上の層を含む半導体基体を提供し;(b)第1の樹脂成分と光活性成分とを含む感光性組成物の第1の層を、前記パターン形成される1以上の層上に適用し;(c)前記第1の層を、パターン化されたフォトマスクを通した活性化放射線に露光し;(d)露光された第1の層を現像してレジストパターンを形成し;(e)ハードベークプロセスにおいて前記レジストパターンを熱処理し;(f)前記レジストパターンの表面をアルカリ性にするのに有効な物質で、前記ハードベークされたレジストパターンを処理し;(g)第2の樹脂成分と熱酸発生剤とを含む感熱性組成物の第2の層を、前記レジストパターンのアルカリ性表面と接触するように適用し;(h)前記感熱性組成物の第2の層を、熱酸発生剤が酸を発生するのに有効な温度に加熱し;並びに(i)加熱された第2の層を現像する;ことを含む。必須の露光プロセスを伴う光活性層とは対照的な、熱による活性化を伴うこのような感熱層の使用は、ツールコストおよびスループットの双方の観点から有意な節約をもたらしうる。
本発明のさらなる形態に従って、コーティングされた半導体基体が提供される。このコーティングされた半導体基体は、パターン形成される1以上の層を含む半導体基体;パターン形成される1以上の層上のレジストパターンであって、アルカリ性表面を有するレジストパターン;並びに、第2の樹脂成分と熱酸発生剤とを含む第2の組成物であって、前記レジストパターンのアルカリ性表面と接触している感熱性組成物の層;を含む。
本発明は形成されるリソグラフィパターンの臨界寸法(critical dimensions)の精密な制御を可能にする。より具体的には、本発明は、形成されるレジストパターンの寸法の制御された増大、低減または維持を可能にする。本発明は、さらに、レジストパターンにおける、改良されたラインエッジラフネス(line edge roughness:LER)を提供することができる。本発明は、改良されたフォトリソグラフィパターニング技術、例えば、自己整合スペーサー多重パターニング、並びにコンタクトホールおよび溝形成に有用な縮小方法を可能にする。
図1のA〜Iは本発明に従った電子デバイスを形成するためのプロセスフローを示す。 図2のA〜Iは本発明に従った自己整合スペーサーパターニング方法についての第1のプロセスフローを示す。 図3のA〜Hは本発明に従ったコンタクトホール縮小方法についてのプロセスフローを示す。 図4のA〜Hは本発明に従った溝形成方法についてのプロセスフローを示す。
本発明は、添付の図面を参照して説明され、この図面においては同様の参照番号は同様のフィーチャーを示す。
本発明の例示的な形態が図1A〜Iを参照して説明され、図1A〜Iは本発明に従った、電子デバイスを形成するための例示的なフォトリソグラフィプロセスフローを示す。図1Aは、その表面上に形成された様々な層およびフィーチャーを含むことができる基体100を示す。基体は、半導体、例えばケイ素、または化合物半導体(例えば、III−VまたはII−VI)、ガラス、石英、セラミック、銅などの物質からなることができる。典型的には、基体は半導体ウェハ、例えば、単結晶シリコン、または化合物半導体ウェハであり、基体はその表面上に形成された1以上の層およびパターン形成されたフィーチャーを有することができる。パターン形成される1以上の層102が基体100上に提供されうる。場合によっては、例えば、基体物質に溝を形成することが望まれる場合には、下にあるベース基体物質自体がパターン形成されてよい。ベース基体物質自体をパターン形成する場合には、このパターンは基体の層に形成されると見なされる。
この層には、例えば、1以上の導電層、例えば、アルミニウム、銅、モリブデン、タンタル、チタン、タングステン、このような金属の合金、窒化物もしくはケイ化物、ドープされた非晶質ケイ素、またはドープされたポリシリコン、1以上の誘電層、例えば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、もしくは金属酸化物の層、半導体層、例えば、単結晶シリコン、並びにこれらの組み合わせが挙げられ得る。エッチングされる層は様々な技術、例えば、化学蒸着(CVD)、例えば、プラズマ援用CVD、低圧CVDもしくはエピタキシャル成長;物理蒸着(PVD)、例えばスパッタリングもしくは蒸発;または電気めっきによって形成されうる。エッチングされる1以上の層102の具体的な厚みは、物質および形成される具体的なデバイスに応じて変化しうる。
エッチングされる具体的な層、膜厚および使用されるフォトリソグラフィ物質およびプロセスに応じて、層102上に、フォトレジスト層がこの上にコーティングされる反射防止塗膜(bottom antireflective coating;BARC)104および/またはハードマスク層103を配置することが望まれる場合がある。例えば、エッチングされる層がかなりのエッチング深さを必要とし、および/または具体的なエッチング剤がレジスト選択性に劣り、非常に薄いレジスト層を使用する場合には、ハードマスク層の使用が望まれる場合がある。ハードマスク層が使用される場合には、形成されるレジストパターンはハードマスク層に写されることができ、これは次いで、下にある層102をエッチングするためのマスクとして使用されうる。好適なハードマスク物質および形成方法は当該技術分野において知られている。典型的な物質には、例えば、タングステン、チタン、窒化チタン、酸化チタン、酸化ジルコニウム、酸化アルミニウム、酸窒化アルミニウム、酸化ハフニウム、非晶質炭素、酸窒化ケイ素および窒化ケイ素が挙げられる。ハードマスク層103は単一層を構成するか、または異なる物質の複数の層を含むことができる。ハードマスク層は、例えば、化学または物理蒸着技術によって形成されうる。
反射防止塗膜がなければ基体および/または下にある層が、フォトレジスト露光中に有意な量の入射放射線を反射し、その結果、形成されたパターンの品質が悪影響を受けるであろう場合には、反射防止塗膜104が望まれる場合がある。このような塗膜は焦点深度、露光寛容度、ライン幅均一性およびCD制御を向上させうる。レジストが深紫外光(300nm以下)、例えば、KrFエキシマレーザー光(248nm)、ArFエキシマレーザー光(193nm)、電子ビームおよび軟x−線に露光される場合には、反射防止塗膜が典型的に使用される。反射防止塗膜104は単一層を構成するか、または複数の異なる層を含むことができる。好適な反射防止物質および形成方法は当該技術分野において知られている。反射防止物質は市販されており、例えば、ロームアンドハースエレクトロニックマテリアルズエルエルシー(米国、マサチューセッツ州、マルボロ)により、AR商標40AおよびAR商標124反射防止剤などのAR商標の下で販売されているものがある。
感光性組成物が基体上に、(存在する場合には)反射防止層104上に適用されて、第1の感光層106を形成する。本明細書において使用される場合、用語「感光性物質」、「感光性組成物」および「フォトレジスト」は交換可能に使用される。好適なフォトレジスト物質は当該技術分野において知られており、例えば、アクリラートベースのもの、ノボラックベースのもの、およびケイ素化学物質ベースのものが挙げられる。好適なレジストは、例えば、米国特許出願公開第20090117489A1号、第20080193872A1号、第20060246373A1号、第20090117489A1号、第20090123869A1号および米国特許第7,332,616号に記載されている。本発明の方法に有用なフォトレジスト物質には、ポジ型物質およびネガ型物質の双方が挙げられる。好適なポジ型物質には、ポジ型化学増幅型フォトレジストが挙げられ、これは組成物の1種以上の成分の酸不安定基の、光酸で促進される脱保護反応を受けて、このレジストの塗膜層の露光領域を、未露光領域よりも水性現像剤中でより可溶性にする。フォトレジスト樹脂の典型的な光酸不安定基(photoacid−labile groups)には、エステルのカルボキシル酸素に共有結合した第三級非環式アルキル炭素(例えば、t−ブチル)または第三級脂環式炭素(例えば、メチルアダマンチル)を含むエステル基が挙げられる。アセタール光酸不安定基も典型的である。
感光性組成物は樹脂成分と光活性成分とを含む。樹脂は好ましくは、レジスト組成物に水性アルカリ現像可能性を付与する官能基を有する。例えば、典型的なものは、ヒドロキシルまたはカルボキシラートのような極性官能基を含む樹脂バインダーである。樹脂成分は、その組成物の露光された層を現像剤溶液、例えば、アルカリ水溶液中で現像可能にするのに充分な量で、組成物中で使用される。樹脂成分は典型的には、レジストの全固形分の約70〜約97重量%を構成することができる。
感光性組成物は、活性化放射線への露光の際に組成物の塗膜層に潜像を生じさせるのに充分な量で使用される光活性成分をさらに含む。例えば、光活性成分はレジストの全固形分の約1〜20重量%の量で好ましくは存在することができる。レジスト組成物中の典型的な光活性成分は光酸発生剤である。好適なPAGは化学増幅フォトレジストの技術分野において知られており、例えば、オニウム塩、例えば、トリフェニルスルホニウム塩、ニトロベンジル誘導体、スルホン酸エステル、ジアゾメタン誘導体、グリオキシム誘導体、N−ヒドロキシイミド化合物のスルホン酸エステル誘導体、およびハロゲン含有トリアジン化合物が挙げられる。このようなPAGの1種以上が使用されうる。
レジストの典型的な任意の添加剤は追加塩基、特にテトラブチルアンモニウムヒドロキシド(TBAH)またはテトラブチルアンモニウムラクタートであり、これは現像されたレジストレリーフ像の解像度を向上させることができる。193nmで像形成されるレジストについては、典型的な追加塩基はヒンダードアミン、例えば、ジアザビシクロウンデセンまたはジアザビシクロノネンである。追加塩基は比較的少量で、例えば、全固形分に対して約0.03〜5重量%で好適に使用される。
本発明に従って使用されるフォトレジストは他の任意の物質を含むこともできる。例えば、他の任意の添加剤には、ストリエーション防止剤(anti−striation agents)、可塑剤、速度向上剤などが挙げられる。この様な任意の添加剤は、典型的には、比較的高濃度、例えば、レジストの乾燥成分の合計重量を基準にして約0.1〜10重量%の量で存在することができる充填剤および染料を除いて、フォトレジスト組成物中に低濃度で存在する。
好適なネガ型レジストは典型的には架橋性成分を含みうる。架橋性成分は典型的には別のレジスト成分として存在する。メラミンのようなアミンベースの架橋剤、例えば、サイメル(Cymel)メラミン樹脂が典型的である。本発明において有用なネガ型フォトレジスト組成物は、酸への曝露により硬化し、架橋しまたは固化しうる物質と、本発明の光活性成分との混合物を含む。特に有用なネガ型組成物はフェノール系樹脂のような樹脂バインダー、架橋剤成分および光活性成分を含む。このような組成物およびその使用は欧州特許第0164248B1号および第0232972B1号、並びに米国特許第5,128,232号に開示されている。樹脂バインダー成分として使用するのに典型的なフェノール系樹脂には、上述のもののようなノボラックおよびポリ(ビニルフェノール)が挙げられる。典型的な架橋剤には、アミンベースの物質、例えば、メラミン、グリコールウリル、ベンゾグアナミン−ベースの物質および尿素ベースの物質が挙げられる。メラミン−ホルムアルデヒド樹脂は、一般的に最も典型的である。このような架橋剤は商業的に入手可能であり、例えば、サイメル(Cymel)300、301および303の商品名で、サイテックインダストリーズ(Cytec Industries)により販売されているメラミン樹脂;サイメル1170、1171、1172の商品名でサイテックインダストリーズにより販売されているグリコールウリル樹脂;ビートル(Beetle)60、65および80の商品名でテクノールアペックスカンパニー(Teknor Apex Company)によって販売されている尿素ベースの樹脂;並びに、サイメル1123および1125の商品名でサイテックインダストリーズにより販売されているベンゾグアナミン樹脂が挙げられる。サブ200nmの波長、例えば、193nmでの像形成のために、典型的なネガ型フォトレジストは国際公開第03077029号に開示されている。
本発明において有用なフォトレジストは一般的には、公知の手順に従って製造される。例えば、レジストは、フォトレジストの成分を好適な溶媒に溶解することによりコーティング組成物として製造されることができ、この好適な溶媒には、例えば、グリコールエーテル、例えば、2−メトキシエチルエーテル(ジグライム)、エチレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル;プロピレングリコールモノメチルエーテルアセタート;乳酸エステル、例えば、乳酸エチルまたは乳酸メチル;プロピオン酸エステル、特にプロピオン酸メチル、プロピオン酸エチルおよびエチルエトキシプロピオナート;セロソルブエステル、例えば、メチルセロソルブアセタート;芳香族炭化水素、例えば、トルエンもしくはキシレン;またはケトン、例えば、メチルエチルケトン、シクロヘキサノンおよび2−ヘプタノンが挙げられる。フォトレジストの固形分量は、典型的には、フォトレジスト組成物の全重量を基準にして約2〜25重量%で変化する。このような溶媒のブレンドも好適である。
本発明の方法は様々な像形成波長、例えば、サブ(sub)400nm、サブ300nmまたはサブ200nmの露光波長の波長を有する放射線と共に使用されることができ、EUVおよび157nm、並びに、I線(365nm)、248nmおよび193nmが典型的な露光波長である。典型的な形態においては、フォトレジストはサブ200の波長、例えば193nmを用いて像形成されるのに好適である。このような波長において、ドライ処理が使用されうるが、液浸リソグラフィの使用が典型的である。液浸リソグラフィにおいては、約1〜約2の屈折率を有する流体(すなわち、液浸流体)は、露光中に、露光ツールとフォトレジスト層との間に維持される。トップコート層が典型的にはフォトレジスト層上に配置され、液浸流体とフォトレジスト層との直接の接触を妨げ、フォトレジストの成分の液浸流体への漏出を回避する。
感光性組成物は、スピンコーティング、ディッピング、ローラーコーティング、または他の従来のコーティング技術によって基体に適用されうる。もちろん、スピンコーティングが典型的である。スピンコーティングについては、コーティング溶液の固形分量は、所望の膜厚を提供するために、使用される具体的なコーティング装置、溶液の粘度、コーティングツールの速度および回転の時間量に基づいて調節されうる。感光層106の典型的な厚みは約500〜1500Åである。感光層は、次いで、ソフトベークされることができ、層内の溶媒含量を最小限にすることができ、それにより、粘着性のない塗膜を形成し、この層の基体に対する接着性を向上させることができる。ソフトベークはホットプレート上でまたはオーブン内で行われることができ、ホットプレートが典型的である。ソフトベーク温度および時間は、例えば、感光層の具体的な物質および厚みに応じて変動しうる。典型的なソフトベークは約90〜150℃の温度で、約30〜90秒の時間で行われる。
感光層106が液浸リソグラフィツール、例えば、193nmの液浸スキャナーを用いて露光されるものである場合には、トップコート層(示されない)が感光層106上に配置されうる。このようなトップコート層の使用は、液浸流体と下にある感光層との間のバリアとして機能しうる。この方法において、場合によっては、結果的に、光学レンズの汚染、並びに液浸流体の有効屈折率および透過性の変化をもたらす、感光性組成物の成分の液浸流体への漏出は最小化されまたは回避されうる。好適なトップコート組成物は市販されており、例えば、OPTICOAT商標トップコート物質、例えば、OC商標2000(ロームアンドハースエレクトロニックマテリアルズ)および当該技術分野で知られた他のもの、例えば、米国特許出願公開第2006/0246373A1号および米国仮出願第61/204,007号(出願日、2008年12月31日)に記載されているものがある。このような組成物は、感光性組成物について上述したような任意の好適な方法によって、感光層上に適用されることができ、スピンコーティングが典型的である。トップコート層の厚みは典型的にはλ/4n(またはその奇数倍)であり、ここでλは露光放射線の波長であり、nはトップコート層の屈折率である。トップコート層が存在する場合には、トップコート適用の前よりもむしろ、トップコート層組成物が適用された後に、感光層106はソフトベークされうる。この方法において、双方の層からの溶媒は単一の熱処理工程において除去されうる。
感光層106は次いで、第1のフォトマスク110を通した活性化放射線108に露光されて、露光領域と未露光領域との間に溶解度の差を作り出す。ポジ型物質については、示されるように、フォトマスクは光学的に透明な領域および光学的に不透明な領域を有し、光学的に透明な領域は、その後の現像工程において除去される感光層の領域に対応する。ネガ型物質については、光学的に不透明な領域は、現像して除去されるレジスト層の部分に対応する。露光エネルギーは典型的には約1〜100mJ/cmであり、露光ツールおよび感光性組成物の成分に応じて変動する。本明細書において、組成物を活性化する放射線に感光性組成物を露光することについての言及は、光活性成分の反応を生じさせることにより、例えば、光酸発生剤化合物から光酸を生じさせることによるなどして、放射線が感光性組成物中に潜像を形成することができることを示す。感光性組成物は典型的には短い露光波長、特にサブ400nm、サブ300nm、またはサブ200nmの露光波長によって典型的に光活性化され、157nmおよび13.4nm、並びに、I線(365nm)、248nmおよび193nmが典型的な露光波長である。
感光層106の露光に続いて、この層の軟化点より高い温度で、感光層の露光後ベーク(PEB)が行われる。PEBは、例えば、ホットプレート上でまたはオーブン内でおこなわれうる。PEBの条件は、例えば、感光層の具体的な物質および厚みに応じて変化しうる。PEBは典型的には、約80〜150℃の温度で、約30〜90秒の時間で行われる。
露光された感光層106は、次いで、現像されて、図1Bに示されるように、第1のレジストパターン106’を形成する。現像剤物質は感光層106の具体的な物質に依存しうるが、好適な現像剤および現像技術は当該技術分野において知られている。典型的な現像剤には、例えば、水性塩基現像剤、例えば、第四級アンモニウムヒドロキシド溶液、例えば、テトラアルキルアンモニウムヒドロキシド溶液、例えば、0.26Nのテトラメチルアンモニウムヒドロキシドが挙げられる。
現像に続いて、レジストパターン106’は第1のハードベークプロセスにおいて熱処理されて、パターンを乾燥させ、図1Cに示されるように硬化したレジストパターン106’’を形成する。この熱処理は、後に適用される表面処理化学物質のレジストパターンへの吸着を容易にすると考えられる。このハードベークは典型的にはホットプレートまたはオーブンを用いて行われ、典型的には、約150℃以上、例えば、約170〜180℃の温度で、約30〜120秒の時間で行われる。
図1Dを参照すると、ハードベークされたレジストパターン106’’は、レジストパターンの表面をアルカリ性にするのに有効な物質で処理される。このアルカリ性の表面は、後にレジストパターン上に適用される感熱層の曝露中の反応を妨げる。例えば、ポジ型感熱層の場合には、下にあるアルカリ性に処理されたレジストパターンのごく近傍の領域において、酸触媒脱保護反応が妨げられる。その結果、感熱層の部分は現像後にその領域内に留まる。上塗り層が酸触媒ネガ型感熱層の場合には、アルカリ性に処理されたレジストパターンのごく近傍における層で重合が抑制される。
これらに限定されないが、特に好適な物質はアルカリ性物質およびこのアルカリ性物質とは異なる界面活性剤を含む。界面活性剤は、アルカリ性物質で処理されたレジストパターン上に実質的に均一な第2のレジストの塗膜層を形成するのを促進することが考えられる。
アルカリ性物質は様々な形態をとることができ、固体成分を好適な溶媒に溶解して形成される溶液の形態であることができる。レジストパターン処理に好適なアルカリ性物質には、例えば、水性塩基現像剤、例えば、第四級アンモニウムヒドロキシド溶液、例えば、テトラアルキルアンモニウムヒドロキシド溶液、例えば、0.26規定(N)(2.38重量%)のテトラメチルアンモニウムヒドロキシド(TMAH)が挙げられる。アルカリ性物質のために、およびそうでなければ組成物中に使用される溶媒物質は、下にあるフォトレジストを溶解するべきではなく、またはその溶解を最小限にするべきである。アルカリ性物質(何ら溶媒、例えば、水、アルコールなどが存在しない)は典型的には組成物中に、全組成物を基準にして約1〜10重量%の量で存在する。
レジストパターン処理組成物に好適な界面活性剤には、両親媒性を示す界面活性剤が挙げられ、両親媒性とは、界面活性剤が同時に親水性および疎水性であり得ることを意味する。両親媒性界面活性剤は、水に強い親和性を有する親水性ヘッド基と、有機物親和性で水をはじく長鎖疎水性テイルを有する。好適な界面活性剤はイオン性(すなわち、アニオン性、カチオン性)または非イオン性であることができる。界面活性剤のさらなる例としては、シリコーン界面活性剤、ポリ(アルキレンオキシド)界面活性剤およびフルオロケミカル界面活性剤が挙げられる。水溶液に使用するのに好適な非イオン性界面活性剤には、これらに限定されないが、オクチルおよびノニルフェノールエトキシラート、例えば、トライトン(TRITON登録商標)X−114、X−100、X−45、X−15、並びに分岐第二級アルコールエトキシラート、例えば、テルジトル(TERGITOL商標)TMN−6(ザダウケミカルカンパニー、米国、ミシガン州、ミッドランド)が挙げられる。さらに典型的な界面活性剤には、アルコール(第一級および第二級)エトキシラート、アミンエトキシラート、グルコシド、グルカミン、ポリエチレングリコール、ポリ(エチレングリコール−コ−プロピレングリコール)、またはニュージャージー州、グレンロックのマニュファクチャーズコンフェクショナーズパブリシングカンパニー(Manufacturers Confectioners Publishing Co.)によって出版されたマカッチャンの乳化剤および洗浄剤(McCutcheon’s Emulsifiers and Detergents)2000年北米版に開示される他の界面活性剤が挙げられる。
アセチレンジオール誘導体である非イオン性界面活性剤も好適であることができ、例えば、下記式の界面活性剤が挙げられる:
Figure 2015172752
式中、RおよびRは、3〜10の炭素原子を有する直鎖または分岐アルキル鎖であり;RおよびRは、Hまたは1〜5の炭素原子を好適に有するアルキル鎖であり;m、n、p、およびqは0〜20の範囲の数である。このような界面活性剤はアレンタウン、ペンシルバニア州のエアプロダクツアンドケミカルズインコポレーテッド(Air Products and Chemicals,Inc.)から商品名サーフィノール(SURFYNOL登録商標)およびダイノール(DYNOL登録商標)で市販されている。
本発明のコーティング組成物において使用するのに好適なさらなる界面活性剤には、他のポリマー系化合物、例えば、トリブロックEO−PO−EOコポリマーである、プルロニック(PLURONIC登録商標)25R2、L121、L123、L31、L81、L101およびP123(BASF、Inc.)が挙げられる。
特に好適な界面活性剤には、アミン、典型的には第一級および第二級アミン、すなわち、それぞれ、1以上の第一級アミン基および1以上の第二級アミン基を含むアミン、並びにこれらの組み合わせが挙げられる。第一級および/または第二級アミン基に加えて、第三級アミン基が存在することができる。典型的には、アミンは多官能性アミンである。アミンはポリアミン、例えば、ジアミン、トリアミンまたはテトラアミンであることができる。好適な第一級アミンには、下記式(I)の化合物が挙げられる:
Figure 2015172752
式中、Rは場合によって置換されたアルキル、例えば、場合によって置換されたC1〜C6アルキル、例えば、メチル、エチルまたはプロピルから選択され、エチルが典型的である。
他の好適な第一級アミンには、下記式(II)で表されるポリ(アリルアミン)が挙げられる:
Figure 2015172752
式中、Rは水素および場合によって置換されたアルキル、例えば、C1〜C3アルキルから選択され;Rは場合によって置換されたアルキレン、例えばC1〜C6アルキレン、典型的にはメチレンまたはエチレンから選択され;nは3以上の整数である。式(N−II)の典型的な第一級アミンにおいては、Rは水素であり、Rはメチレンである。
他の好適なアミンには、下記一般式(III)、(IV)および(V)で表されるものが挙げられる:
Figure 2015172752
式中、RおよびRはそれぞれ独立して、水素原子または1〜10の炭素原子を有するアルキル基であり、nは1〜10の整数である。
他の好適なアミンには次のものが挙げられる:
Figure 2015172752
これらのなかで、トリス(2−アミノエチル)アミン(TAEA)が特に好ましい。
界面活性剤は典型的には、組成物中に比較的少量で、例えば、組成物中の全固形分(全固形分は溶媒キャリアを除いた全組成物成分である)の重量を基準にして0.01〜5重量%、例えば、0.01〜1重量%で存在する。
レジストパターン処理組成物は、アルカリ性物質および界面活性剤成分に加えて、1種以上の任意成分を含むことができる。例えば、この組成物は、アルカリ性物質および界面活性剤のために使用されるあらゆる溶媒に加えて、1種以上の溶媒を含むことができる。上述のように、アルカリ性物質および組成物中のほかのもののために使用される溶媒物質は、下にあるフォトレジストを溶解すべきではないし、またはその溶解を最小限にすべきである。よって、好適な溶媒は下にある具体的なレジスト物質に応じて変化することができ、例えば、水およびn−ブタノールのようなアルコールが挙げられ得る。任意成分には、1種以上の塩基発生剤化合物、例えば、熱塩基発生剤化合物および/または光塩基発生剤化合物も挙げられる。
フォトレジストパターン処理組成物は、アルカリ性物質および界面活性剤成分、並びに、追加の成分、例えば、溶媒および塩基発生剤化合物を任意の順序で混合することにより製造されうる。成分の1種以上は、固体として、または好適な溶媒を用いてあらかじめ混合された溶液として添加されうる。
好ましくは、アルカリ性処理には、第四級アンモニウムヒドロキシドおよびアミンでの処理が挙げられる。第四級アンモニウムヒドロキシド物質およびアミンは、例えば、あらかじめ混合された組成物から、または物質を同時ではあるが互いに別々に適用することにより(この場合、その場で組成物が形成される)、基体に同時に適用されることができる。好ましくは、第四級アンモニウムヒドロキシド物質およびアミンはその順で逐次的に適用される。第四級アンモニウムヒドロキシドおよびアミン物質は液体、気体または蒸気として適用されることができ、かつ、例えば、スピンコーティング、ディッピング、蒸気コーティング、化学蒸着(CVD)または他の従来のコーティング技術によって適用されうる。もちろん、液体物質のスピンコーティングが典型的である。典型的には、第四級アンモニウムヒドロキシドおよびアミン物質は水溶液として適用されうる。第四級アンモニウムヒドロキシドおよびアミンが同時に適用される場合には、表面処理された基体は、例えば、脱イオン水ですすがれることができる。第四級アンモニウムヒドロキシドおよびアミン物質が逐次的に適用される場合には、このアミンは、水すすぎとしても機能する水溶液として適用されることができる。表面処理された基体は場合によっては、例えば、脱イオン水ですすがれることができ、過剰な組成物を除去する。
アルカリ性処理においてTMAHのような現像剤を使用する場合には、レジストパターン106’’の臨界寸法(critical dimension;CD)は、表面処理の結果として、レジストパターン106’の元のCDと比較してわずかに小さくなる。このCD損失は、表面処理中の第1のレジストパターンのさらなる現像に起因すると考えられる。この表面処理は、改変された第1のレジストパターン表面112を形成し、このレジストパターン表面はアルカリ性であり、かつ処理前表面のよりも小さいライン幅ラフネスを有する。
次いで、図1Eに示されるように、感熱性組成物が、レジストパターン106’’およびBARC層104上にコーティングされて、感熱層114を形成する。感熱層114は、具体的な用途に応じて、レジストパターン106’’を全体的に覆うように、またはレジストパターン106’’の厚みより低い高さに、もしくはその厚みと同じ高さに適用されうる。感熱性組成物は、光活性成分の代わりに熱酸発生剤(TAG)のような感熱成分が使用されることを除いて、感光性組成物に関して上述したのと同じであることができる。好適なTAGは当該技術分野において知られている。例えば、脱保護反応をベースにする物質の場合には、好適なTAGには、感熱層114の酸不安定基の結合を切断することができる酸、特にスルホン酸のような強酸を、加熱の際に発生する任意のものが挙げられる。典型的には、熱酸発生剤は90℃超、例えば、120℃超、または150℃超で活性化される。感熱層は、熱酸発生剤が組成物の樹脂成分と反応するのに充分な長さの時間で加熱される。熱酸発生剤の例としては、ニトロベンジルトシラート、例えば、2−ニトロベンジルトシラート、2,4−ジニトロベンジルトシラート、2,6−ジニトロベンジルトシラート、4−ニトロベンジルトシラート;ベンゼンスルホナート、例えば、2−トリフルオロメチル−6−ニトロベンジル4−クロロベンゼンスルホナート、2−トリフルオロメチル−6−ニトロベンジル4−ニトロベンゼンスルホナート;フェノールスルホン酸エステル、例えば4−メトキシベンゼンスルホン酸フェニル;有機酸のアルキルアンモニウム塩、例えば、10−カンフルスルホン酸のトリエチルアンモニウム塩が挙げられる。様々な芳香族(アントラセン、ナフタレンまたはベンゼン誘導体)スルホン酸アミン塩がTAGとして使用されることができ、米国特許第3,474,054号、第4,200,729号、第4,251,665号、および第5,187,019号に開示されるものが挙げられる。典型的には、TAGは170〜220℃の温度で非常に低い揮発性を有しうる。TAGの例としては、米国、コネチカット州、ノルウォークのキングインダストリーズ(King Industries)によって、NACURE商標、CDX商標およびK−PURE商標の名称で販売されているもの、例えば、NACURE5225、CDX−2168E、K−PURE商標2678およびK−PURE商標2700が挙げられる。感熱成分は、典型的には、組成物の全固形分を基準にして約1〜20重量%の量で組成物中に存在する。
感光性組成物がポジ型またはネガ型物質であることができるが、感熱性組成物のトーンは同様にポジ型またはネガ型であることができる。しかし、この組成物についての選択は、一般に、伴われる具体的な用途および形状に依存しうる。図示された方法においては、感光性組成物および感熱性組成物は双方ともポジ型である。
感熱層114は次いで、場合によって、ソフトベークされることができ、次いで、図1Fに示されるようにヒーター109を用いて、TAGが酸を発生するのに有効な温度で熱処理され、現像される。第1のレジストパターン106’’のアルカリ性に改変された表面領域112は、その表面領域の近傍における第2のレジスト層114の熱反応を妨げる。その結果、未反応の第2の感熱性組成物の層114’がレジストパターン106’’上に残る。得られる現像された像は、第1のフォトレジスト層の現像後のレジストパターンのと比較して、改良された(低減した)表面ラフネスを有する。
感熱層の現像に続いて、改変されたレジストパターン106’’をエッチングマスクとして用いてBARC層104が選択的にエッチングされて、下にあるハードマスク層103を露出させる。このハードマスク層は、次いで、改変されたレジストパターン106’’を再びエッチングマスクとして使用して、選択的にエッチングされて、結果として、図1Hに示されるように、パターン形成されたBARCおよびハードマスク層104’、103’を生じさせる。BARC層およびハードマスク層をエッチングするのに好適なエッチング技術および化学物質は、当該技術分野において知られており、かつ、例えば、これらの層の具体的な物質に応じて変化する。反応性イオンエッチングのようなドライエッチングプロセスが典型的である。改変されたレジストパターン106’’およびパターン形成されたBARC層104’は、次いで、公知の技術、例えば、酸素プラズマアッシングを用いて、基体から除去される。
ハードマスクパターン103’をエッチングマスクとして使用して、1以上の層102が選択的にエッチングされる。下にある層102をエッチングするのに好適なエッチング技術および化学物質は当該技術分野において知られており、反応性イオンエッチングのようなドライエッチングプロセスが典型的である。パターン形成されたハードマスク層103’は、次いで、公知の技術、例えば、反応性イオンエッチングのようなドライエッチングプロセスを用いて、基体表面から除去されうる。得られる構造は図1Iに示されるようなエッチングされたフィーチャー102’のパターンである。
別の典型的な方法においては、ハードマスク層103を使用することなく、改変されたフォトレジストパターン106’’を用いて直接に、層102をパターン形成することが望まれる場合がある。レジストパターンを用いた直接パターニングが使用されうるかどうかは、関連する物質、レジスト選択性、レジストパターン厚みおよびパターン寸法などの要因に依存しうる。
本発明は電子デバイスの製造における様々な状況に適用されうる。例えば、本発明は、ダブルパターニング(または、ピッチ分割)、例えば、自己整合スペーサーダブルパターニング、縮小方法(shrink processes)、例えば、コンタクトホールおよび溝の形成に有用な縮小方法、並びにレジストパターンライン幅ラフネスの向上のための基礎としての具体的な用途を見いだす。以下に示される他の場合を除いて、一般的なプロセスおよび図1に関する上記記載は後述のプロセスのそれぞれに適用可能である。
自己整合スペーサーダブルパターニング
本発明に従った典型的なダブルパターニングプロセスが図2を参照してここで説明され、図2は自己整合スペーサーダブルパターニングのためのプロセスフローを示す。図2A〜Eに示されるように、アルカリ性処理によって改変された表面112を有し、感熱層114でコーティングされた硬化レジストパターン106’’は、図1を参照して上述したのと同じ方法で形成される。感光層106はポジ型またはネガ型であることができるが、感熱層114はポジ型である。感熱層114は典型的には表面処理されたレジストパターン106’’のよりも薄い厚みにコーティングされ、後述のようなその後の工程におけるレジストパターンの除去を容易にする。第1のレジストパターン106’’を除去する目的のためにも、使用されるエッチング剤は感熱性物質と比べて感光性パターンに対して良好な選択性を有するべきである。そのようなものとして、感光性樹脂物質と感熱性樹脂物質とは異なっているべきである。例えば、これら物質の一方にケイ素ベースのポリマー、例えば、シルセスキオキサン型ポリマーを使用する一方で、感光性および感熱性組成物の他方のものについては異なる化学物質、例えば、アクリラート、ノボラックもしくはフェノール型ポリマーを使用することが望まれる場合がある。
図2Eを参照すると、ヒーター109によって感熱層114が熱処理される。加熱された層が現像され、図2Fに示されるように、レジストパターン106’’のそれぞれのラインの側壁に接したスペーサー114’を残す。この点において、スペーサーの幅を調節する、例えば、その幅を広くすることが望まれる場合には、このプロセスにおける、第1のハードベークに始まり追加の感熱層の現像に至る、一点鎖線矢印によって示されるような一連の工程が1回以上繰り返されることができる。
次いで、フォトレジストパターンは除去され、図2Gにしめされるようにスペーサー114’を残す。次いで、スペーサー114’はエッチングマスクとして使用されて、1以上の下にある層を直接にパターン形成するか、または下にあるハードマスクをまずパターン形成し、次いで、このハードマスク層が、下にある層をパターン形成するために使用される。BARC層104は、次いで、スペーサー114’をエッチングマスクとして使用して選択的にエッチングされ、下にあるハードマスク層103を露出させる。このハードマスク層は、次いで、再びスペーサー114’をエッチングマスクとして使用して選択的にエッチングされ、結果的に図2Hに示されるようなパターン形成されたBARC層およびハードマスク層104’、103’を生じさせる。スペーサー114’およびパターン形成されたBARC層104’は、次いで、既知の技術を用いて基体から除去される。
ハードマスクパターン103’をエッチングマスクとして使用して、1以上の層102は選択的にエッチングされる。パターン形成されたハードマスク層103’は次いで基体表面から除去されうる。得られるダブルパターニングされた構造は、図2Iに示されるようなエッチングされたフィーチャー102’のパターンである。別の典型的な方法においては、ハードマスク層103を使用することなくスペーサー114’を用いて、層102を直接にパターン形成することが望ましい場合がある。スペーサーを用いた直接パターニングが使用されうるかどうかは、関連する物質、レジスト選択性、レジストパターンの厚み、およびパターン寸法のような要因に依存しうる。
ポジ型レジスト縮小方法
さらなる形態に従って、本発明は縮小方法に適用されうる。第1の典型的な縮小方法は図3に示されるプロセスフローを参照して説明される。例示される方法はコンタクトホール縮小方法についてであるが、この方法が、電子デバイス製造における他の縮小用途に適用されうることは明らかである。本明細書において使用される場合、用語「コンタクトホール」はビアホールも包含する。典型的には、コンタクトホールは、1以上の酸化物層、例えば、ドープされたもしくはドープされていない酸化ケイ素層をはじめとする誘電体物質の1以上の層内に形成され、コンタクトホールの底を形成する下にあるフィーチャーは、導電性または半導電性、例えば、金属もしくは半導体の層もしくは領域である。コンタクトホールは、例えば、2つの金属層を、または金属層と半導体基体の活性領域とを結合することができる。
図3A〜Fに示されるように、アルカリ性処理で改変された表面112を有し、第2の感光層114でコーティングされた硬化したレジストパターン106’’が、図1を参照して上述したのと同じ方法で形成される。図3Aに示される露光プロセスに使用されるマスク110は、円形パターン(図示されるような)または交差線パターンの形をとるコンタクトホールパターンを含む。感光性組成物はポジ型物質またはネガ型物質であることができる。感熱性組成物は典型的には図示されるようにポジ型であり、図3Eに示されるように加熱される。図3Fに示されるような感熱層の現像後に、パターンの幅を調節すること、例えば、小さくされるコンタクトホール直径に対応してレジストパターン106’’上の感熱層114’のパターン形成される厚みを増大させることが望まれる場合には、この方法における、一点鎖線矢印によって示されるような第1のハードベークに始まり感熱性組成物のさらなる層の現像に至る一連の工程が1回以上繰り返されることができる。
図3G〜Hを参照すると、感熱層の現像後に、図1を参照して上述されるように、感熱コーティングで改変されたレジストパターン106’’を用いてパターニングが行われる。得られる構造は、図3Hに断面図および上面図で示される、エッチングされたコンタクトホールフィーチャー116のパターンである。
ネガ型レジスト縮小方法
第2の典型的な縮小方法は図4に示されるプロセスフローを参照して説明される。この縮小方法は、例えば、電子デバイス製造における溝または他のデバイスフィーチャーの形成に適用されうる。図4A〜Dに示されるように、アルカリ性処理で改変された表面112を有する硬化したレジストパターン106’’が、図1を参照して上述したのと同じ方法で形成される。
感熱性組成物114の層が、図4Eに示されるようにレジストパターン106’’の厚みより薄い厚みで、またはその厚みと同じ厚みで基体にコーティングされる。感光性組成物はポジ型物質またはネガ型物質であることができるが、感熱性組成物はネガ型である。図に示されるように、感熱層114は加熱されて、アルカリ性に処理されたレジストパターン106’’のごく近傍を除いた全ての領域で、層の重合を引き起こす。この方法において、加熱された層の現像はレジストパターン106’’のごく近傍の物質だけを除去し、図4Fに示されるように、第1のパターン106’’と第2のパターン114’との間の狭い空間118を残す。
図4G〜Hを参照して、感熱層の現像後に、図1を参照して上述したように、パターン106’’、114’を用いたパターニングが行われる。得られる構造は溝のようなエッチングされたフィーチャー120である。
次の非限定的な実施例は本発明の例示である。
実施例1:
L1レジストポリマー(ポリ(IAM/α−GBLMA/ODOTMA/HAMA))合成
10.51gの2−メチル−アクリル酸1−イソプロピル−アダマンタニルエステル(IAM)、6.82gの2−メチル−アクリル酸2−オキソ−テトラヒドロ−フラン−3−イルエステル(α−GBLMA)、6.36gの2−メチル−アクリル酸3−オキソ−4,10−ジオキサ−トリシクロ[5.2.1.02,6]デセ−8−イルエステル(ODOTMA)および6.31gの2−メチル−アクリル酸3−ヒドロキシ−アダマンタニルエステル(HAMA)を27gのテトラヒドロフラン(THF)に溶解する。この混合物を窒素で20分間バブリングすることにより脱ガスする。凝縮器、窒素入口、および機械式攪拌機を備えた500mlのフラスコに11gのTHFを入れ、溶液を67℃の温度にする。5.23gのジメチル−2,2−アゾジイソブチラート(全モノマーを基準にして17mol%)を5gのTHFに溶解し、フラスコに入れる。モノマー溶液を反応器に、1時間あたり16.0ミリリットル(mL/時)の割合で3時間30分間供給する。重合混合物をさらに30分間67℃で攪拌する。次いで、反応器に5gのTHFを添加し、重合混合物を室温まで冷却する。1.0Lのイソプロピルアルコール中で沈殿を行った。ろ過後、ポリマーを乾燥させ、50gのTHFに再溶解させ、1.1Lのイソプロピルアルコール中で再沈殿させ、ろ過し、真空オーブン中45℃で48時間乾燥させて、25.4gの以下に示されるポリ(IAM/α−GBLMA/ODOTMA/HAMA)ポリマー(Mw=7,934およびMw/Mn=〜1.46)を得た:
Figure 2015172752
L1フォトレジスト配合
3.169gの上記ポリマーを、70重量%のプロピレングリコールモノメチルエーテルアセタート(PGMEA)と30重量%のシクロヘキサノンとの溶媒混合物96.38gに溶解する。この混合物に、0.405gのトリフェニルスルホニウム(アダマンタン−1−イルメトキシカルボニル)−ジフルオロ−メタンスルホナート、0.041gの1−(tert−ブトキシカルボニル)−4−ヒドロキシピペリジンおよび0.005gのポリフォックス(POLYFOX登録商標)PF−656界面活性剤(オムノバソリューションズインコーポレーテッド;Omnova Solutions Inc.)を添加する。得られた混合物をローラー上で6時間延ばして、次いで、0.2ミクロン孔サイズのテフロン登録商標フィルターを通してろ過し、ポジ型フォトレジスト組成物を形成する。
熱活性レジスト配合
3.169gの上述のポリマーを、70重量%のプロピレングリコールモノメチルエーテルアセタート(PGMEA)と30重量%のシクロヘキサノンとの溶媒混合物96.38gに溶解する。この混合物に、0.5gのK−PURE商標2700TAG(キングインダストリーズ、米国、コネチカット州、ノルウォーク)および0.005gのポリフォックス(POLYFOX登録商標)PF−656界面活性剤(オムノバソリューションズインコーポレーテッド)を添加する。得られた混合物をローラー上で6時間延ばして、次いで、0.2ミクロン孔サイズのテフロン登録商標フィルターを通してろ過し、ポジ型感熱性レジスト組成物を形成する。
表面処理溶液配合
表面処理溶液は、トリス(2−アミノエチル)アミン(TAEA)(シグマアルドリッチ)の1重量%脱イオン水中溶液5g、10重量%の界面活性剤溶液(テルジトル(TERGITOL)TMN−6、ザダウケミカルカンパニー、米国、ミシガン州、ミッドランド)1gおよび脱イオン水194gを混合することにより調製される。この溶液は0.1ミクロン孔サイズのナイロンフィルターを通してろ過される。
第1のリソグラフィ(L1)ラインアンドスペースのパターニング
TEL CLEAN TRACK商標LITHIUS商標i+コータ/デベロッパにおいて、300mmのシリコンウェハにAR商標40A反射防止剤(ロームアンドハースエレクトロニックマテリアルズ)をスピンコートし、第1の反射防止塗膜(BARC)を形成する。このウェハを215℃で60秒間ベークし、75nmの第1のBARC膜厚を生じさせる。次いで、この第1のBARC上にAR商標124反射防止剤(ロームアンドハースエレクトロニックマテリアルズ)を用いて、第2のBARC層がコーティングされ、205℃で60秒間ベークされ、23nmの上部BARC層を生じさせる。
L1フォトレジスト組成物が前記二層BARCの上にコーティングされ、110℃で60秒間ソフトベークされ、950Åのレジスト膜厚を生じさせる。このL1レジスト層はトップコート層(OC商標2000トップコート物質、ロームアンドハースエレクトロニックマテリアルズ)でコーティングされ15〜75mJ/cmの様々な線量で、様々な臨界寸法を有するレチクルを通して、開口数1.35を有するASML TWINSCAN商標XT:1900i液浸スキャナーおよびX−偏光のダイポール(dipole)−35Y照明(0.96アウターシグマ/0.76インナーシグマ)を用いて露光される。このウェハは、次いで、100℃で60秒間露光後ベーク(PEB)され、マイクロポジット(Microposit商標)MF CD−26現像剤(ロームアンドハースエレクトロニックマテリアルズ)を用いて12秒間現像され、第1のリソグラフィ(L1)パターンを像形成する。
硬化および表面処理
ウェハは180℃で60秒間ハードベークされる。ウェハは次いで、ウェハがまず、TEL GPノズルを用いて、2.38重量%のTMAH水溶液で12秒間すすがれ、次いで上述の表面処理溶液配合物ですすがれるという逐次的な方法で、表面処理化学物質に曝露される。
第2のレジストプロセス
裸のシリコンウェハ上に650Åの膜厚を提供するであろうスピン速度で、前記コーター/デベロッパーにおいて、L2熱活性レジスト配合物を、表面処理されたL1パターン上にコーティングする。このウェハは、120℃で60秒間ソフトベークされ、次いで、このウェハは180℃で60秒間ハードベークされる。次いで、このウェハはマイクロポジット(Microposit商標)MF CD−26現像剤(ロームアンドハースエレクトロニックマテリアルズ)を用いて12秒間現像される。スペーサーはこのレジストパターンの側壁に接して形成されると予想された。
実施例2−43:
表面処理溶液が表1の成分を一緒にし、0.1ミクロン孔サイズのナイロンフィルタを通してろ過することにより製造されることを除いて、実施例1の手順が繰り返される。スペーサーは、レジストパターンの側壁に接して形成されると予想された。
Figure 2015172752
アミンは水中の1重量%溶液であり、上記定義の構造を有する;
S−1=テルジトル(Tergitol商標)TMN−6、水中10重量%(ザダウケミカルカンパニー、米国、ミシガン州、ミッドランド);
S−2=トライトン(Triton商標)X−100、水中10重量%(ザダウケミカルカンパニー);
S−3=テトロニクス(Tetronics商標)304、水中10重量%(BASFコーポレーション、米国、ニュージャージー州、フロハムパーク);
S−4=テトロニクス1307(BASFコーポレーション、米国、ニュージャージー州、フロハムパーク);
S−5=サーフィノール(Surfynol商標)2502(エアプロダクツアンドケミカルズインコーポレーデッド、米国、ペンシルベニア州、アレンタウン)。
実施例44:
3.169gのL1レジストポリマーを、70重量%のプロピレングリコールモノメチルエーテルアセタート(PGMEA)と30重量%のシクロヘキサノンとの溶媒混合物96.38gに溶解することにより、L2フォトレジストが製造されることを除いて、実施例1の手順が繰り返される。この混合物に、0.5gのK−PURE商標2700TAG(キングインダストリーズ、米国、コネチカット州、ノルウォーク)および0.005gのポリフォックス(POLYFOX登録商標)PF−656界面活性剤(オムノバソリューションズインコーポレーテッド)を添加する。得られた混合物をローラー上で6時間延ばして、次いで、0.2ミクロン孔サイズのテフロン登録商標フィルターを通してろ過し、それにより、ポジ型感熱性レジスト組成物を形成する。スペーサーは、レジストパターンの側壁に接して形成されると予想される。
実施例45:
L1パターニング、硬化および表面処理のために、実施例1の手順が繰り返される。
ネガ型熱活性組成物
3.357gのポリ(4−ヒドロキシスチレン)(VP3500、日本国、ニッソーより)が95.843gのプロピレングリコールモノメチルエーテルアセタート(PGMEA)に溶解される。この混合物に、0.332gのパウダーリンク(Powderlink)−1174(サイテックインダストリーズ、ニュージャージー州、ウッドランドパーク)、0.302gのK−PURE2678(キングインダストリーズ、コネチカット州、ノルウォーク)、0.007gのクマリン−1および0.005gのポリフォックス(POLYFOX登録商標)PF−656界面活性剤(オムノバソリューションズインコーポレーテッド)を添加する。得られた混合物をローラー上で6時間延ばして、次いで、0.2ミクロン孔サイズのテフロン登録商標フィルターを通してろ過し、それにより、ネガ型熱活性組成物を形成する。
L2第2のレジストプロセス
裸のシリコンウェハ上に650Åの膜厚を提供するであろうスピン速度で、コーター/デベロッパーにおいて、L2ネガ型レジスト配合物が、表面処理されたL1パターン上にコーティングされる。このウェハは、120℃で60秒間ソフトベークされ、次いで、このウェハは180℃で60秒間ハードベークされる。次いで、このウェハはマイクロポジット(Microposit商標)MF CD−26現像剤(ロームアンドハースエレクトロニックマテリアルズ)を用いて12秒間現像される。L1レジストパターンとL2レジストパターンとの間の溝のような構造の形成に有用な狭い隙間を生じさせると予想された。
100 基体
102 層
102’エッチングされたフィーチャー
103 ハードマスク
103’ パターン形成されたハードマスク層
104 反射防止塗膜(BARC)
104’パターン形成された反射防止塗膜(BARC)
106 感光層
106’第1のレジストパターン
106’’ ハードベークされた第1のレジストパターン
108 活性化放射線
109 ヒーター
110 第1のフォトマスク
112 アルカリ性に改変された表面領域
114 感熱層
114’ スペーサー
116 エッチングされたコンタクトホールフィーチャー
118 空間
120 エッチングされたフィーチャー

Claims (8)

  1. (a)パターン形成される1以上の層を含む半導体基体を提供し;
    (b)第1の樹脂成分と光活性成分とを含む感光性組成物の第1の層を、前記パターン形成される1以上の層上に適用し;
    (c)前記第1の層を、パターン化されたフォトマスクを通した活性化放射線に露光し;
    (d)露光された第1の層を現像してレジストパターンを形成し;
    (e)ハードベークプロセスにおいて前記レジストパターンを熱処理し;
    (f)前記レジストパターンの表面をアルカリ性にするのに有効な物質で、前記ハードベークされたレジストパターンを処理し;
    (g)第2の樹脂成分と熱酸発生剤とを含む感熱性組成物の第2の層を、前記レジストパターンのアルカリ性表面と接触するように適用し;
    (h)前記感熱性組成物の第2の層を、熱酸発生剤が酸を発生するのに有効な温度に加熱し;並びに
    (i)加熱された第2の層を現像する;
    ことを含み、
    レジストパターンの表面をアルカリ性にするのに有効な物質でレジストパターンを処理することが、アルカリ性物質および当該アルカリ性物質とは異なる界面活性剤でレジストパターンを処理することを含む、電子デバイスを形成する方法。
  2. 第2の層を加熱し、現像する工程の後に、前記レジストパターンを除去することをさらに含む、請求項1に記載の方法。
  3. レジストパターンを熱処理することが、約150℃以上の温度で行われる、請求項1に記載の方法。
  4. レジストパターンの表面をアルカリ性にするのに有効な物質でレジストパターンを処理することが、第一級アミンまたは第二級アミンでレジストパターンを処理することを含む、請求項1に記載の方法。
  5. レジストパターンの表面をアルカリ性にするのに有効な物質でレジストパターンを処理することが、第四級アンモニウムヒドロキシド溶液でレジストパターンを処理し、次いで、第一級アミンまたは第二級アミンでレジストパターンを処理することを逐次的に含む、請求項4に記載の方法。
  6. 前記アミンが下記式(I)の化合物:
    Figure 2015172752

    (式中、Rは場合によって置換されたC1−C6アルキル基から選択される)
    である、請求項4に記載の方法。
  7. RがC2アルキル基である、請求項6に記載の方法。
  8. 前記アミンが下記式(III)、(IV)および(V)の化合物:
    Figure 2015172752

    (式中、RおよびRはそれぞれ独立して、水素原子、またはC1−C10アルキル基であり;nは1〜10の整数である)
    から選択される、請求項1に記載の方法。
JP2015081170A 2009-11-19 2015-04-10 電子デバイスを形成する方法 Pending JP2015172752A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US28168109P 2009-11-19 2009-11-19
US61/281,681 2009-11-19
US33516809P 2009-12-31 2009-12-31
US61/335,168 2009-12-31

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010145293A Division JP5753351B2 (ja) 2009-11-19 2010-06-25 電子デバイスを形成する方法

Publications (1)

Publication Number Publication Date
JP2015172752A true JP2015172752A (ja) 2015-10-01

Family

ID=42735575

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010145293A Expired - Fee Related JP5753351B2 (ja) 2009-11-19 2010-06-25 電子デバイスを形成する方法
JP2015081170A Pending JP2015172752A (ja) 2009-11-19 2015-04-10 電子デバイスを形成する方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010145293A Expired - Fee Related JP5753351B2 (ja) 2009-11-19 2010-06-25 電子デバイスを形成する方法

Country Status (6)

Country Link
US (1) US8394571B2 (ja)
EP (1) EP2336824A1 (ja)
JP (2) JP5753351B2 (ja)
KR (1) KR101746017B1 (ja)
CN (1) CN102074462B (ja)
TW (1) TWI442453B (ja)

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
EP2287668A1 (en) * 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
EP2287667B1 (en) * 2009-06-26 2013-03-27 Rohm and Haas Electronic Materials, L.L.C. Self-aligned spacer multiple patterning methods
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102402137B (zh) * 2010-09-15 2014-04-09 无锡华润上华半导体有限公司 孔的光刻方法
US8394573B2 (en) * 2010-09-16 2013-03-12 International Business Machines Corporation Photoresist compositions and methods for shrinking a photoresist critical dimension
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8609550B2 (en) * 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103576466A (zh) * 2012-07-24 2014-02-12 无锡华润上华半导体有限公司 光刻方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2014219487A (ja) * 2013-05-02 2014-11-20 富士フイルム株式会社 パターン形成方法、電子デバイス及びその製造方法、現像液
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9296922B2 (en) * 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6340304B2 (ja) * 2013-11-29 2018-06-06 富士フイルム株式会社 パターン形成方法、及び電子デバイスの製造方法
CN105814795B (zh) * 2013-12-27 2018-11-23 株式会社村田制作所 压电振子以及压电振子的频率调整方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR20150136387A (ko) 2014-05-27 2015-12-07 삼성전자주식회사 반도체 소자의 제조 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6464010B2 (ja) * 2015-03-27 2019-02-06 東京応化工業株式会社 レジストパターン形成方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TWI603145B (zh) 2014-12-31 2017-10-21 羅門哈斯電子材料有限公司 光微影方法
KR102370616B1 (ko) 2015-02-09 2022-03-04 삼성전자주식회사 미세 패턴 형성 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10935889B2 (en) * 2015-05-13 2021-03-02 Tokyo Electron Limited Extreme ultra-violet sensitivity reduction using shrink and growth method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
GB2560996B (en) 2017-03-31 2021-04-14 Ipeco Holdings Ltd Seating apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN110931462B (zh) * 2019-11-25 2021-05-18 长江存储科技有限责任公司 自对准四重图案及半导体器件的制造方法
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
CN111834204B (zh) * 2020-08-28 2023-02-10 上海华力微电子有限公司 半导体结构的制备方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113692157B (zh) * 2021-08-10 2023-07-04 Oppo广东移动通信有限公司 壳体、其制备方法及电子设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06250379A (ja) * 1993-02-26 1994-09-09 Oki Electric Ind Co Ltd パターン形成方法、位相シフト法用ホトマスクの形成方法
JPH08288200A (ja) * 1995-04-14 1996-11-01 Oki Electric Ind Co Ltd パターン形成方法
JP2000298356A (ja) * 1999-04-14 2000-10-24 Mitsubishi Electric Corp 微細パターン形成材料を用いた半導体装置の製造方法および半導体装置
JP2004264373A (ja) * 2003-02-24 2004-09-24 Clariant (Japan) Kk 水溶性樹脂組成物、パターン形成方法及びレジストパターンの検査方法
JP2005107226A (ja) * 2003-09-30 2005-04-21 Matsushita Electric Ind Co Ltd パターン形成方法
WO2008070060A2 (en) * 2006-12-06 2008-06-12 Fujifilm Electronic Materials U.S.A., Inc. Device manufacturing process utilizing a double pattering process
JP2009025815A (ja) * 2007-06-20 2009-02-05 Fujifilm Corp パターン形成用表面処理剤、及び該処理剤を用いたパターン形成方法
WO2009122275A1 (en) * 2008-04-02 2009-10-08 Az Electronic Materials Usa Corp. A photoresist image-forming process using double patterning
JP2010256626A (ja) * 2009-04-24 2010-11-11 Shin-Etsu Chemical Co Ltd パターン形成方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
IE57143B1 (en) 1984-06-01 1992-05-06 Rohm & Haas Photosensitive coating compositions,thermally stable coating prepared from them,and the use of such coatings in forming thermally stable polymer images
CA1307695C (en) 1986-01-13 1992-09-22 Wayne Edmund Feely Photosensitive compounds and thermally stable and aqueous developablenegative images
EP0358358B1 (en) * 1988-08-26 1994-11-30 Nippon Oil And Fats Company, Limited Pigment dispersing agent
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5100696A (en) * 1989-07-10 1992-03-31 Ncr Corporation Magnetic thermal transfer ribbon
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
JPH09191007A (ja) * 1996-01-11 1997-07-22 Sumitomo Chem Co Ltd フォトレジスト用剥離液
US6440642B1 (en) * 1999-09-15 2002-08-27 Shipley Company, L.L.C. Dielectric composition
JP2002006512A (ja) * 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
KR100546098B1 (ko) * 2000-12-27 2006-01-24 주식회사 하이닉스반도체 열산 발생제를 포함하는 포토레지스트 조성물을 이용하여포토레지스트 패턴 폭 감소 현상을 개선하는 방법
TW576859B (en) * 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
JP3878451B2 (ja) * 2001-10-22 2007-02-07 富士フイルムホールディングス株式会社 感光性樹脂転写材料、画像形成方法、カラーフィルターとその製造方法、フォトマスクとその製造方法
WO2003077029A1 (en) 2002-03-04 2003-09-18 Shipley Company, Llc Negative photoresists for short wavelength imaging
JP2004117534A (ja) * 2002-09-24 2004-04-15 Fuji Photo Film Co Ltd 樹脂組成物
JP3675434B2 (ja) * 2002-10-10 2005-07-27 東京応化工業株式会社 微細パターンの形成方法
US7232641B2 (en) 2003-10-08 2007-06-19 Shin-Etsu Chemical Co., Ltd. Polymerizable compound, polymer, positive-resist composition, and patterning process using the same
KR100575001B1 (ko) * 2004-12-10 2006-04-28 삼성전자주식회사 상호 결합 없는 이중 포토 리소그라피 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
US7919222B2 (en) * 2006-01-29 2011-04-05 Rohm And Haas Electronics Materials Llc Coating compositions for use with an overcoated photoresist
KR20080023814A (ko) * 2006-09-12 2008-03-17 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
JP2008102277A (ja) * 2006-10-18 2008-05-01 Tokyo Ohka Kogyo Co Ltd 熱リソグラフィー用化学増幅型ポジ型レジスト組成物およびレジストパターン形成方法
TWI375130B (en) 2006-10-30 2012-10-21 Rohm & Haas Elect Mat Compositions and processes for immersion lithography
KR100876783B1 (ko) * 2007-01-05 2009-01-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP5270840B2 (ja) * 2007-01-23 2013-08-21 東京応化工業株式会社 パターン微細化用被覆形成剤及びそれを用いた微細パターンの形成方法
US8088566B2 (en) * 2007-03-26 2012-01-03 Fujifilm Corporation Surface-treating agent for pattern formation and pattern-forming method using the surface-treating agent
JP2008268855A (ja) * 2007-03-26 2008-11-06 Fujifilm Corp パターン形成用表面処理剤、及び該処理剤を用いたパターン形成方法
JPWO2008126722A1 (ja) * 2007-04-05 2010-07-22 株式会社シンク・ラボラトリー ポジ型感光性組成物
WO2008143301A1 (ja) * 2007-05-23 2008-11-27 Jsr Corporation パターン形成方法及びそれに用いる樹脂組成物
US8642474B2 (en) * 2007-07-10 2014-02-04 Advanced Micro Devices, Inc. Spacer lithography
JP4973876B2 (ja) * 2007-08-22 2012-07-11 信越化学工業株式会社 パターン形成方法及びこれに用いるパターン表面コート材
TWI407262B (zh) 2007-11-05 2013-09-01 羅門哈斯電子材料有限公司 浸潤式微影組成物及製程
US7838198B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
US7838200B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
JP5154395B2 (ja) * 2008-02-28 2013-02-27 東京エレクトロン株式会社 半導体装置の製造方法及びレジスト塗布・現像処理システム
KR101523951B1 (ko) * 2008-10-09 2015-06-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
EP2287667B1 (en) 2009-06-26 2013-03-27 Rohm and Haas Electronic Materials, L.L.C. Self-aligned spacer multiple patterning methods
EP2287668A1 (en) 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06250379A (ja) * 1993-02-26 1994-09-09 Oki Electric Ind Co Ltd パターン形成方法、位相シフト法用ホトマスクの形成方法
JPH08288200A (ja) * 1995-04-14 1996-11-01 Oki Electric Ind Co Ltd パターン形成方法
JP2000298356A (ja) * 1999-04-14 2000-10-24 Mitsubishi Electric Corp 微細パターン形成材料を用いた半導体装置の製造方法および半導体装置
JP2004264373A (ja) * 2003-02-24 2004-09-24 Clariant (Japan) Kk 水溶性樹脂組成物、パターン形成方法及びレジストパターンの検査方法
JP2005107226A (ja) * 2003-09-30 2005-04-21 Matsushita Electric Ind Co Ltd パターン形成方法
WO2008070060A2 (en) * 2006-12-06 2008-06-12 Fujifilm Electronic Materials U.S.A., Inc. Device manufacturing process utilizing a double pattering process
JP2009025815A (ja) * 2007-06-20 2009-02-05 Fujifilm Corp パターン形成用表面処理剤、及び該処理剤を用いたパターン形成方法
WO2009122275A1 (en) * 2008-04-02 2009-10-08 Az Electronic Materials Usa Corp. A photoresist image-forming process using double patterning
JP2010256626A (ja) * 2009-04-24 2010-11-11 Shin-Etsu Chemical Co Ltd パターン形成方法

Also Published As

Publication number Publication date
KR101746017B1 (ko) 2017-06-12
TW201118923A (en) 2011-06-01
CN102074462A (zh) 2011-05-25
KR20110055353A (ko) 2011-05-25
TWI442453B (zh) 2014-06-21
EP2336824A1 (en) 2011-06-22
US8394571B2 (en) 2013-03-12
JP5753351B2 (ja) 2015-07-22
CN102074462B (zh) 2014-02-26
US20110117490A1 (en) 2011-05-19
JP2011109059A (ja) 2011-06-02

Similar Documents

Publication Publication Date Title
JP5753351B2 (ja) 電子デバイスを形成する方法
JP5698924B2 (ja) 電子デバイスを形成する方法
JP5698923B2 (ja) 自己整合型スペーサー多重パターニング方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160125

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160127

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160425

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160823