JP2014515561A5 - - Google Patents

Download PDF

Info

Publication number
JP2014515561A5
JP2014515561A5 JP2014513540A JP2014513540A JP2014515561A5 JP 2014515561 A5 JP2014515561 A5 JP 2014515561A5 JP 2014513540 A JP2014513540 A JP 2014513540A JP 2014513540 A JP2014513540 A JP 2014513540A JP 2014515561 A5 JP2014515561 A5 JP 2014515561A5
Authority
JP
Japan
Prior art keywords
gas
supply system
ring
gas supply
flow paths
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014513540A
Other languages
English (en)
Other versions
JP2014515561A (ja
JP6088493B2 (ja
Filing date
Publication date
Priority claimed from US13/118,933 external-priority patent/US9245717B2/en
Application filed filed Critical
Publication of JP2014515561A publication Critical patent/JP2014515561A/ja
Publication of JP2014515561A5 publication Critical patent/JP2014515561A5/ja
Application granted granted Critical
Publication of JP6088493B2 publication Critical patent/JP6088493B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

300mmウエハを処理するよう設計されたチャンバにおいて、下側プレート270は、ウエハよりも広く、真空シール面314は、チャンバ240の上部の対応するシール面と係合する。例えば、下側プレート270は、約20インチの直径、中央部分306における約1.5インチの厚さ、および、外側部分308における約0.8インチの厚さを有してよく、ガス孔310は、約0.04インチの直径を有すると共に下側プレート270の中心から約5インチに配置された32個のガス孔を含む内側の列と、約0.04インチの直径を有すると共に下側プレート270の中心から約6.5インチに配置された32個のガス孔を含む外側の列とを含む2つのガス孔列に配列されており、シール面314は、下面302の段320に配置され、段320は、約0.4インチの深さおよび約1.2インチの幅を有する。
300mmウエハを処理するために、上側プレート280は、下側プレート270と結合するような寸法を有しており、下側プレート270のガス孔310への供給を行う複数の半径方向ガス流路410を備える。例えば、上側プレート280は、約0.125インチの直径を有すると共に45°ごとに配置された8つの半径方向ガス流路410と、約0.125インチの直径を有すると共に上側プレート280の中心から約5.75インチに配置された8つの軸方向ガス流路412と、約1.7インチの幅および約0.015ないし0.02インチの深さを有する環状プレナム414と、環状プレナム414を囲む内側O−リング溝416および外側O−リング溝418とを備えてよい。処理要件に応じて、下側プレート270は、任意の所望のパターンに配置され任意の所望の形状および寸法を有する64個より多いまたは少ないガス孔など、異なる構成のガス孔310を備えてもよい。
プロセスガスをガス流路410に供給するために、上側プレート280は、ガス接続取り付けブロックを取り付けるための取り付け穴を備える。取り付け穴は、8対の軸方向の取り付け穴420と、8対の半径方向の取り付け穴422とを含む。穴420は、約0.4インチの直径を有し、上側プレート280の上面402の外縁から約0.5インチに配置され、上側プレート280を通って下面404まで伸びる。取り付け穴422は、約0.35インチの直径を有し、上側プレート280の外周408の平坦な取り付け面424に配置され、穴420内に伸びる。各対の取り付け穴420、422の中心は、約1インチ離間されている。上側プレート280および下側プレート270は、高純度アルミナで製造されることが好ましく、下側プレート270の下面は、真空シール面314を除いて下面全体を覆う高純度イットリアのコーティングを備える。
カバープレート704および下部リング706は、ステンレス鋼などの耐腐食性金属材料またはポリマ材料で製造されることが好ましく、電子ビーム溶接など適切な製造処理によって下部リング706にシールされうる。カバープレートおよび/または下部リングの内面および/または外面は、シリコンコーティングなどの保護材料で被覆されうる。好ましいシリコンコーティングは、ペンシルベニア州ベルフォントのSilcoTek社から入手可能な化学蒸着(CVD)多層シリコンコーティング「SILCOLLOY 1000」である。適切なCVDシリコンコーティングの詳細については、米国特許第7,070,833号に記載されており、その開示は参照により本明細書に組み込まれる。シャワーヘッドおよびガス流入口構成のサイズに応じて寸法は変わりうるが、好ましい実施形態では、下部リング706の流路720/722/724は、約0.1インチの幅および約0.32インチの高さを有してよく、ガス流出口702は、約10.4インチの半径上に配置されてよい。カバープレート704は、下部リングの流路よりもやや広く、各流路の上にある凹部内にはまり込む。例えば、第1、第2、および、第3の部分714/716/718は、約0.03インチの厚さおよび約0.12インチの幅を有してよい。図7Cに示すように、カバーリング704の第3の部分718の端部736は、内側に曲げられ、丸い端部738を備えてよい。丸い端部738は、約0.32インチの直径を有してよく、ガス流出口702を形成する開口部は、丸い端部738の中心に配置され、約0.19インチの直径を有してよい。
具体的な実施形態を参照しつつ、プロセスガスを高速に切り替えるよう動作可能なプラズマ処理装置について詳細に説明したが、添付の特許請求の範囲を逸脱することなく、様々な変更および変形を行い、等価物を用いることが可能であることは、当業者にとって明らかである。
本発明は、たとえば、以下のような態様で実現することもできる。

適用例1:
基板支持体に支持された半導体基板にプラズマエッチングを施す誘導結合プラズマ処理装置用のセラミックシャワーヘッドにプロセスガスを供給するために用いるガス供給システムであって、前記セラミックシャワーヘッドは、その外周から内向きに伸びる複数の半径方向ガス流入口を備え、前記ガス供給システムは、
前記セラミックシャワーヘッドに取り付けられるよう適合された複数のガス接続ブロックであって、前記複数のブロックの各々のガス流出口は、前記セラミックシャワーヘッドの前記複数のガス流入口の内の対応する1つに流体連通する、複数のガス接続ブロックと、
均一な断面で等しい長さの複数の流路と、前記複数の流路の下流端に流体連通する複数のガス流出口とを有するガスリングであって、前記複数のガス流出口の各々は、前記複数のガス接続ブロックの内の対応する1つと係合する取り付け面に配置されると共に、前記複数のガス接続ブロックの内の対応するブロックのガス流入口に流体連通する、ガスリングと、
を備える、ガス供給システム。

適用例2:
適用例1のガス供給システムであって、前記ガスリングは、8つのガス流出口を備え、前記複数の流路は、前記ガスリングの長さの約半分にわたって伸びる第1の流路と、前記第1の流路の下流端に中点が接続された2つの第2の流路と、前記第2の流路の下流端に中点が接続された4つの第3の流路とを備える、ガス供給システム。

適用例3:
適用例2のガス供給システムであって、前記ガスリングは、内部に前記複数の流路を備えた下部リングと、前記複数の流路を覆うカバープレートと、を備える、ガス供給システム。

適用例4:
適用例2のガス供給システムであって、前記ガスリングは、前記第1の流路の中点に接続された1つのガス流入口を備える、ガス供給システム。

適用例5:
適用例4のガス供給システムであって、前記複数のガス流出口は、前記ガスリングの中心から半径約10ないし11インチの位置に配置され、前記複数のガス接続ブロックの各々は、前記複数のガス接続ブロックを前記ガスリングに取り付ける複数の留め具を受け入れるよう適合された2つの取り付け穴を備え、前記取り付け穴は、約1インチ離間されて配置されている、ガス供給システム。

適用例6:
適用例3のガス供給システムであって、前記カバープレートおよび下部リングは、ステンレス鋼またはポリマ材料で製造される、ガス供給システム。

適用例7:
適用例1のガス供給システムであって、前記ガスリングは、外周にガス流入口を備え、前記ガス流入口から180°の位置に2つの自由端を備える、ガス供給システム。

適用例8:
適用例7のガス供給システムであって、前記自由端は、前記ガスリングを前記シャワーヘッドに取り付ける際に前記リングの前記自由端が広がるのを制限する広がり制限部によって接続される、ガス供給システム。

適用例9:
適用例1のガス供給システムであって、前記ガスリングは長方形の断面を有し、前記複数のガス流路は長方形の断面を有し、前記カバープレートは、約0.03インチの厚さを有し、前記下部リングの上面にある凹部内に配置され、前記第3の部分の自由端に丸い端部を備え、前記丸い端部は、約0.32インチの直径を有し、前記丸い端部の中心に貫通孔を備え、前記貫通孔は、約0.19インチの直径を有する、ガス供給システム。

適用例10:
適用例1のガス供給システムであって、前記ガス接続ブロックの前記ガス流出口は、前記シャワーヘッドの外周と係合する垂直取り付け面に配置され、第1および第2の穴が、前記ガス流出口の両側に前記垂直取り付け面を通して伸び、第1および第2の段付きねじが、前記穴内に移動可能に取り付けられ、前記段付きねじは、前記シャワーヘッドの取り付け穴に取り付けられた留め具と係合する端部を有する、ガス供給システム。

適用例11:
適用例10のガス供給システムであって、前記ガス接続ブロックの前記ガス流入口は、前記ガスリングの上面に係合する水平取り付け面に配置され、第1および第2の取り付け穴が、前記水平取り付け面を通して伸び、前記取り付け穴内のねじが、前記ガス接続ブロックを前記ガスリングに取り付ける、ガス供給システム。

適用例12:
適用例11のガス供給システムであって、前記水平取り付け面は、O−リング溝を備え、前記O−リング溝内のO−リングが、前記ガス接続ブロックの前記ガス流入口の周りにシールを提供する、ガス供給システム。

適用例13:
適用例12のガス供給システムであって、前記垂直取り付け面は、O−リング溝を備え、前記O−リング溝内のO−リングが、前記ガス接続ブロックの前記ガス流出口の周りにシールを提供する、ガス供給システム。

適用例14:
適用例9のガス供給システムであって、前記下部リングの前記複数の流路は、約0.1インチの幅および約0.32インチの高さを有し、前記下部リングの前記上面は、前記カバーを収容する凹部を備え、前記凹部は、前記複数の流路に沿って約0.12インチの幅を有する、ガス供給システム。

適用例15:
適用例1のガス供給システムをシャワーヘッドに取り付ける方法であって、前記複数のガス接続ブロックの前記複数のガス流出口が前記シャワーヘッドの前記外周の複数のガス流入口と整列するように前記シャワーヘッドの周りに前記ガスリングを配置する工程と、前記ガスリングを前記シャワーヘッドに固定する工程と、を備える、方法。

適用例16:
適用例15の方法であって、前記配置する工程は、前記ガスリングの自由端を開く工程と、前記シャワーヘッドを囲むように前記ガスリングを垂直に嵌める工程とを含み、前記固定する工程は、前記自由端を閉じる工程と、前記ガスリングが前記シャワーヘッドの周りの適切な位置に保持されるように、前記自由端の間に取り付けられた広がり制限部を締める工程とを含む、方法。

適用例17:
適用例16の方法であって、前記固定する工程は、さらに、水平の穴を備えた垂直の留め具を前記シャワーヘッドの上面の取り付け穴に配置する工程と、前記ガス接続ブロックの穴に取り付けられた水平の段付きねじを、前記垂直の留め具の前記水平の穴に入るように、前記シャワーヘッドの外周にある水平の取り付け穴内に摺動させる工程とを含む、方法。

Claims (17)

  1. 基板支持体に支持された半導体基板にプラズマエッチングを施す誘導結合プラズマ処理装置用のセラミックシャワーヘッドにプロセスガスを供給するために用いるガス供給システムであって、前記セラミックシャワーヘッドは、その外周から内向きに伸びる複数の半径方向ガス流入口を備え、前記ガス供給システムは、
    前記セラミックシャワーヘッドに取り付けられるよう適合された複数のガス接続ブロックであって、前記複数のブロックの各々のガス流出口は、前記セラミックシャワーヘッドの前記複数のガス流入口の内の対応する1つに流体連通する、複数のガス接続ブロックと、
    均一な断面で等しい長さの複数の流路と、前記複数の流路の下流端に流体連通する複数のガス流出口とを有するガスリングであって、前記複数のガス流出口の各々は、前記複数のガス接続ブロックの内の対応する1つと係合する取り付け面に配置されると共に、前記複数のガス接続ブロックの内の対応するブロックのガス流入口に流体連通する、ガスリングと、
    を備える、ガス供給システム。
  2. 請求項1に記載のガス供給システムであって、前記ガスリングは、8つのガス流出口を備え、前記複数の流路は、前記ガスリングの長さの約半分にわたって伸びる第1の流路と、前記第1の流路の下流端に中点が接続された2つの第2の流路と、前記第2の流路の下流端に中点が接続された4つの第3の流路とを備える、ガス供給システム。
  3. 請求項2に記載のガス供給システムであって、前記ガスリングは、内部に前記複数の流路を備えた下部リングと、前記複数の流路を覆うカバープレートと、を備える、ガス供給システム。
  4. 請求項2に記載のガス供給システムであって、前記ガスリングは、前記第1の流路の中点に接続された1つのガス流入口を備える、ガス供給システム。
  5. 請求項4に記載のガス供給システムであって、前記複数のガス流出口は、前記ガスリングの中心から半径約10ないし11インチの位置に配置され、前記複数のガス接続ブロックの各々は、前記複数のガス接続ブロックを前記ガスリングに取り付ける複数の留め具を受け入れるよう適合された2つの取り付け穴を備え、前記取り付け穴は、約1インチ離間されて配置されている、ガス供給システム。
  6. 請求項3に記載のガス供給システムであって、前記カバープレートおよび下部リングは、ステンレス鋼またはポリマ材料で製造される、ガス供給システム。
  7. 請求項1に記載のガス供給システムであって、前記ガスリングは、外周にガス流入口を備え、前記ガス流入口から180°の位置に2つの自由端を備える、ガス供給システム。
  8. 請求項7に記載のガス供給システムであって、前記自由端は、前記ガスリングを前記シャワーヘッドに取り付ける際に前記リングの前記自由端が広がるのを制限する広がり制限部によって接続される、ガス供給システム。
  9. 請求項3に記載のガス供給システムであって、前記ガスリングは長方形の断面を有し、前記複数のガス流路は長方形の断面を有し、前記カバープレートは、約0.03インチの厚さを有し、前記下部リングの上面にある凹部内に配置され、前記第3の部分の自由端に丸い端部を備え、前記丸い端部は、約0.32インチの直径を有し、前記丸い端部の中心に貫通孔を備え、前記貫通孔は、約0.19インチの直径を有する、ガス供給システム。
  10. 請求項1に記載のガス供給システムであって、前記ガス接続ブロックの前記ガス流出口は、前記シャワーヘッドの外周と係合する垂直取り付け面に配置され、第1および第2の穴が、前記ガス流出口の両側に前記垂直取り付け面を通して伸び、第1および第2の段付きねじが、前記穴内に移動可能に取り付けられ、前記段付きねじは、前記シャワーヘッドの取り付け穴に取り付けられた留め具と係合する端部を有する、ガス供給システム。
  11. 請求項10に記載のガス供給システムであって、前記ガス接続ブロックの前記ガス流入口は、前記ガスリングの上面に係合する水平取り付け面に配置され、第1および第2の取り付け穴が、前記水平取り付け面を通して伸び、前記取り付け穴内のねじが、前記ガス接続ブロックを前記ガスリングに取り付ける、ガス供給システム。
  12. 請求項11に記載のガス供給システムであって、前記水平取り付け面は、O−リング溝を備え、前記O−リング溝内のO−リングが、前記ガス接続ブロックの前記ガス流入口の周りにシールを提供する、ガス供給システム。
  13. 請求項12に記載のガス供給システムであって、前記垂直取り付け面は、O−リング溝を備え、前記O−リング溝内のO−リングが、前記ガス接続ブロックの前記ガス流出口の周りにシールを提供する、ガス供給システム。
  14. 請求項9に記載のガス供給システムであって、前記下部リングの前記複数の流路は、約0.1インチの幅および約0.32インチの高さを有し、前記下部リングの前記上面は、前記カバーを収容する凹部を備え、前記凹部は、前記複数の流路に沿って約0.12インチの幅を有する、ガス供給システム。
  15. 請求項1に記載のガス供給システムをシャワーヘッドに取り付ける方法であって、前記複数のガス接続ブロックの前記複数のガス流出口が前記シャワーヘッドの前記外周の複数のガス流入口と整列するように前記シャワーヘッドの周りに前記ガスリングを配置する工程と、前記ガスリングを前記シャワーヘッドに固定する工程と、を備える、方法。
  16. 請求項15に記載の方法であって、前記配置する工程は、前記ガスリングの自由端を開く工程と、前記シャワーヘッドを囲むように前記ガスリングを垂直に嵌める工程とを含み、前記固定する工程は、前記自由端を閉じる工程と、前記ガスリングが前記シャワーヘッドの周りの適切な位置に保持されるように、前記自由端の間に取り付けられた広がり制限部を締める工程とを含む、方法。
  17. 請求項16に記載の方法であって、前記固定する工程は、さらに、水平の穴を備えた垂直の留め具を前記シャワーヘッドの上面の取り付け穴に配置する工程と、前記ガス接続ブロックの穴に取り付けられた水平の段付きねじを、前記垂直の留め具の前記水平の穴に入るように、前記シャワーヘッドの外周にある水平の取り付け穴内に摺動させる工程とを含む、方法。
JP2014513540A 2011-05-31 2012-05-16 プラズマエッチングリアクタのセラミックシャワーヘッドのためのガス分配システム Active JP6088493B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/118,933 US9245717B2 (en) 2011-05-31 2011-05-31 Gas distribution system for ceramic showerhead of plasma etch reactor
US13/118,933 2011-05-31
PCT/US2012/038085 WO2012166362A1 (en) 2011-05-31 2012-05-16 Gas distribution system for ceramic showerhead of plasma etch reactor

Publications (3)

Publication Number Publication Date
JP2014515561A JP2014515561A (ja) 2014-06-30
JP2014515561A5 true JP2014515561A5 (ja) 2015-06-25
JP6088493B2 JP6088493B2 (ja) 2017-03-01

Family

ID=47259745

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014513540A Active JP6088493B2 (ja) 2011-05-31 2012-05-16 プラズマエッチングリアクタのセラミックシャワーヘッドのためのガス分配システム

Country Status (4)

Country Link
US (2) US9245717B2 (ja)
JP (1) JP6088493B2 (ja)
KR (1) KR101947846B1 (ja)
WO (1) WO2012166362A1 (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US20140335679A1 (en) * 2013-05-09 2014-11-13 Applied Materials, Inc. Methods for etching a substrate
JP2015032597A (ja) * 2013-07-31 2015-02-16 日本ゼオン株式会社 プラズマエッチング方法
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US10032601B2 (en) * 2014-02-21 2018-07-24 Varian Semiconductor Equipment Associates, Inc. Platen support structure
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
WO2017062087A1 (en) * 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
USD819580S1 (en) * 2016-04-01 2018-06-05 Veeco Instruments, Inc. Self-centering wafer carrier for chemical vapor deposition
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US9997336B2 (en) 2016-04-26 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone gas distribution plate (GDP) and a method for designing the multi-zone GDP
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
WO2018132788A1 (en) * 2017-01-16 2018-07-19 Larry Baxter Method and apparatus for desublimation prevention in a direct contact heat exchanger
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
SG11202009444QA (en) * 2018-04-10 2020-10-29 Applied Materials Inc Resolving spontaneous arcing during thick film deposition of high temperature amorphous carbon deposition
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
CN110858530B (zh) * 2018-08-24 2023-04-14 北京北方华创微电子装备有限公司 匹配网络、阻抗匹配器以及阻抗匹配方法
US20210335581A1 (en) * 2020-04-22 2021-10-28 Applied Materials, Inc. Preclean chamber upper shield with showerhead
USD973609S1 (en) 2020-04-22 2022-12-27 Applied Materials, Inc. Upper shield with showerhead for a process chamber
CN113707524B (zh) * 2020-05-20 2022-06-10 江苏鲁汶仪器有限公司 一种阻挡等离子体反流的进气结构
CN116688782B (zh) * 2023-08-04 2023-10-03 河北农业大学 一种农业用水肥农药混合装置

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2603382A (en) * 1952-07-15 Clamping ring for metal drum
DE2303474C3 (de) * 1973-01-25 1980-08-21 Wabco Fahrzeugbremsen Gmbh, 3000 Hannover Druckmittel-Verteilerblock
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5895530A (en) 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
WO1998000576A1 (en) 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5836355A (en) * 1996-12-03 1998-11-17 Insync Systems, Inc. Building blocks for integrated gas panel
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5968276A (en) 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US5971190A (en) * 1998-01-28 1999-10-26 Mannino; Anthony Split ring closure for cylindrical drum
AU765096B2 (en) * 1998-03-23 2003-09-11 Amalgamated ResearchLLC Fractal stack for scaling and distribution of fluids
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP2000277509A (ja) * 1999-03-29 2000-10-06 Kokusai Electric Co Ltd 基板処理装置
US7515264B2 (en) 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3485505B2 (ja) * 1999-09-17 2004-01-13 松下電器産業株式会社 処理装置
ATE542584T1 (de) * 2000-01-27 2012-02-15 Amalgamated Res Inc Vorrichtung zur behandlung von fluiden in einem flachen bett
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
JP2002064104A (ja) * 2000-08-16 2002-02-28 Tokyo Electron Ltd ガス処理装置
AU2002211730A1 (en) 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6417626B1 (en) 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
PT1392419E (pt) * 2001-05-17 2012-03-06 Amalgamated Res Inc Estrutura fractal para misturar pelo menos dois fluidos
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP4608827B2 (ja) * 2001-08-15 2011-01-12 ソニー株式会社 プラズマ処理装置及びプラズマ処理方法
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4260450B2 (ja) 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7070833B2 (en) 2003-03-05 2006-07-04 Restek Corporation Method for chemical vapor deposition of silicon on to substrates for use in corrosive and vacuum environments
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
KR100739890B1 (ko) 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US7771562B2 (en) 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
KR100550342B1 (ko) 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050223986A1 (en) 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7048008B2 (en) * 2004-04-13 2006-05-23 Ultra Clean Holdings, Inc. Gas-panel assembly
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
JP4845385B2 (ja) * 2004-08-13 2011-12-28 東京エレクトロン株式会社 成膜装置
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
JP2007123766A (ja) 2005-10-31 2007-05-17 Tokyo Electron Ltd エッチング方法、プラズマ処理装置及び記憶媒体
CN101351871B (zh) 2005-11-02 2010-08-18 松下电器产业株式会社 等离子体处理装置
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US20070227659A1 (en) 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5463536B2 (ja) * 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
WO2008089168A2 (en) 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
JP5188496B2 (ja) 2007-03-22 2013-04-24 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
JP5357037B2 (ja) * 2007-03-23 2013-12-04 パナソニック株式会社 プラズマドーピング装置及び方法
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
WO2009086013A2 (en) 2007-12-21 2009-07-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
CN102027574B (zh) 2008-02-08 2014-09-10 朗姆研究公司 等离子体处理室部件的保护性涂层及其使用方法
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US8236133B2 (en) * 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
JP2009302324A (ja) * 2008-06-13 2009-12-24 Tokyo Electron Ltd ガスリング、半導体基板処理装置および半導体基板処理方法
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8291935B1 (en) * 2009-04-07 2012-10-23 Novellus Systems, Inc. Flexible gas mixing manifold
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101071937B1 (ko) * 2009-08-10 2011-10-11 이승룡 질소가스 분사장치
KR200479181Y1 (ko) * 2009-09-10 2015-12-30 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110206833A1 (en) 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
JP6157061B2 (ja) 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6007143B2 (ja) 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US20150348755A1 (en) 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same

Similar Documents

Publication Publication Date Title
JP2014515561A5 (ja)
JP7292256B2 (ja) モノリシックセラミックガス分配プレート
JP6088493B2 (ja) プラズマエッチングリアクタのセラミックシャワーヘッドのためのガス分配システム
US20210032754A1 (en) Showerhead assembly and components thereof
TWI720793B (zh) 具有減少的背側電漿點火的噴淋頭
JP2014523635A5 (ja)
JP5891300B2 (ja) 誘導結合プラズマエッチングリアクタのためのガス分配シャワーヘッド
TWI722725B (zh) 具有更均勻的邊緣清洗的基板支撐件
US6296712B1 (en) Chemical vapor deposition hardware and process
JP2019533274A (ja) プラズマ処理チャンバ用プラズマスクリーン
TW201529879A (zh) 用於改善之氟利用及整合對稱前級管線之托架底部清洗
TWI671816B (zh) 負載鎖定整合斜面蝕刻器系統
JP2016063221A5 (ja)
TW202132616A (zh) 用於原子層沉積前驅物運送的噴淋頭
TW202230471A (zh) 熱均勻的沉積站
TW201943899A (zh) 用於磊晶腔室的襯墊
US10808310B2 (en) Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
JP6663526B2 (ja) 熱処理装置
JP2019075516A (ja) プラズマ処理装置及びガス流路が形成される部材
JP2011146434A (ja) Cvd装置
WO2024019847A1 (en) A method of coating a chamber component