KR101947846B1 - 플라즈마 에칭 반응기의 세라믹 샤워헤드에 대한 가스 분배 시스템 - Google Patents

플라즈마 에칭 반응기의 세라믹 샤워헤드에 대한 가스 분배 시스템 Download PDF

Info

Publication number
KR101947846B1
KR101947846B1 KR1020137035182A KR20137035182A KR101947846B1 KR 101947846 B1 KR101947846 B1 KR 101947846B1 KR 1020137035182 A KR1020137035182 A KR 1020137035182A KR 20137035182 A KR20137035182 A KR 20137035182A KR 101947846 B1 KR101947846 B1 KR 101947846B1
Authority
KR
South Korea
Prior art keywords
gas
ring
showerhead
channels
connection blocks
Prior art date
Application number
KR1020137035182A
Other languages
English (en)
Other versions
KR20140036283A (ko
Inventor
마이클 강
알렉스 패터슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140036283A publication Critical patent/KR20140036283A/ko
Application granted granted Critical
Publication of KR101947846B1 publication Critical patent/KR101947846B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F35/00Accessories for mixers; Auxiliary operations or auxiliary devices; Parts or details of general application
    • B01F35/71Feed mechanisms
    • B01F35/717Feed mechanisms characterised by the means for feeding the components to the mixer
    • B01F35/7182Feed mechanisms characterised by the means for feeding the components to the mixer with means for feeding the material with a fractal or tree-type distribution in a surface
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0402Cleaning, repairing, or assembling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers

Abstract

세라믹 샤워헤드에 대한 가스 전달 시스템은 가스 접속 블록들 및 가스 링을 포함하며, 가스 접속 블록들은, 블록들 내의 가스 유출구들이 샤워헤드의 외주변 내의 가스 유입구들로 프로세스 가스를 전달하도록 가스 링 상에 탑재된다. 가스 링은 내부에 채널들을 갖는 바닥 링, 및 채널들을 둘러싸는 용접된 커버 플레이트를 포함한다. 가스 링은, 가스 링의 1/2 길이로 연장하는 제 1 채널, 그의 중간포인트들에서 제 1 채널의 다운스트림 말단들에 접속된 2개의 제 2 채널들, 및 그의 중간포인트들에서 제 2 채널들의 다운스트림 말단들에 접속된 4개의 제 3 채널들을 포함할 수 있다. 커버 플레이트는 제 1 채널을 둘러싸는 제 1 섹션, 그의 중간포인트들에서 제 1 섹션의 말단들에 접속된 2개의 제 2 섹션들, 및 그의 중간포인트들에서 제 2 섹션들의 말단들에 접속된 제 3 섹션들을 포함할 수 있다.

Description

플라즈마 에칭 반응기의 세라믹 샤워헤드에 대한 가스 분배 시스템{Gas Distribution System For Ceramic Showerhead of Plasma Etch Reactor}
보쉬 (Bosch) 프로세스는, 반도체 산업에서 트렌치들 및 비아들과 같은 깊은 수직 (높은 애스팩트 비) 피쳐들 (수십 내지 수백 밀리미터와 같은 깊이를 가짐) 을 제조하는데 광범위하게 사용되는 플라즈마 에칭 프로세스이다. 보쉬 프로세스는 에칭 단계들 및 증착 단계들을 교번하는 사이클들을 포함한다. 보쉬 프로세스의 세부사항들은, 여기에 참조로서 포함되는 미국 특허 제 5,501,893호에서 발견될 수 있다. 보쉬 프로세스는, 무선 주파수 (RF) 바이어싱된 기판 전극과 함께 유도성 커플링된 플라즈마 (ICP) 소스와 같은 높은-밀도 플라즈마 소스로 구성된 플라즈마 프로세싱 장치에서 수행될 수 있다. 실리콘을 에칭하기 위해 보쉬 프로세스에서 사용된 프로세스 가스들은, 에칭 단계에서는 술퍼 헥사플루오라이드 (SF6) 및 증착 단계에서는 옥토플루오로사이클로부탄 (C4F8) 일 수 있다. 에칭 단계에서 사용된 프로세스 가스 및 증착 단계에서 사용된 프로세스 가스는, "에칭 가스" 및 "증착 가스" 로서 이하 각각 지칭된다. 에칭 단계 동안, SF6는 실리콘 (Si) 의 자발적이고 등방성인 에칭을 용이하게 하고, 증착 단계 동안, C4F8은 에칭된 구조들의 측벽들 뿐만 아니라 바닥들 상으로의 보호성 폴리머 층의 증착을 용이하게 한다. 보쉬 프로세스는 에칭 및 증착 단계들 사이에서 순환적으로 교번하여, 깊은 구조들이 마스크된 실리콘 기판으로 정의될 수 있게 한다. 에칭 단계들에서 존재하는 에너지적이고 지향성인 이온 충돌 시에, 이전의 증착 단계로부터 에칭된 구조들의 바닥들에서 코팅된 임의의 폴리머 막은 추가적인 에칭을 위해 실리콘 표면을 노출시키도록 제거될 것이다. 측벽 상의 폴리머 막은, 그것이 직접적인 이온 충돌을 겪지 않기 때문에 유지될 것이며, 그에 의해, 측면 에칭을 억제한다.
보쉬 프로세스의 하나의 제한은 에칭된 깊은 피쳐들의 거칠어진 측벽들이다. 이러한 제한은, 보쉬 프로세스에서 사용되는 주기적인 에칭/증착 방식으로 인한 것이며, 측벽 "스캘러핑 (scalloping)" 으로서 당업계에 알려져 있다. 많은 디바이스 애플리케이션들에 대해, 이러한 측벽 거칠기 또는 스캘러핑을 최소화시키는 것이 바람직하다. 스캘러핑의 정도는 통상적으로 스캘럽 길이 및 깊이로서 측정된다. 스캘럽 길이는 측벽 거칠기의 피크-투-피크 거리이며, 단일 에칭 사이클 동안 달성되는 에칭 깊이에 직접 상관된다. 스캘럽 깊이는 측벽 거칠기의 밸리 (valley) 거리에 대한 피크이며, 개별적인 에칭 단계의 이방성 정도에 상관된다. 스캘럽 형성의 정도는, 각각의 에칭/증착 단계의 지속기간을 단축시킴으로써 최소화될 수 있다 (즉, 더 높은 주파수로 반복되는 더 짧은 에칭/증착 단계들).
더 평활한 피쳐 측벽들에 부가하여, 더 높은 전체 에칭 레이트를 달성하는 것이 또한 바람직하다. 전체 에칭 레이트는 프로세스의 총 지속기간으로 나눠진 (divide) 프로세스에서 에칭된 총 깊이로서 정의된다. 전체 에칭 레이트는 프로세스 단계 내의 효율성을 증가 (즉, 데드 시간 (dead time) 을 감소) 시킴으로써 증가될 수 있다.
도 1은 기판 지지부 (130), 및 기판 지지부 (130) 를 둘러싸는 프로세싱 챔버 (140) 를 포함하는 기판 (120) 을 프로세싱하기 위한 종래의 플라즈마 프로세싱 장치 (100) 를 도시한다. 기판 (120) 은, 예를 들어, 4", 6", 8", 12" 등과 같은 직경들을 갖는 반도체 웨이퍼일 수도 있다. 기판 지지부 (130) 는, 예를 들어, 무선 주파수 (RF) 전력공급된 전극을 포함할 수도 있다. 기판 지지부 (130) 는 챔버 (140) 의 하부 말단벽 (endwall) 으로부터 지지될 수도 있거나 캔틸레버 (cantilever) 될 수도 있으며, 예를 들어, 챔버 (140) 의 측벽으로부터 연장한다. 기판 (120) 은 기계적으로 또는 정전기적으로 전극 (130) 에 클램핑 (clamp) 될 수도 있다. 프로세싱 챔버 (140) 는, 예를 들어, 진공 챔버일 수도 있다.
기판 (120) 은, 프로세싱 챔버 (140) 내의 프로세스 가스를 고밀도 플라즈마로 에너자이징함으로써 프로세싱 챔버 (140) 에서 프로세싱된다. 에너지 소스는 챔버 (140) 에서 고밀도 (예를 들어, 1011 내지 1012 이온/cm3) 플라즈마를 유지한다. 예를 들어, 적절한 RF 소스 및 적절한 RF 임피던스 매칭 회로에 의해 전력공급된 도 1에 도시된 평평한 멀티턴 (multiturn) 나선 코일, 비-평평한 멀티턴 코일, 또는 다른 형상을 갖는 안테나와 같은 안테나 (150) 는, 고밀도 플라즈마를 생성하기 위해 유도성으로 RF 에너지를 챔버로 커플링시킨다. 안테나 (150) 로 공급된 RF 전력은, 챔버 (140) 에서 사용되는 상이한 프로세스 가스들 (예를 들어, SF6를 포함하는 에칭 가스 및 C4F8을 포함하는 증착 가스) 에 따라 변경될 수 있다. 챔버 (140) 는 원하는 압력 (예를 들어, 5Torr 미만, 바람직하게는 1 내지 100mTorr) 으로 챔버 (140) 의 내부를 유지하기 위한 적절한 진공 펌핑 장치를 포함할 수도 있다. 도 1에 도시된 균일한 두께의 평평한 유전체 윈도우 (155), 또는 평평하지 않은 유전체 윈도우 (미도시) 와 같은 유전체 윈도우는, 안테나 (150) 와 프로세싱 챔버 (140) 의 내부 사이에 제공되며, 프로세싱 챔버 (140) 의 상단에 진공벽을 형성한다. 가스 전달 시스템 (110) 은, 유전체 윈도우 (155) 아래의 중앙 주입구 (180) 또는 1차 가스 링 (170) 을 통해 챔버 (140) 로 프로세스 가스들을 공급하는데 사용될 수 있다. 도 1의 플라즈마 프로세싱 장치 (100) 의 세부사항들은 공동-소유된 미국 특허출원 공개공보 제 2001/0010257호, 제 2003/0070620호, 미국 특허 제 6,013,155호, 또는 미국 특허 제 6,270,862호에 기재되어 있으며, 이들 각각은 그 전체가 여기에 참조로서 포함된다.
신속한 가스 스위칭을 위해 설계된 가스 전달 시스템들은, 공동-소유된 미국 특허 제 7,459,100호 및 제 7,708,859호, 및 미국 특허 공개공보 제 2007/0158025호 및 제 2007/0066038호에 기재되어 있으며, 그 개시물은 여기에 참조로서 포함된다.
기판 (120) 은 실리콘 웨이퍼 및/또는 폴리실리콘과 같은 실리콘 재료를 포함하는 것이 바람직하다. 홀들, 비아들 및/또는 트렌치들과 같은 다양한 피쳐들은 실리콘 재료로 에칭될 것이다. 원하는 피쳐들을 에칭하기 위한 개구 패턴을 갖는 패터닝된 마스킹 층 (예를 들어, 포토레지스트, 실리콘 산화물, 및/또는 실리콘 질화물) 은 기판 (120) 상에 배치된다.
도 1의 장치 (100) 의 일 문제점은, 1차 가스 링 (170) 이 중앙보다 기판 (120) 의 주변에 더 근접하게 위치된다는 것이며, 이는, 기판 (120) 의 기판 위에서의 다른 프로세스 가스로의 일 프로세스 가스의 완전한 교체를 위해 필요한 시간으로 인해 에칭 레이트를 증가시키고, 프로세싱 동안 기판에 걸친 가스 압력 비균일도로 인해 프로세스 비균일도를 유도할 수 있다.
여기에 기재된 것은, 유도성 커플링된 플라즈마 프로세싱 장치에 대한 세라믹 샤워헤드로 프로세스 가스를 공급하는데 유용한 가스 전달 시스템이며, 여기서, 기판 지지부 상에 지지된 반도체 기판들은 플라즈마 에칭을 겪고, 세라믹 샤워헤드는 그의 외주변으로부터 내측으로 연장하는 방사 연장 가스 유입구들을 포함하며, 가스 전달 시스템은, 블록들 각각의 가스 유출구가 세라믹 샤워헤드 내의 가스 유입구들 중 각각의 하나와 유체 연통하기 위해 세라믹 샤워헤드에 부착하도록 구성된 가스 접속 블록들, 및 내부에 동일한 길이의 채널들 및 채널들의 다운스트림 말단들과 유체 연통하는 가스 유출구들을 갖는 가스 링을 포함하며, 가스 유출구들의 각각은 가스 접속 블록들의 각각의 블록을 지지하는 탑재 표면 상에 위치된다.
본 발명은, 일 실시형태에 있어서 유도성 커플링된 플라즈마 프로세싱 장치에 대한 세라믹 샤워헤드에 프로세스 가스를 공급하는데 유용한 가스 전달 시스템으로서, 기판 지지부 상에 지지된 반도체 기판들은 플라즈마 에칭을 겪고 (subjected to), 상기 세라믹 샤워헤드는 상기 세라믹 샤워헤드의 외주변으로부터 내측으로 연장하는 방사상 연장 가스 유입구들을 포함하며, 상기 가스 전달 시스템은, 가스 접속 블록들 각각의 가스 유출구가 상기 세라믹 샤워헤드 내의 상기 가스 유입구들 중 각각의 가스 유입구와 유체 연통하도록 상기 세라믹 샤워헤드에 부착하도록 구성된 상기 가스 접속 블록들; 및 내부에 균일한 단면의 동일한 길이의 채널들, 및 상기 채널들의 다운스트림 말단들과 유체 연통하는 가스 유출구들을 갖는 가스 링으로서, 상기 가스 유출구들 각각은 상기 가스 접속 블록들 중 각각의 가스 접속 블록에 인게이지하는 탑재 표면 상에 위치되고, 상기 가스 유출구들 각각은 상기 가스 접속 블록들 중 각각의 가스 접속 블록 내의 가스 유입구와 유체 연통하는, 상기 가스 링을 포함하고, 상기 가스 링은 8개의 가스 유출구들을 포함하며, 상기 채널들은 상기 가스 링의 절반의 길이로 연장하는 제 1 채널, 2개의 제 2 채널들의 중간포인트들에서 상기 제 1 채널의 다운스트림 말단들에 접속된 상기 2개의 제 2 채널들, 및 4개의 제 3 채널들의 중간포인트들에서 상기 제 2 채널들의 다운스트림 말단들에 접속된 상기 4개의 제 3 채널들을 포함하는, 가스 전달 시스템에 관한 것이다. 상기 가스 링은 내부에 채널들을 갖는 바닥 링, 및 상기 채널들을 둘러싸는 커버 플레이트를 포함한다. 상기 가스 링은 상기 제 1 채널의 중간포인트에 접속된 단일 가스 유입구를 포함한다. 상기 가스 유출구들은 상기 가스 링의 중앙으로부터 10 내지 11인치의 반경 상에 위치되고, 상기 가스 접속 블록들 각각은 상기 가스 접속 블록들을 상기 가스 링에 부착하는 조임기 (fastener) 들을 수용하도록 구성된 2개의 탑재 홀들을 포함하며, 상기 탑재 홀들은 1인치 떨어져 위치한다. 상기 커버 플레이트 및 상기 바닥 링은 스테인리스 스틸 또는 폴리머 재료로 제작된다.
도 1은 종래의 플라즈마 프로세싱 장치를 도시한다.
도 2는 바람직한 실시형태에 따른 플라즈마 프로세싱 장치를 도시한다.
도 3a-d는 하부 플레이트 (270) 의 세부사항들을 도시하며, 여기서, 도 3a는 하부 플레이트 (270) 의 상부 표면의 사시도이고, 도 3b는 하부 플레이트 (270) 의 하부 표면의 사시도이고, 도 3c는 하부 플레이트 (270) 의 바닥도이며, 도 3d는 하부 플레이트 (270) 의 단면도이다.
도 4a-h는 상부 플레이트 (280) 의 세부사항들을 도시하며, 여기서, 도 4a는 상부 플레이트 (280) 의 상부 표면의 사시도이고, 도 4b는 상부 플레이트 (280) 의 하부 표면의 사시도이고, 도 4c는 상부 플레이트 (280) 의 측면도이고, 도 4d는 상부 플레이트 (280) 의 단면도이고, 도 4e는 도 4d에서의 세부사항 E의 도면이고, 도 4f는 도 4e에서의 세부사항 F의 도면이고, 도 4g는 도 4h의 라인 G-G를 따른 가스 접속 위치에서의 단면도이며, 도 4h는 도 4c에서의 세부사항 H의 말단도이다.
도 5a-b는 하부 플레이트 (270) 상에 탑재된 상부 플레이트 (280) 를 도시하며, 도 5a는 상면 사시도이고, 도 5b는 도 5a에 도시된 어셈블리를 통한 단면도이다.
도 6a-c는 세라믹 샤워헤드로 프로세스 가스를 공급하는 가스 접속 블록의 세부사항들을 도시하며, 여기서, 도 6a는 블록의 전면 사시도이고, 도 6b는 블록의 후면 사시도이며, 도 6c는 가스 접속 블록의 바닥도이다.
도 7a-c는 가스 링의 세부사항들을 도시하며, 여기서, 도 7a는 가스 링의 상면도이고, 도 7b는 가스 링의 사시도이고, 도 7c는 바닥 링으로부터 분리된 커버 플레이트를 갖는 가스 링의 세부사항들을 도시한다.
도 8a-d는 세라믹 샤워헤드 상에 탑재된 가스 링의 세부사항들을 도시하며, 여기서, 도 8a는 샤워헤드를 둘러싸는 가스 링의 사시도이고, 도 8b는 가스 접속 블록의 숄더 스크류들이 샤워헤드 내의 탑재 홀들에 피트된 조임기 (fastener) 들 내의 개구와 어떻게 인게이지되는지를 도시하고, 도 8c는 샤워헤드의 외주변에서 방사 연장 탑재 홀들로 삽입된 숄더 스크류들 및 샤워헤드로 완전히 삽입된 조임기들을 도시하며, 도 8d는 가스 링 및 샤워헤드에 부착된 가스 접속 블록의 사시 단면도이다.
여기에 설명된 플라즈마 프로세싱 장치는, 상술된 종래의 장치 (100) 보다 더 큰 균일도를 갖는 더 높은 에칭 레이트들을 달성할 수 있다.
일 실시형태에 따르면, 도 2에 도시된 바와 같이, 기판 (220) 을 프로세싱하기 위한 플라즈마 프로세싱 장치 (200) 는 기판 지지부 (230), 및 기판 지지부 (230) 를 둘러싸는 프로세싱 챔버 (240) 를 포함한다. 기판 (220) 은, 예를 들어, 8인치, 12인치 또는 그 이상의 직경들을 갖는 반도체 웨이퍼일 수도 있다. 기판 지지부 (230) 는, 예를 들어, 무선 주파수 (RF) 전력공급된 전극을 포함할 수도 있다. 기판 지지부 (230) 는 챔버 (240) 의 하부 말단벽으로부터 지지될 수도 있거나 캔틸레버될 수도 있으며, 예를 들어, 챔버 (240) 의 측벽으로부터 연장한다. 기판 (220) 은 기계적으로 또는 정전기적으로 기판 지지부 (230) 에 클램핑될 수도 있다.
기판 (220) 은, 프로세싱 챔버 (240) 내의 프로세스 가스를 고밀도 플라즈마로 에너자이징함으로써 프로세싱 챔버 (240) 에서 프로세싱된다. 에너지 소스는 챔버 (240) 에서 고밀도 (예를 들어, 1011 내지 1012 이온/cm3) 플라즈마를 생성 및 유지한다. 예를 들어, 적절한 RF 소스 및 적절한 RF 임피던스 매칭 회로에 의해 전력공급된 도 2에 도시된 평평한 멀티턴 (multiturn) 나선 코일, 비-평평한 멀티턴 코일, 또는 다른 형상을 갖는 안테나와 같은 안테나 (250) 는, 고밀도 플라즈마를 생성하기 위해 유도성으로 RF 에너지를 챔버로 커플링시킨다. 안테나 (250) 로 공급된 RF 전력은, 바람직하게는 약 1s 미만의 시간 기간, 더 바람직하게는 약 200ms 이내에 에칭 가스 또는 증착 가스를 교번으로 공급하는 사이클들 동안, 동일한 전력 레벨로 유지될 수 있거나, 챔버 (240) 에서 사용되는 상이한 프로세스 가스들 (예를 들어, SF6를 포함하는 에칭 가스 및 C4F8을 포함하는 증착 가스) 에 따라 변경될 수 있다. 챔버 (240) 는, 원하는 압력 (예를 들어, 5Torr 미만, 바람직하게는 1 내지 500mTorr) 으로 챔버 (240) 의 내부를 유지하기 위한 적절한 진공 펌핑 장치에 의해 비워지게 된다. 압력은 에칭 및 증착 사이클들 동안 동일한 레벨로 유지될 수 있거나 변경될 수 있다.
챔버는, 안테나 (250) 와 프로세싱 챔버 (240) 의 내부 사이에 제공되고, 프로세싱 챔버 (240) 의 상단에서 진공벽을 형성하는 균일한 두께의 세라믹 샤워헤드 (260) 를 포함한다. 가스 전달 시스템 (210) 은 샤워헤드 (260) 내의 가스 통로들을 통해 챔버 (240) 로 프로세스 가스를 공급하는데 사용될 수 있다. 가스 전달 시스템 (210) 은 40밀리초, 바람직하게는 30밀리초 이내에 개방 및 폐쇄하는 (캘리포니아 산타클라라에 위치된 Fujikin of America 로부터 입수가능한 밸브 모델 넘버 FSR-SD-71-6.35와 같은) 고속 스위칭 밸브들을 통해 챔버로 에칭 가스 또는 증착 가스를 교번하여 공급한다. 밸브들은, 에칭 가스가 샤워헤드에 공급되는 동안 우회 라인으로 증착 가스를 지향시키지 않거나 그 역도 가능한 온-오프 밸브들일 수 있다. 고속 가스 스위칭 밸브들은, 개방 또는 폐쇄하기 전에 안정화하는데 250밀리초가 걸릴 수 있는 MFC 밸브들보다 더 신속한 스위칭을 제공한다.
바람직한 실시형태에서, 샤워헤드는, 알루미나, 실리콘 질화물, 알루미늄 질화물, 도핑된 실리콘 탄화물, 석영 등과 같은 전기적으로 절연성 세라믹 재료로 제작된 (도 3a-d 및 도 4a-h를 참조하여 후술되는) 상부 플레이트 (280) 및 하부 플레이트 (270) 를 포함하는 2-피스 세라믹 샤워헤드이다. 플라즈마가 샤워헤드 가스 홀들에서 점화하는 것을 방지하기 위해, 가스 홀들은, 0.06인치보다 크지 않은 직경들 및 적어도 2의 애스팩트 비들을 갖는 것이 바람직하다. 예를 들어, 하부 플레이트 (270) 는 적어도 0.2인치, 바람직하게는 0.2 내지 1인치의 두께를 가질 수 있다. 하부 플레이트 (270) 의 바닥 표면과 기판 (220) 사이의 수직 거리 (챔버 갭) 는, 샤워헤드 플레이트와 기판 사이에서 플라즈마가 생성되는 챔버 갭을 조정하기 위해 수직 방향으로 기판 지지부를 이동시킴으로써 변경될 수 있다.
기판 (220) 은 실리콘 웨이퍼 및/또는 폴리실리콘과 같은 실리콘 재료를 포함하는 것이 바람직하다. 홀들, 비아들 및 트렌치들과 같은 다양한 피쳐들은 실리콘 재료로 에칭될 것이다. 원하는 피쳐들을 에칭하기 위한 개방 패턴을 갖는 패터닝된 마스킹 층 (예를 들어, 포토레지스트, 실리콘 산화물, 및/또는 실리콘 질화물) 은 기판 (220) 상에 배치된다.
측면 가스 주입을 갖는 종래의 플라즈마 프로세싱 장치 (100) 와 비교하여, 플라즈마 프로세싱 장치 (200) 는 에칭 가스로부터 증착 가스로 및 그 역으로 챔버 갭 내의 프로세스 가스를 더 신속하고 균일하게 스위칭할 수 있다. 기판 (220) 이 300mm의 직경을 갖고 챔버 갭이 4인치보다 큰 일 실시형태에서, 장치 (200) 는 약 200밀리초 이내에 상부 및 하부 플레이트들 사이의 플래넘 내에서 프로세스 가스를 본질적으로 완전히 스위칭 (예를 들어, 적어도 90%) 할 수 있고, 약 700밀리초 이내에 챔버 갭 내에서 프로세스 가스를 본질적으로 완전히 스위칭 (예를 들어, 적어도 90%) 할 수 있다. 그러한 신속한 가스 스위칭은, 플라즈마 프로세싱 장치 (200) 를 사용하는 10㎛/분 초과로의 실리콘 내의 개구들의 에칭 레이트에서의 상당한 증가를 가능하게 하며, 에칭될 피쳐들의 임계 치수 (CD) 에 의존하여, 에칭 레이트는 20㎛/분보다 높을 수 있지만, 약 3㎛/분의 에칭 레이트들을 제공하는 측면 가스 진입을 이용한다.
도 3a-d는 하부 플레이트 (270) 의 세부사항들을 도시하며, 도 3a는 하부 플레이트 (270) 의 상부 표면의 사시도이고, 도 3b는 하부 플레이트 (270) 의 하부 표면의 사시도이고, 도 3c는 하부 플레이트 (270) 의 바닥도이며, 도 3d는 하부 플레이트 (270) 의 단면도이다.
도 3a-d에 도시된 바와 같이, 하부 플레이트 (270) 는 평평한 하부 표면 (302), 및 중앙 부분 (306) 에서는 더 두껍고 외부 부분 (308) 에서는 더 얇은 스텝된 (stepped) 상부 표면 (304) 을 포함하며, 축방향의 2개의 행 (row) 들은 외부 부분 (308) 상의 환형 (annular) 구역 (312) 에 위치된 가스 홀들 (310) 을 연장시키고, 상부 및 하부 표면들 (304, 302) 사이에서 연장한다. 하부 표면 (302) 은 그의 외부 부분에 스텝 (320) 을 포함하며, 플라즈마 챔버의 온도 제어된 벽에 진공 씨일링된 환형 진공 씨일링 표면 (314) 을 포함한다. 하부 플레이트 (270) 는, 환형 구역 (312) 의 어느 하나의 측면 상의 상부 표면 (304) 상에 환형 내부 진공 씨일링 표면 (316) 및 환형 외부 진공 씨일링 표면 (318) 을 포함한다. 블라인드 (blind) 홀 (322) 은, 하부 플레이트 (270) 의 온도를 모니터링하는 온도 센서를 탑재하기 위해 중앙 부분 (306) 의 상부 표면 상에 위치된다.
두꺼운 중앙 부분 (306) 은 중앙 부분 (306) 의 노출된 상부 표면 위에서 주변 대기로 열을 효율적으로 소산시킨다 (dissipate). 샤워헤드의 외부 에지는, 샤워헤드에 걸친 온도 그라디언트들을 오프셋시키기 위해, 상승된 온도로 셋팅될 수 있다. 하나 이상의 열 개스켓들 (506) 은, 하부 플레이트 (270) 의 외부 부분 (308) 과 오버레이 (overlying) 플레이트 (280) 사이에서의 열 전달을 촉진시키는데 사용될 수 있다. 하부 플레이트 (270) 는 열 및 진공 로드들의 대부분에 노출되며, 높은 열 응력을 경험할 것이다. 상부 플레이트 (280) 에 복잡한 가스 피드 (feed) 도관들을 제공함으로써, 챔버 내의 기판들의 플라즈마 프로세싱 동안 열 응력들로 인한 파손의 위험이 더 적어진다. 추가적으로, 상부 및 하부 플레이트들이 진공 힘에 의해 함께 홀딩되고 O-링들에 의해 씨일링되므로, 이들 2개의 부분들을 주기적으로 제거 및 세정하는 것이 용이하다. 부식 저항을 제공하기 위해, 하부 플레이트의 플라즈마 노출된 표면들은 이트리아 (yttria) 로 코팅될 수 있다.
300mm 웨이퍼들을 프로세싱하도록 설계된 챔버에서, 하부 플레이트 (270) 는 웨이퍼보다 더 넓으며, 진공 씨일링 표면 (312) 은 챔버 (240) 의 상단 상의 맞물림 (mating) 씨일링 표면과 인게이지한다. 예를 들어, 하부 플레이트 (270) 는 약 20인치의 직경, 중앙 부분 (306) 에서 약 1.5 인치의 두께, 및 외부 부분 (308) 에서 약 0.8인치의 두께를 가질 수 있으며, 가스 홀들 (310) 은, 약 0.04인치의 직경들을 갖고 하부 플레이트 (270) 의 중앙으로부터 약 5인치에 위치된 32개의 가스 홀들의 내부 행 및 약 0.04인치의 직경들을 갖고 하부 플레이트 (270) 의 중앙으로부터 약 6.5인치에 위치된 32개의 가스 홀들의 외부 행을 포함하는 가스 홀들의 2개의 행들로 배열되고, 씨일링 표면 (314) 은 하부 표면 (302) 내의 스텝 (320) 상에 위치되며, 스텝 (314) 은 약 0.4인치의 깊이 및 약 1.2인치의 폭을 갖는다.
도 4a-h는 상부 플레이트 (280) 의 세부사항들을 도시하며, 여기서, 도 4a는 상부 플레이트 (280) 의 상부 표면의 사시도이고, 도 4b는 상부 플레이트 (280) 의 하부 표면의 사시도이고, 도 4c는 상부 플레이트 (280) 의 측면도이고, 도 4d는 상부 플레이트 (280) 의 단면도이고, 도 4e는 도 4d의 세부사항 E의 도면이고, 도 4f는 도 4e의 세부사항 F의 도면이고, 도 4g는 가스 접속 탑재 표면의 상부 플레이트의 단면도이며, 도 4h는 탑재 표면의 측면도이다.
상부 플레이트 (280) 는 평평한 상부 표면 (402), 평평한 하부 표면 (404), 내부 표면 (406) 및 외부 표면 (408) 을 갖는 세라믹 재료의 환형 플레이트이다. 복수의 방사상 연장 가스 통로들 (410) 은 외부 표면 (408) 으로부터 내측으로 연장하고, 복수의 축방향 연장 가스 통로들 (412) 은 하부 표면 (404) 으로부터 방사상 연장 가스 통로들 (410) 로 연장한다. 환형 상부 플레이트 (280) 는, 상부 플레이트 (280) 의 축방향 연장 가스 통로들 (412) 이 하부 플레이트 (270) 내의 축방향 연장 가스 홀들 (310) 과 유체 연통하는 환형 플래넘 (414) 과 유체 연통하기 위해, 하부 플레이트 (270) 의 중앙 부분 (306) 을 둘러싸고 하부 플레이트 (270) 의 외부 부분 (308) 의 상부 표면 (304) 위에 놓이도록 구성된다.
300mm 웨이퍼들을 프로세싱하기 위해, 상부 플레이트 (280) 는 하부 플레이트 (270) 와 맞물림하도록 치수화 (dimension) 되며, 하부 플레이트 (270) 에 가스 홀들 (310) 을 공급하는 복수의 방사상 연장 가스 통로들 (410) 을 포함한다. 예를 들어, 상부 플레이트 (280) 는 약 0.125인치의 직경들을 갖고 45°떨어져 위치되는 8개의 방사상 연장 가스 통로들 (410), 약 0.125인치의 직경들을 갖고 상부 플레이트 (270) 의 중앙으로부터 약 5.75인치에 위치되는 8개의 축방향 연장 가스 통로들 (412), 약 1.7인치의 폭 및 약 0.015 내지 0.02인치의 깊이를 갖는 환형 플래넘 (414), 환형 플래넘 (414) 을 둘러싸는 내부 O-링 홈 (416) 및 외부 O-링 홈 (418) 을 포함할 수 있다. 프로세스 요건들에 의존하여, 하부 플레이트 (270) 는, 임의의 원하는 패턴의 및 임의의 원하는 지오메트리 및 치수들을 갖는 64개보다 더 많거나 더 적은 가스 홀들과 같은 가스 홀들 (310) 의 상이한 배열을 포함할 수 있다.
프로세스 가스를 가스 통로들 (410) 에 공급하기 위해, 상부 플레이트 (280) 는 가스 접속 탑재 블록들을 부착하기 위한 탑재 홀들을 포함한다. 탑재 홀들은, 축방향 연장 탑재 홀들 (420) 의 8개의 쌍들 및 방사상 연장 탑재 홀들 (422) 의 8개의 쌍들을 포함한다. 홀들 (420) 은, 약 0.4인치의 직경들을 갖고, 상부 플레이트 (280) 의 상부 표면 (402) 의 외부 에지로부터 약 0.5인치에 위치되며, 상부 플레이트 (280) 를 통해 하부 표면 (404) 으로 연장한다. 탑재 홀들 (422) 은 약 0.35인치의 직경들을 갖고, 상부 플레이트 (280) 의 외주변 (408) 상의 평평한 탑재 표면들 (424) 에 위치되며, 홀들 (420) 로 연장한다. 탑재 홀들 (420, 422) 의 각각의 쌍의 중앙들은 약 1인치 떨어져 위치된다. 상부 플레이트 (280) 및 하부 플레이트 (270) 는 높은 순도의 알루미나로 제작되는 것이 바람직하며, 하부 플레이트 (270) 의 하부 표면은, 씨일링 진공 표면 (314) 을 제외하고 하부 표면 전부를 커버하는 높은 순도의 이트리아의 코팅을 포함한다.
도 5a-b는 하부 플레이트 (270) 상에 탑재된 상부 플레이트 (280) 를 도시하며, 여기서, 도 5a는 상면 사시도이고, 도 5b는 도 5a에 도시된 어셈블리를 통한 단면도이다. 상부 플레이트 상의 탑재 홀들 (420) 은, 8개의 가스 도관 블록들 (미도시) 의 상부 플레이트 (280) 의 외부 표면 (408) 으로의 부착을 허용하는 조임기들 (504) 을 수용한다. 가스 블록들은, 프로세스 가스가 8개의 방사상 연장 가스 통로들 (410) 로 흐르는 8개의 가스 접속 위치들 (502) 에 프로세스 가스를 전달한다. 동일하게 이격된 위치들에서 외부 표면 (408) 으로부터 프로세스 가스를 피드함으로써, 신속한 가스 스위칭이 챔버에서 달성될 수 있다. 상부 및 하부 플레이트들 사이의 환형 플래넘 (414) 의 가스 볼륨은, 에칭 가스로부터 증착 가스로의 신속한 변환을 허용하는 500cm3 미만인 것이 바람직하다. 하부 플레이트 (270) 의 두꺼운 중앙 부분 (306) 은 열 소산을 허용하며, 상부 및 하부 플레이트들의 반대 표면들 사이의 열적으로 도전성인 개스켓들 (506) 은 하부 플레이트 (270) 의 외부 부분 (308) 이 원하는 온도로 유지되게 한다. 하부 플레이트 (270) 는 대부분의 열 및 진공 로드들에 노출되며, 높은 열 응력을 경험할 것이다. 따라서, 열 파괴 (thermal fracture) 를 유도할 수도 있는 하부 플레이트 상의 피쳐들을 최소화시키는 것이 바람직하다. 2 피스 설계를 이용하면, 열 파괴를 유도할 수도 있는 복잡한 머시닝된 피쳐들은 상부 플레이트 (280) 상에 위치된다. 상부 및 하부 플레이트들은 함께 볼트로 조여지지 않고, 대신, O-링 홈들 (416, 418) 에 위치된 2개의 O-링 씨일들로 씨일링된 진공 및 진공 힘에 의해서만 함께 홀딩된다. 이러한 탑재 배열은 상부 및 하부 플레이트들의 세정을 위한 용이한 디어셈블리를 허용한다.
상술된 플라즈마 프로세싱 장치 (200) 에 관해, 가스 전달 시스템은, 에칭 가스 및 증착 가스를 플래넘에 교번하여 공급하고, 상부 및 하부 플레이트들 사이의 플래넘 내의 에칭 가스를 200밀리초 이내에 증착 가스로 교체하거나, 플래넘 내의 증착 가스를 200밀리초 이내에 에칭 가스로 교체하도록 동작가능하다. 플라즈마 프로세싱 장치는, 적어도 10㎛/분의 레이트로 기판 지지부 상에 지지된 반도체 기판 상의 실리콘을 에칭하는데 사용될 수 있다. 플라즈마 프로세싱 장치는, 200밀리초 이내에 플래넘 내의, 및 약 500ms 이내에 에칭 가스로부터 증착 가스로 또는 그 역으로 프로세싱 챔버의 플라즈마 한정 구역 (챔버 갭) 내의 프로세스 가스를 본질적으로 완전히 스위칭하도록 동작가능하다.
바람직한 실시형태에서, 에칭 가스는 SF6이고, 증착 가스는 C4F8이다. 동작에서, 가스 공급 시스템은, 증착 가스의 플래넘으로의 공급 동안 에칭 가스를 진공 라인으로 전환 (divert) 시키지 않고, 에칭 가스의 플래넘으로의 공급 동안 증착 가스를 진공 라인으로 전환시키지 않는다. 상술된 플라즈마 프로세싱 장치를 사용하는 기판의 프로세싱은 바람직하게, (a) 챔버에서 기판을 지지하는 단계, (b) 에칭 가스를 플래넘에 공급하고, 하부 플레이트 내의 가스 홀들을 통해 챔버 갭으로 에칭 가스를 흐르게 하는 단계, (c) 챔버 내의 에칭 가스를 제 1 플라즈마로 에너자이징하고, 제 1 플라즈마를 이용하여 기판을 프로세싱하는 단계, (d) 에칭 가스를 실질적으로 교체하고 하부 플레이트 내의 가스 홀들을 통해 증착 가스를 챔버 갭으로 흐르게 하기 위해, 증착 가스를 플래넘에 공급하는 단계, (e) 챔버 내의 증착 가스를 제 2 플라즈마로 에너자이징하고, 제 2 플라즈마를 이용하여 기판을 프로세싱하는 단계, (f) 1.8초보다 크지 않는 총 사이클 시간을 이용하여 단계들 (b) 내지 (e) 를 반복하는 단계를 포함한다.
에칭 가스는 바람직하게, 단계 (b) 에서 약 500밀리초의 기간 이내에 챔버 갭 내의 증착 가스의 적어도 90%를 교체하고, 증착 가스는 바람직하게, 단계 (d) 에서 약 500밀리초 기간 이내에 챔버 갭 내의 에칭 가스의 적어도 90%를 교체한다. 프로세스 동안, 플래넘 내의 압력은 단계들 (b) 내지 (e) 동안 적어도 5토르이다. 에칭 가스 및 증착 가스를 공급하는 사이클 동안, 에칭 가스를 공급하는 총 시간은 1.3초 이하일 수 있으며, 증착 가스를 공급하는 총 시간은 0.7초 이하일 수 있다.
챔버 압력은, 챔버 갭 내의 압력이 에칭 가스의 공급 동안 150mTorr보다 크고 챔버 갭 내의 압력이 증착 가스의 공급 동안 150mTorr보다 작도록 조정될 수 있다. 바람직한 프로세스에서, 에칭 가스는 적어도 500sccm의 플로우 레이트 (flow rate) 로 플래넘에 공급되고, 증착 가스는 500sccm 미만의 플로우 레이트로 플래넘에 공급된다. 바람직하게, 기판과 하부 플레이트 사이의 챔버 갭은 4인치보다 크다. 에칭 가스의 공급 동안, 기판은, 에칭 단계의 폴리머 클리어 (clear) 페이즈 동안의 200밀리초 동안 150mTorr 미만으로 및 나머지 플라즈마 에칭 단계 동안 150mTorr를 초과하여 유지되는 챔버 갭 내의 압력을 이용하는 높은 애스팩트 비 개구들의 플라즈마 에칭을 겪을 수 있다. 증착 가스의 공급 동안, 제 2 플라즈마는, 전체 증착 단계 동안 150mTorr 미만으로 유지되는 챔버 갭 내의 압력을 이용하여 개구들의 측벽들 상에 폴리머 코팅을 증착시킬 수 있다. 에칭 가스는 SF6, CF4, XeF2, NF3, CCl4와 같은 Cl 함유 가스 중 하나 이상일 수 있고, 증착 가스는 C4F8, C4F6, CHF3, CH2F2, CH4, C3F6, CH3F 중 하나 이상일 수 있다.
에칭 가스는, 상부 플레이트의 외주변 내의 가스 유입구들로 에칭 가스를 전달하는 8개의 에칭 가스 라인들로 제 1 밸브를 통해 공급될 수 있으며, 여기서, 8개의 에칭 가스 라인들은 동일한 컨덕턴스를 갖는다. 유사하게, 증착 가스는, 가스 유입구들로 증착 가스를 전달하는 8개의 증착 가스 라인들로 제 2 밸브를 통해 공급되며, 여기서, 8개의 증착 가스 라인들은 동일한 컨덕턴스를 갖는다. 신속한 작동 밸브들이 사용될 수 있으며, 여기서, 신속한 작동 솔레노이드 밸브들은, 제어기로부터 신호를 수신할 시에, 10밀리초 내에 공압 공기 (pneumatic air) 를 신속 스위칭 밸브에 전송하며, 신속 스위칭 밸브를 개방 또는 폐쇄하기 위한 총 시간은 30밀리초 이하일 수 있다.
도 6a-c는, 상부 플레이트 (280) 내의 방사상 연장 가스 통로 (410) 중 하나에 프로세스 가스를 공급하기 위한 스테인리스 스틸 또는 폴리머 재료와 같은 부식 저항 금속 재료로 제작된 예시적인 가스 접속 블록 (600) 을 도시한다. 도 6a는 전면 사시도이고, 도 6b는 후면 사시도이며, 도 6c는 접속 블록 (600) 의 바닥도이다. 접속 블록 (600) 은, 탑재 표면 (602) 내의 가스 유출구 (604) 가 가스 통로 (410) 와 정렬하도록 평평한 탑재 표면 (424) 에 접촉하는 탑재 표면 (602) 을 포함한다. 공극들 (606) 의 쌍은 평평한 외면 (face) (424) 내의 홀들 (422) 과 정렬되고, 숄더 (shoulder) 스크류들 (608) 의 쌍은, 숄더 스크류들 (608) 상의 가입 피트된 플라스틱 슬리브들 (609) 이 상부 플레이트 (280) 상에 블록 (600) 을 위치시키기 위해 홀들 (422) 로 진입하도록, 표면 (602) 로부터 떨어진 방향으로 공극들 (606) 에서 슬라이딩가능하다. 공극들 (606) 의 반대 말단들에서의 서클립 (circlip) 들 (611) 은, 숄더 스크류들이 공극들 (606) 로부터 떨어지게 하는 것을 방지한다. 가스 유출구 (604) 주변의 표면 (602) 내의 O-링 홈 (612) 은, 상부 플레이트 (280) 상의 평평한 탑재 표면 (424) 과 블록 (600) 사이에 씨일을 제공하기 위해, O-링과 같은 개스켓을 수용한다. 탑재 홀들 (610) 의 쌍은, 가스 전달 링 상에 블록 (600) 을 탑재하도록 플랜지들 (607) 을 통해 연장한다. 블록 (600) 은, 그를 통한 가스 유입구 (615) 를 갖는 탑재 표면 (613) 및 유입구 (615) 주변의 O-링 홈 (617) 을 포함한다. 얕은 (shallow) 직사각형 리세스들 (619) 은 블록 (600) 과 가스 전달 링 사이의 열 전달을 감소시킨다.
도 7a-c는 가스 전달 링 (700) 의 세부사항들을 도시한다. 도 7a는 내부에 탑재된 8개의 가스 접속 블록들 (600) 을 갖는 링 (700) 을 도시하며, 각각의 블록 (600) 은 상부 플레이트 (280) 내의 가스 유입구 (410) 와 블록의 내부 사이에 유체 연통을 제공한다. 도 7b는 내부에 탑재된 블록들 (600) 없는 가스 링 (700) 의 세부사항들을 도시한다. 가스 링 (700) 은 상부 커버 플레이트 (704) 내의 8개의 가스 유출구들 (702), 상부 커버 (704) 에 의해 둘러싸인 내부에 채널들을 갖는 바닥 링 (706), 프로세스 가스가 링 (700) 에 진입하는 가스 유입구 (708), 및 가스 유입구 (708) 반대에 있는 바닥 링의 말단들 (712) 을 접속시키는 연장 제한기 (710) 를 포함한다. 도 7c에 도시된 바와 같이, 커버 플레이트 (704) 는 상호접속된 섹션들을 포함하며, 여기서, 제 1 섹션 (714) 은 링 (706) 의 1/2 직경으로 연장하고, 일 쌍의 제 2 섹션들 (716) 각각은 그의 중간포인트에서 제 1 섹션 (714) 의 각각의 말단에 부착되고 링 (706) 의 1/4 직경으로 연장하며, 4개의 제 3 섹션들 (718) 각각은, 그의 중간포인트에서, 동등하게 떨어진 거리로 8개의 가스 유출구들 (702) 을 위치시키기 위해 제 2 섹션들 (716) 중 하나의 각각의 말단에 부착된다. 바닥 링 (706) 은 내부에 상호접속된 채널들을 포함하며, 여기서, 제 1 채널 (720) 은 링 (706) 의 1/2 직경으로 연장하고, 일 쌍의 제 2 채널들 (722) 각각은 그의 중간포인트에서 제 1 채널 (720) 의 각각의 말단에 접속되고 링 (706) 의 1/4 직경으로 연장하며, 4개의 제 3 채널들 (724) 각각은 그의 중간포인트에서 제 2 채널들 (722) 중 하나의 각각의 말단에 접속된다. 커버 플레이트 (704) 는 제 1 섹션 (714) 의 중간에 부착된 L-형상의 섹션 (726) 을 포함한다. L-형상의 섹션은 하부 링 (706) 의 가스 유입 섹션 (730) 내의 L-형상의 채널 (728) 을 커버하며, 채널 (728) 은 가스 유입구 (708) 를 제 1 채널 (720) 에 접속시킨다. 바닥 링 (706) 은 탑재 표면들 (734) 에 탑재 홀들 (732) 을 포함하고, 홀들 (732) 은 8개의 가스 접속 블록들 (600) 중 각각의 하나 내의 홀들 (610) 과 정렬된다.
바람직하게, 커버 플레이트 (704) 및 바닥 링 (706) 은, 스테인리스 스틸 또는 폴리머 재료와 같은 부식 저항 금속 재료로부터 제작되며, 커버 플레이트 (704) 는 전자 빔 용접과 같은 적절한 제조 프로세스에 의해 하부 링 (706) 에 씨일링될 수 있다. 커버 플레이트 및/또는 바닥 링의 내부 및/또는 외부 표면들은, 실리콘 코팅과 같은 보호성 재료로 코팅될 수 있다. 바람직한 실리콘 코팅은, PA 벨폰트에 위치된 SilcoTek로부터 입수가능한 화학 기상 증착된 (CVD) 멀티층 실리콘 코팅인 "SILCOLLOY 1000" 이다. 적절한 CVD 실리콘 코팅들의 세부사항들은 미국 특허 제 7,070,833호에서 발견될 수 있으며, 그 개시물은 여기에 참조로서 포함된다. 치수들이 샤워헤드의 사이즈 및 가스 유입 배열에 의존하여 변할 수 있지만, 바람직한 실시형태에서, 바닥 링 (706) 내의 채널들 (720/722/724) 은 폭이 약 0.1인치이고 높이가 약 0.32인치일 수 있으며, 가스 유출구들 (702) 은 약 10.4 인치의 반경 상에 위치될 수 있다. 커버 플레이트 (704) 는 바닥 링 내의 채널들보다 약간 더 넓을 수 있으며, 각각의 채널의 상단의 리세스 내에 피트될 수 있다. 예를 들어, 제 1, 제 2 및 제 3 섹션들 (714/716/718) 은 약 0.03인치의 두께 및 약 0.12인치의 폭을 가질 수 있다. 도 7c에 도시된 바와 같이, 커버 링 (704) 의 제 3 섹션들 (718) 의 말단들 (736) 은 내측으로 각져 있을 수 있으며, 라운딩된 (rounded) 말단들 (738) 을 포함할 수 있다. 라운딩된 말단들 (738) 은 약 0.32인치의 직경을 가질 수 있고, 가스 유출구들 (702) 을 형성하는 개구들은 라운딩된 말단들 (734) 에 중심이 있는 약 0.19인치의 직경을 가질 수 있다.
채널들 (720/722/724) 사이의 방향에서 급작스러운 변화들을 회피하기 위해, 제 1 채널 (720) 의 말단들과 제 2 채널들 (722) 의 중간 사이의 2개의 접속들은 바람직하게, 약 0.13인치의 반경으로 라운딩되고, 제 2 채널들 (722) 의 말단들과 제 3 채널들 (724) 의 중간 사이의 4개의 접속들은 약 0.13인치의 반경으로 라운딩된다. 바닥 링의 몇몇 부분들에서, (제 1 채널 (720) 의 일부들 및 제 3 채널들 (724) 의 일부들과 같은) 단일 채널, (제 1 및 제 3 채널들이 동심인 부분들과 같은 (여기서, 제 1 및 제 2 채널들은 동심적이거나 제 2 및 제 3 채널들이 동심적임)) 2개의 인접한 채널들, 또는 3개의 인접한 채널들 (여기서, 제 1, 제 2 및 제 3 채널들이 동심적임) 이 존재한다.
가스 링 (700) 은 바람직하게 원형이지만, 세라믹 샤워헤드가 상이한 형상을 가지면 다른 구성들이 가능하다. 가스 링 (700) 을 샤워헤드에 부착시키기 위해, 연장 제한기 (710) 는 느슨해지며, 가스 링은 상부 플레이트 (280) 주변에 위치된다. 숄더 스크류들 (608) 이 가스 유입구들 (410) 과 유체 연통하게 씨일링된 가스 통로들 (616) 및 홀들 (422) 과 인게이지된 이후, 연장 제한기 (710) 는, 가스 링 (700) 의 말단들 (712) 이 동심적으로 정렬되도록 조여진다.
도 8a는, 가스 접속 블록들 (600) 을 통해 샤워헤드 (260) 의 상부 플레이트 (280) 에 부착된 가스 링 (700) 의 사시도이다. 도 8b는, 가스 접속 블록들 (600) 내의 공극들 (606) 에서 슬라이딩하는 숄더 스크류들 (608) 이 상부 플레이트 (280) 내의 탑재 홀들 (420) 로 연장하는 조임기들 (504) 내의 수평 개구들에 어떻게 피트하는지를 도시한다. 도 8c에 도시된 바와 같이, 숄더 스크류들 (608) 은 세라믹 상부 플레이트 (280) 내의 수평 홀들 (422) 에 대한 마모를 최소화시키기 위해 플라스틱 브러싱들 (609) 을 포함한다. 숄더 스크류들 (608) 이 상부 플레이트 (280) 의 외주변 상의 평평한 탑재 표면 (424) 내의 홀들 (422) 로 삽입된 경우, 숄더 스크류들 (608) 의 말단들은 적소에 블록 (600) 을 홀딩하기 위해 조임기들 (504) 내의 개구들에 진입한다. 홀들 (610) 에 탑재된 스크류들 (614) 은 가스 접속 블록 (600) 을 가스 링 (700) 으로 조인다. 도 8d에 도시된 바와 같이, 각각의 가스 접속 블록 (600) 은, 상부 플레이트 (280) 내의 방사상 연장 가스 통로들 (410) 중 하나의 유입구로 가스 링 (700) 의 유출구 (702) 를 접속시키는 L-형상의 통로 (616) 를 포함한다. O-링 홈 (612) 내의 O-링은, 상부 플레이트 (280) 상의 평평한 탑재 표면 (424) 과 가스 접속 블록 (600) 사이에 씨일을 제공하기 위해 L-형상의 통로 (616) 의 유출구 (604) 를 둘러싼다. 유사하게, O-링 홈 (617) 내의 O-링은, 가스 링 (700) 상의 탑재 표면 (734) 과 가스 접속 블록 (600) 사이에 씨일을 제공한다.
상부 플레이트 (280) 로의 가스 링 (700) 의 어셈블리는, 스크류들 (614) 을 사용하여 가스 링 (700) 에 부착되도록 가스 접속 블록들 (600) 에게 요구하고, 가스 링 (700) 은 상부 플레이트 (280) 위에서 개방 및 슬라이딩하게 확산 (spread) 되고, 조임기들 (504) 은 개구들 (422) 과 정렬된 조임기들 (504) 내의 개구들을 갖는 수직 홀들 (420) 으로 완전히 삽입되고, 가스 링은 상부 플레이트 (280) 주변에서 폐쇄되고 플레이트 (710) 는 링이 개방하는 것을 방지하도록 단단하게 조이며, 스크류들 (608) 은 홀들 (422) 로 및 조임기들 (504) 내의 개구들을 통해 삽입된다. 조임기들 (504) 은 바람직하게는 플라스틱으로 제작되고, 샤워헤드 주변의 적소에서 블록들 (600) 을 홀딩한다.
가스 링 (700) 을 이용하면, 프로세스 가스는 단일 유입구를 통해 공급될 수 있고 동일한 길이의 흐름 경로들을 통해 유출구들 (702) 에 전달되며, 그에 의해, 유출구들 (702) 의 각각으로부터 꺼내진 가스의 압력 또는 플로우 레이트는 동일하고, 가스는 각각의 유출구로부터 균일하게 꺼내진다. 따라서, 유출구들 각각으로부터의 흐름 전달 저항 (컨덕턴스) 가 일정하게 될 수 있다. 상술된 바와 같이, 유출구들 및 채널들의 수는 필요에 따라 구성될 수 있으며, 상술된 8개의 유출구들 또는 특정한 채널 배열로 제한될 필요는 없다.
이러한 명세서에서, "약 (about)" 이라는 단어는 종종, 수치값의 수학적인 정밀도가 의도되지 않는다는 것을 표시하기 위한 그러한 수치값과 관련하여 사용된다. 따라서, "약" 이 수치값과 함께 사용된 경우, 10%의 허용오차가 그 수치값에 대해 고려됨이 의도된다.
프로세스 가스를 신속히 스위칭하도록 동작가능한 플라즈마 프로세싱 장치가 본 발명의 특정한 실시형태들을 참조하여 상세히 설명되었지만, 첨부된 청구항들의 범위를 벗어나지 않으면서 다양한 변화들 및 변경들이 행해질 수 있고 등가물들이 이용될 수 있음은 당업자들에게 명백할 것이다.

Claims (17)

  1. 유도성 커플링된 플라즈마 프로세싱 장치에 대한 세라믹 샤워헤드에 프로세스 가스를 공급하는데 유용한 가스 전달 시스템으로서,
    기판 지지부 상에 지지된 반도체 기판들은 플라즈마 에칭을 겪고 (subjected to), 상기 세라믹 샤워헤드는 상기 세라믹 샤워헤드의 외주변으로부터 내측으로 연장하는 방사상 연장 가스 유입구들을 포함하며,
    상기 가스 전달 시스템은,
    가스 접속 블록들 각각의 가스 유출구가 상기 세라믹 샤워헤드 내의 상기 가스 유입구들 중 각각의 가스 유입구와 유체 연통하도록 상기 세라믹 샤워헤드에 부착하도록 구성된 상기 가스 접속 블록들; 및
    내부에 균일한 단면의 동일한 길이의 채널들, 및 상기 채널들의 다운스트림 말단들과 유체 연통하는 가스 유출구들을 갖는 가스 링으로서, 상기 가스 유출구들 각각은 상기 가스 접속 블록들 중 각각의 가스 접속 블록에 인게이지하는 탑재 표면 상에 위치되고, 상기 가스 유출구들 각각은 상기 가스 접속 블록들 중 각각의 가스 접속 블록 내의 가스 유입구와 유체 연통하는, 상기 가스 링을 포함하고,
    상기 가스 링은 8개의 가스 유출구들을 포함하며, 상기 채널들은 상기 가스 링의 절반의 길이로 연장하는 제 1 채널, 2개의 제 2 채널들의 중간포인트들에서 상기 제 1 채널의 다운스트림 말단들에 접속된 상기 2개의 제 2 채널들, 및 4개의 제 3 채널들의 중간포인트들에서 상기 제 2 채널들의 다운스트림 말단들에 접속된 상기 4개의 제 3 채널들을 포함하는, 가스 전달 시스템.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 가스 링은 내부에 채널들을 갖는 바닥 링, 및 상기 채널들을 둘러싸는 커버 플레이트를 포함하는, 가스 전달 시스템.
  4. 제 1 항에 있어서,
    상기 가스 링은 상기 제 1 채널의 중간포인트에 접속된 단일 가스 유입구를 포함하는, 가스 전달 시스템.
  5. 제 4 항에 있어서,
    상기 가스 유출구들은 상기 가스 링의 중앙으로부터 10 내지 11인치의 반경 상에 위치되고,
    상기 가스 접속 블록들 각각은 상기 가스 접속 블록들을 상기 가스 링에 부착하는 조임기 (fastener) 들을 수용하도록 구성된 2개의 탑재 홀들을 포함하며,
    상기 탑재 홀들은 1인치 떨어져 위치되는, 가스 전달 시스템.
  6. 제 3 항에 있어서,
    상기 커버 플레이트 및 상기 바닥 링은 스테인리스 스틸 또는 폴리머 재료로 제작되는, 가스 전달 시스템.
  7. 유도성 커플링된 플라즈마 프로세싱 장치에 대한 세라믹 샤워헤드에 프로세스 가스를 공급하는데 유용한 가스 전달 시스템으로서,
    기판 지지부 상에 지지된 반도체 기판들은 플라즈마 에칭을 겪고, 상기 세라믹 샤워헤드는 상기 세라믹 샤워헤드의 외주변으로부터 내측으로 연장하는 방사상 연장 가스 유입구들을 포함하며,
    상기 가스 전달 시스템은,
    가스 접속 블록들 각각의 가스 유출구가 상기 세라믹 샤워헤드 내의 상기 가스 유입구들 중 각각의 가스 유입구와 유체 연통하도록 상기 세라믹 샤워헤드에 부착하도록 구성된 상기 가스 접속 블록들; 및
    내부에 균일한 단면의 동일한 길이의 채널들, 및 상기 채널들의 다운스트림 말단들과 유체 연통하는 가스 유출구들을 갖는 가스 링으로서, 상기 가스 유출구들 각각은 상기 가스 접속 블록들 중 각각의 가스 접속 블록에 인게이지하는 탑재 표면 상에 위치되고, 상기 가스 유출구들 각각은 상기 가스 접속 블록들 중 각각의 가스 접속 블록 내의 가스 유입구와 유체 연통하는, 상기 가스 링을 포함하고,
    상기 가스 링은 상기 가스 링의 외주변에 가스 유입구를 포함하고,
    상기 가스 링은 상기 가스 유입구로부터 180°의 위치에 2개의 자유 (free) 말단들을 포함하는, 가스 전달 시스템.
  8. 제 7 항에 있어서,
    상기 자유 말단들은, 상기 샤워헤드 상의 상기 가스 링의 탑재 동안 상기 링의 자유 말단들의 확산 (spreading) 을 제한하는 연장 제한기에 의해 접속되는, 가스 전달 시스템.
  9. 유도성 커플링된 플라즈마 프로세싱 장치에 대한 세라믹 샤워헤드에 프로세스 가스를 공급하는데 유용한 가스 전달 시스템으로서,
    기판 지지부 상에 지지된 반도체 기판들은 플라즈마 에칭을 겪고, 상기 세라믹 샤워헤드는 상기 세라믹 샤워헤드의 외주변으로부터 내측으로 연장하는 방사상 연장 가스 유입구들을 포함하며,
    상기 가스 전달 시스템은,
    가스 접속 블록들 각각의 가스 유출구가 상기 세라믹 샤워헤드 내의 상기 가스 유입구들 중 각각의 가스 유입구와 유체 연통하도록 상기 세라믹 샤워헤드에 부착하도록 구성된 상기 가스 접속 블록들; 및
    내부에 균일한 단면의 동일한 길이의 채널들, 및 상기 채널들의 다운스트림 말단들과 유체 연통하는 가스 유출구들을 갖는 가스 링으로서, 상기 가스 유출구들 각각은 상기 가스 접속 블록들 중 각각의 가스 접속 블록에 인게이지하는 탑재 표면 상에 위치되고, 상기 가스 유출구들 각각은 상기 가스 접속 블록들 중 각각의 가스 접속 블록 내의 가스 유입구와 유체 연통하는, 상기 가스 링을 포함하고,
    상기 가스 링은 단면이 직사각형이고, 상기 채널들은 단면이 직사각형이고, 커버 플레이트는 0.03인치의 두께를 갖고 바닥 링의 상부 표면 내의 리세스에 위치되고, 상기 커버 플레이트는 제 3 섹션들의 자유 말단들에서 라운딩된 (rounded) 말단들을 포함하고, 상기 라운딩된 말단들은 0.32인치의 직경 및 상기 라운딩된 말단들의 중앙들에 스루 홀들을 가지며, 상기 스루 홀들은 0.19인치의 직경을 갖는, 가스 전달 시스템.
  10. 유도성 커플링된 플라즈마 프로세싱 장치에 대한 세라믹 샤워헤드에 프로세스 가스를 공급하는데 유용한 가스 전달 시스템으로서,
    기판 지지부 상에 지지된 반도체 기판들은 플라즈마 에칭을 겪고, 상기 세라믹 샤워헤드는 상기 세라믹 샤워헤드의 외주변으로부터 내측으로 연장하는 방사상 연장 가스 유입구들을 포함하며,
    상기 가스 전달 시스템은,
    가스 접속 블록들 각각의 가스 유출구가 상기 세라믹 샤워헤드 내의 상기 가스 유입구들 중 각각의 가스 유입구와 유체 연통하도록 상기 세라믹 샤워헤드에 부착하도록 구성된 상기 가스 접속 블록들; 및
    내부에 균일한 단면의 동일한 길이의 채널들, 및 상기 채널들의 다운스트림 말단들과 유체 연통하는 가스 유출구들을 갖는 가스 링으로서, 상기 가스 유출구들 각각은 상기 가스 접속 블록들 중 각각의 가스 접속 블록에 인게이지하는 탑재 표면 상에 위치되고, 상기 가스 유출구들 각각은 상기 가스 접속 블록들 중 각각의 가스 접속 블록 내의 가스 유입구와 유체 연통하는, 상기 가스 링을 포함하고,
    상기 가스 접속 블록의 가스 유출구는 상기 샤워헤드의 외주변에 인게이지하는 수직 탑재 표면에 위치되고,
    제 1 및 제 2 공극 (bore) 들은 상기 가스 유출구의 반대쪽 측면들 상의 수직 탑재 표면을 통해 연장하고,
    제 1 및 제 2 숄더 (shoulder) 스크류들은 상기 공극들에 이동가능하게 탑재되며,
    상기 숄더 스크류들은 상기 샤워헤드 내의 탑재 홀들에 탑재된 조임기들에 인게이지하는 말단들을 갖는, 가스 전달 시스템.
  11. 제 10 항에 있어서,
    상기 가스 접속 블록의 가스 유입구는 상기 가스 링의 상부 표면에 인게이지하는 수평 탑재 표면에 위치되며,
    제 1 및 제 2 탑재 홀들은 상기 수평 탑재 표면을 통해 연장하고,
    상기 탑재 홀들 내의 스크류들은 상기 가스 접속 블록을 상기 가스 링에 부착시키는, 가스 전달 시스템.
  12. 제 11 항에 있어서,
    상기 수평 탑재 표면은, 상기 가스 접속 블록 내의 가스 유입구 주변에 씨일을 제공하는 O-링 및 O-링 홈을 내부에 포함하는, 가스 전달 시스템.
  13. 제 12 항에 있어서,
    상기 수직 탑재 표면은, 상기 가스 접속 블록 내의 가스 유출구 주변에 씨일을 제공하는 O-링 및 O-링 홈을 내부에 포함하는, 가스 전달 시스템.
  14. 제 9 항에 있어서,
    상기 바닥 링 내의 채널들은 0.1인치의 폭 및 0.32인치의 높이를 가지며,
    상기 바닥 링의 상부 표면은 상기 커버 플레이트를 포함하는 리세스를 포함하고,
    상기 리세스는 상기 채널들을 따라 0.12인치의 폭을 갖는, 가스 전달 시스템.
  15. 제 1 항에 기재된 가스 전달 시스템을 샤워헤드 상에 탑재하는 방법으로서,
    상기 가스 접속 블록들의 가스 유출구들이 상기 샤워헤드의 외주변 내의 가스 유입구들과 정렬되도록 상기 샤워헤드 주변에 상기 가스 링을 위치시키는 단계, 및 상기 가스 링을 상기 샤워헤드에 조이는 단계를 포함하는, 가스 전달 시스템을 샤워헤드 상에 탑재하는 방법.
  16. 제 15 항에 있어서,
    상기 위치시키는 단계는, 상기 가스 링의 자유 말단들을 연장시키는 단계 및 상기 샤워헤드 위로 상기 가스 링을 수직으로 슬라이딩시키는 단계를 포함하고,
    상기 조이는 단계는, 상기 자유 말단들을 수축시키는 (contract) 단계, 및 상기 가스 링이 상기 샤워헤드 주변의 적소에 홀딩되도록 상기 자유 말단들 사이에 부착된 연장 제한기를 단단하게 조이는 단계를 포함하는, 가스 전달 시스템을 샤워헤드 상에 탑재하는 방법.
  17. 제 16 항에 있어서,
    상기 조이는 단계는, 내부에 수평 홀들을 갖는 수직 조임기들을 상기 샤워헤드의 상부 표면 내의 탑재 홀들에 배치시키는 단계, 및 수평 숄더 스크류들이 상기 수직 조임기들 내의 수평 홀들에 진입하도록, 상기 샤워헤드의 외주변 내의 수평 탑재 홀들로 상기 가스 접속 블록들의 공극들에 탑재된 상기 수평 숄더 스크류들을 슬라이딩시키는 단계를 더 포함하는, 가스 전달 시스템을 샤워헤드 상에 탑재하는 방법.
KR1020137035182A 2011-05-31 2012-05-16 플라즈마 에칭 반응기의 세라믹 샤워헤드에 대한 가스 분배 시스템 KR101947846B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/118,933 2011-05-31
US13/118,933 US9245717B2 (en) 2011-05-31 2011-05-31 Gas distribution system for ceramic showerhead of plasma etch reactor
PCT/US2012/038085 WO2012166362A1 (en) 2011-05-31 2012-05-16 Gas distribution system for ceramic showerhead of plasma etch reactor

Publications (2)

Publication Number Publication Date
KR20140036283A KR20140036283A (ko) 2014-03-25
KR101947846B1 true KR101947846B1 (ko) 2019-02-13

Family

ID=47259745

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137035182A KR101947846B1 (ko) 2011-05-31 2012-05-16 플라즈마 에칭 반응기의 세라믹 샤워헤드에 대한 가스 분배 시스템

Country Status (4)

Country Link
US (2) US9245717B2 (ko)
JP (1) JP6088493B2 (ko)
KR (1) KR101947846B1 (ko)
WO (1) WO2012166362A1 (ko)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
WO2014163742A1 (en) * 2013-03-12 2014-10-09 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US20140335679A1 (en) * 2013-05-09 2014-11-13 Applied Materials, Inc. Methods for etching a substrate
JP2015032597A (ja) * 2013-07-31 2015-02-16 日本ゼオン株式会社 プラズマエッチング方法
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US10032601B2 (en) * 2014-02-21 2018-07-24 Varian Semiconductor Equipment Associates, Inc. Platen support structure
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
KR102537309B1 (ko) * 2015-10-08 2023-05-25 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
USD819580S1 (en) * 2016-04-01 2018-06-05 Veeco Instruments, Inc. Self-centering wafer carrier for chemical vapor deposition
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US9997336B2 (en) 2016-04-26 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone gas distribution plate (GDP) and a method for designing the multi-zone GDP
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
WO2018132788A1 (en) * 2017-01-16 2018-07-19 Larry Baxter Method and apparatus for desublimation prevention in a direct contact heat exchanger
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
WO2019199822A2 (en) * 2018-04-10 2019-10-17 Applied Materials, Inc. Resolving spontaneous arcing during thick film deposition of high temperature amorphous carbon deposition
US10840066B2 (en) 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
CN110858530B (zh) * 2018-08-24 2023-04-14 北京北方华创微电子装备有限公司 匹配网络、阻抗匹配器以及阻抗匹配方法
US20210335581A1 (en) * 2020-04-22 2021-10-28 Applied Materials, Inc. Preclean chamber upper shield with showerhead
USD973609S1 (en) 2020-04-22 2022-12-27 Applied Materials, Inc. Upper shield with showerhead for a process chamber
CN113707524B (zh) * 2020-05-20 2022-06-10 江苏鲁汶仪器有限公司 一种阻挡等离子体反流的进气结构
CN116688782B (zh) * 2023-08-04 2023-10-03 河北农业大学 一种农业用水肥农药混合装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003059900A (ja) * 2001-08-15 2003-02-28 Sony Corp プラズマ処理装置及びプラズマ処理方法

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2603382A (en) * 1952-07-15 Clamping ring for metal drum
DE2303474C3 (de) * 1973-01-25 1980-08-21 Wabco Fahrzeugbremsen Gmbh, 3000 Hannover Druckmittel-Verteilerblock
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5895530A (en) 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
AU3145197A (en) 1996-06-28 1998-01-21 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5836355A (en) * 1996-12-03 1998-11-17 Insync Systems, Inc. Building blocks for integrated gas panel
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5968276A (en) 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US5971190A (en) * 1998-01-28 1999-10-26 Mannino; Anthony Split ring closure for cylindrical drum
ES2224626T3 (es) * 1998-03-23 2005-03-01 Amalgamated Research, Inc. Estructura fractal para la regulacion de escala y la distribucion de fluidos.
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP2000277509A (ja) * 1999-03-29 2000-10-06 Kokusai Electric Co Ltd 基板処理装置
US7515264B2 (en) 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3485505B2 (ja) * 1999-09-17 2004-01-13 松下電器産業株式会社 処理装置
EP1251926B1 (en) * 2000-01-27 2012-01-25 Amalgamated Research, Inc. Shallow bed fluid treatment apparatus
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
JP2002064104A (ja) * 2000-08-16 2002-02-28 Tokyo Electron Ltd ガス処理装置
WO2002033729A2 (en) 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6417626B1 (en) 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
WO2002092207A1 (en) * 2001-05-17 2002-11-21 Amalgamated Research, Inc. Fractal device for mixing and reactor applications
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4260450B2 (ja) 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7070833B2 (en) 2003-03-05 2006-07-04 Restek Corporation Method for chemical vapor deposition of silicon on to substrates for use in corrosive and vacuum environments
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
KR100739890B1 (ko) 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US7771562B2 (en) 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
KR100550342B1 (ko) 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050223986A1 (en) 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7048008B2 (en) * 2004-04-13 2006-05-23 Ultra Clean Holdings, Inc. Gas-panel assembly
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
JP4845385B2 (ja) * 2004-08-13 2011-12-28 東京エレクトロン株式会社 成膜装置
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
JP2007123766A (ja) 2005-10-31 2007-05-17 Tokyo Electron Ltd エッチング方法、プラズマ処理装置及び記憶媒体
US20090218045A1 (en) 2005-11-02 2009-09-03 Mitsuru Hiroshima Plasma processing apparatus
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US20070227659A1 (en) 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5463536B2 (ja) * 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
WO2008089168A2 (en) 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
JP5188496B2 (ja) 2007-03-22 2013-04-24 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
TW200849344A (en) * 2007-03-23 2008-12-16 Matsushita Electric Ind Co Ltd Apparatus and method for plasma doping
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
CN101903996B (zh) 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
KR101625516B1 (ko) 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US20090275206A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
JP2009302324A (ja) * 2008-06-13 2009-12-24 Tokyo Electron Ltd ガスリング、半導体基板処理装置および半導体基板処理方法
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8291935B1 (en) * 2009-04-07 2012-10-23 Novellus Systems, Inc. Flexible gas mixing manifold
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101071937B1 (ko) * 2009-08-10 2011-10-11 이승룡 질소가스 분사장치
CN202855717U (zh) 2009-09-10 2013-04-03 朗姆研究公司 等离子体反应室的可替换上室部件
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110206833A1 (en) 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
JP6157061B2 (ja) 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6007143B2 (ja) 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US20150348755A1 (en) 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003059900A (ja) * 2001-08-15 2003-02-28 Sony Corp プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
JP6088493B2 (ja) 2017-03-01
US10366865B2 (en) 2019-07-30
US20160217977A1 (en) 2016-07-28
US20120305190A1 (en) 2012-12-06
JP2014515561A (ja) 2014-06-30
US9245717B2 (en) 2016-01-26
WO2012166362A1 (en) 2012-12-06
KR20140036283A (ko) 2014-03-25

Similar Documents

Publication Publication Date Title
KR101947846B1 (ko) 플라즈마 에칭 반응기의 세라믹 샤워헤드에 대한 가스 분배 시스템
KR101985031B1 (ko) 유도성 커플링된 플라즈마 에칭 반응기에 대한 가스 분배 샤워헤드
JP7292256B2 (ja) モノリシックセラミックガス分配プレート
US10354843B2 (en) Chemical control features in wafer process equipment
US8133349B1 (en) Rapid and uniform gas switching for a plasma etch process
US9679751B2 (en) Chamber filler kit for plasma etch chamber useful for fast gas switching
JP2014523635A5 (ko)
WO2018128707A1 (en) Substrate support with improved process uniformity
WO2018156556A1 (en) Helium plug design to reduce arcing
TWI827654B (zh) 用於基板處理系統之侷限環與在基板處理系統中使用侷限環的方法
US20230352278A1 (en) Plasma-exclusion-zone rings for processing notched wafers
US20230087913A1 (en) Coolant channel with internal fins for substrate processing pedestals

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant