JP5891300B2 - 誘導結合プラズマエッチングリアクタのためのガス分配シャワーヘッド - Google Patents

誘導結合プラズマエッチングリアクタのためのガス分配シャワーヘッド Download PDF

Info

Publication number
JP5891300B2
JP5891300B2 JP2014513542A JP2014513542A JP5891300B2 JP 5891300 B2 JP5891300 B2 JP 5891300B2 JP 2014513542 A JP2014513542 A JP 2014513542A JP 2014513542 A JP2014513542 A JP 2014513542A JP 5891300 B2 JP5891300 B2 JP 5891300B2
Authority
JP
Japan
Prior art keywords
gas
inches
etching
chamber
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014513542A
Other languages
English (en)
Other versions
JP2014523635A5 (ja
JP2014523635A (ja
Inventor
カン・マイケル
パターソン・アレックス
ケンワーシー・イアン・ジェイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2014523635A publication Critical patent/JP2014523635A/ja
Publication of JP2014523635A5 publication Critical patent/JP2014523635A5/ja
Application granted granted Critical
Publication of JP5891300B2 publication Critical patent/JP5891300B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • B05B1/185Roses; Shower heads characterised by their outlet element; Mounting arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Description

ボッシュプロセスは、半導体産業において、トレンチおよびビアなど、深い垂直の(高アスペクト比の)フィーチャ(数十ないし数百マイクロメートルの深さを有する)を加工するために広く利用されてきたプラズマエッチング処理である。ボッシュプロセスは、交互のエッチング工程および蒸着工程のサイクルを含む。ボッシュプロセスの詳細については、米国特許第5,501,893号に記載されており、この特許は参照により本明細書に組み込まれる。ボッシュプロセスは、高密度プラズマ源(誘導結合プラズマ(ICP)など)と高周波(RF)バイアス基板電極とを備えるよう構成されたプラズマ処理装置内で実行されうる。シリコンをエッチングするためにボッシュプロセスで用いられるプロセスガスは、エッチング工程での六フッ化硫黄(SF6)、および、蒸着工程でのオクトフルオロシクロブタン(C48)であってよい。エッチング工程で用いられるプロセスガスおよび蒸着工程で用いられるプロセスガスを、以下では、それぞれ、「エッチングガス」および「蒸着ガス」と呼ぶこととする。エッチング工程中、SF6は、シリコン(Si)の自発的な等方性エッチングを促し、蒸着工程中、C48は、エッチングされる構造の側壁および底部への保護ポリマ層の蒸着を促す。ボッシュプロセスは、エッチング工程と蒸着工程とを交互に繰り返すことにより、マスクされたシリコン基板内に深い構造を規定することを可能にする。エネルギが高く方向性のあるイオン衝撃(エッチング工程で起きる)により、前の蒸着工程でエッチング対象構造の底部にコートされたすべてのポリマ薄膜が除去され、さらなるエッチングに向けてシリコン表面が露出される。側壁のポリマ薄膜は、直接的なイオン衝撃にさらされないので残留し、それにより、側方のエッチングを防止する。
ボッシュプロセスの1つの制約は、エッチングされた深いフィーチャの側壁が粗くなることである。この制約は、ボッシュプロセスで用いられる周期的なエッチング/蒸着スキームによるものであり、側壁の「スカロッピング」として当業者に周知である。多くのデバイス応用にとっては、この側壁粗さすなわちスカロッピングを最小化することが望ましい。スカロッピングの程度は、通例、スカロップの長さおよび深さとして測定される。スカロップの長さは、側壁粗さの頂点から頂点までの距離であり、1つのエッチングサイクル中に達成されたエッチングの深さと正の相関を持つ。スカロップの深さは、側壁粗さの頂点から底部までの距離であり、個々のエッチング工程の異方性の程度に相関する。スカロップ形成の程度は、各エッチング/蒸着工程の期間を短くする(すなわち、より短いエッチング/蒸着工程をより高頻度で繰り返す)ことによって最小化できる。
より滑らかなフィーチャの側壁に加えて、より高い全体のエッチング速度を実現することが望ましい。全体のエッチング速度は、処理中にエッチングされた深さ全体を総処理時間で割ることによって算出される。全体のエッチング速度は、処理工程内の効率を上げる(すなわち、無駄時間を削減する)ことによって増大できる。
図1は、基板120を処理するための従来のプラズマ処理装置100を示しており、プラズマ処理装置100は、基板支持体130と、基板支持体130を囲む処理チャンバ140とを備える。基板120は、例えば、4,6,8,12インチなどの直径を有する半導体ウエハであってよい。基板支持体130は、例えば、高周波(RF)を供給される電極を備えてよい。基板支持体130は、チャンバ140の下端壁から支持されてもよいし、片持ち支持されてもよい(例えば、チャンバ140の側壁から伸びてもよい)。基板120は、機械的または静電的に電極130に固定されてよい。処理チャンバ140は、例えば、真空チャンバであってよい。
基板120は、処理チャンバ140内のプロセスガスを高密度プラズマに励起することによって処理チャンバ140内で処理される。エネルギ源が、チャンバ140内の高密度(例えば、1011〜1012イオン/cm3)プラズマを維持する。例えば、アンテナ150(図1に示した平面多重巻きらせんコイル、非平面多重巻きコイル、または、別の形状のアンテナなど)が、適切なRF電源および適切なインピーダンス整合回路によって電力供給されて、高密度プラズマを生成するためにチャンバにRFエネルギを誘導結合する。アンテナ150に印加されるRF電力は、チャンバ140内で用いられる異なるプロセスガス(例えば、SF6を含むエッチングガスおよびC48を含む蒸着ガス)に応じて変更されうる。チャンバ140は、チャンバ140の内部を所望の圧力(例えば、5Torr未満、好ましくは1〜100mTorr)に維持するのに適切な真空ポンプ装置を備えてよい。図1に示した一様な厚さの平面誘電体窓155または非平面誘電体窓(図示せず)など、誘電体窓が、アンテナ150と処理チャンバ140の内部との間に提供されており、処理チャンバ140の上部に真空壁を形成する。誘電体窓155の下方の主ガスリング170または中央インジェクタ180を通してプロセスガスをチャンバ140内に供給するために、ガス供給システム110が利用されてよい。図1のプラズマ処理装置100の詳細は、本願の権利者が所有する米国特許出願公開第2001/0010257号、第2003/0070620号、米国特許第6,013,155号、または、米国特許第6,270,862号に開示されており、それぞれ、参照によって本明細書に全体が組み込まれる。
高速ガス切り替え用に設計されたガス供給システムは、本願の権利者が所有する米国特許第7,459,100号および第7,708,859号ならびに米国特許公開第2007/0158025号および2007/0066038号に開示されており、これらの開示は、参照によって本明細書に組み込まれる。
基板120は、シリコンウエハおよび/またはポリシリコンなど、シリコン材料を含むことが好ましい。ホール、ビア、および/または、トレンチなど、様々なフィーチャが、シリコン材料にエッチングされる。所望のフィーチャをエッチングするための開口部パターンを有するパターニングされたマスキング層(例えば、フォトレジスト、酸化シリコン、および/または、窒化シリコン)が、基板120上に配置される。
図1の装置100に関する1つの問題は、主ガスリング170が、基板120の中央よりも周囲の近くに位置することから、基板120の上で1つのプロセスガスから別のプロセスガスに完全に置き換わるのに必要な時間のせいでエッチング速度が増大し、処理中に基板にわたってガス圧力が不均一になるために処理が不均一になりうることである。
本明細書では、半導体基板を内部で処理する処理チャンバと、処理中に半導体基板を支持する基板支持体と、処理チャンバ内でプラズマを生成および維持するよう動作可能なアンテナと、を備えた誘導結合プラズマ処理装置のためのセラミックシャワーヘッドが開示されている。セラミックシャワーヘッドは、チャンバの誘電体窓を形成しており、ガス供給システムが、シャワーヘッド内のプレナムにエッチングガスおよび蒸着ガスを交互に供給して、プレナム内のエッチングガスを200ミリ秒以内に蒸着ガスで置き換えるか、または、プレナム内の蒸着ガスを200ミリ秒以内にエッチングガスで置き換えるよう動作可能である。プラズマ処理装置は、少なくとも10μm/分の速度で半導体基板上のシリコンに開口部をエッチングするよう動作可能である。
セラミックシャワーヘッドは:平坦な下面と、中央部分が厚く外側部分が薄い段付きの上面と、外側部分の環状領域に配置され上面および下面の間に伸びる軸方向ガス孔と、下面の外周において外側部分に配置された真空シール面と、を有するセラミック材料の下側プレートと;平坦な上面および下面と、外周から内向きに伸びる複数の半径方向ガス流路と、下面から半径方向ガス流路まで伸びる複数の軸方向ガス流路と、を有するセラミック材料の環状の上側プレートと、を備え;環状の上側プレートは、下側プレートの中央部分を囲み、下側プレートの外側部分の上面を覆うように構成されており、上側プレートの軸方向ガス流路は、下側プレートの軸方向ガス孔と流体連通する。
好ましい実施形態によれば、下側プレートは、2列の軸方向ガス孔を備え、各列は、20ないし50の軸方向ガス孔を有する。下側プレートは、好ましくは、約20インチの直径、中央部分における約1.5インチの厚さ、および、外側部分における約0.8インチの厚さを有し、2列のガス孔は、0.04インチの直径を有すると共に下側プレートの中心から約5インチに配置された32個のガス流路を含む内側の列と、約0.04インチの直径を有すると共に下側プレートの中心から約6.5インチに配置された32個のガス流路を含む外側の列とを含み、シール面は、下面の段に配置され、段は、約0.4インチの深さおよび約1.2インチの幅を有する。上側プレートは、約0.125インチの直径を有すると共に45°ごとに配置された8つの半径方向ガス流路と、約0.125インチの直径を有すると共に上側プレートの中心から約5.75インチに配置された8つの軸方向ガス流路と、約1.7インチの幅および約0.015ないし0.02インチの深さを有する環状プレナムと、環状プレナムを囲む内側および外側O−リング溝とを備える。上側プレートは、さらに、約0.4インチの直径を有すると共に上側プレートの上面に配置された8対の軸方向取り付け穴と、約0.35インチの直径を有すると共に上側プレートの外周の平坦な取り付け面に配置された8対の半径方向取り付け穴と、を備えており、各対の取り付け穴の中心は、約1インチ離間されている。上側および下側プレートは、高純度アルミナで製造されることが好ましく、下側プレートの下面は、シール面を除いて下面全体を覆う高純度イットリアのコーティングを備える。上側および下側プレートに利用可能な他の材料は、窒化アルミニウム、および、半導体適合材料に適したその他のセラミックを含む。
セラミックシャワーヘッドは、処理中に半導体を支持する基板支持体と、誘電体窓を通してチャンバ内にRFエネルギを誘導結合して、基板支持体と誘電体窓との間のチャンバギャップ内でプロセスガスをプラズマに励起するよう動作可能なアンテナと、エッチングガスおよび蒸着ガスを含むプロセスガスを、環状プレナム内のエッチングガスが200ミリ秒以内で蒸着ガスに置き換えられるように、または、環状プレナム内の蒸着ガスが200ミリ秒以内でエッチングガスに置き換えられるように、セラミックシャワーヘッドの半径方向ガス流路に交互に供給するよう動作可能なガス供給システムと、を備える処理チャンバの誘電体窓を形成し、プラズマ処理装置は、少なくとも10μm/分の速度で半導体基板上のシリコン材料に開口部をエッチングするよう動作可能である。好ましくは、エッチングガスはSF6であり、蒸着ガスはC48である。
半導体基板を処理する方法において、方法は:(a)処理チャンバ内の基板支持体上に半導体基板を支持する工程と;(b)蒸着ガスの流れを遮断して、エッチングガスがセラミックシャワーヘッドのガス孔を通してチャンバギャップに流れ込むようにエッチングガスを環状プレナムに供給する工程と;(c)チャンバギャップ内のエッチングガスを第1のプラズマに励起して、第1のプラズマで半導体基板に開口部をプラズマエッチングする工程と;(d)エッチングガスの流れを遮断して、蒸着ガスがセラミックシャワーヘッドのガス孔を通してチャンバギャップに流れ込むように蒸着ガスを環状プレナムに供給する工程と;(e)チャンバギャップ内の蒸着ガスを第2のプラズマに励起して、第2のプラズマで開口部内にポリマを蒸着させる工程と;(f)1.8秒以内の総サイクル時間で工程(b)〜(e)を繰り返す工程と、を備える。半導体基板がシリコンウエハである場合、処理は、エッチングガスが、工程(b)において、約500ミリ秒の期間内に、チャンバギャップ内の蒸着ガスと置き換わり、蒸着ガスが、工程(d)において、約500ミリ秒の期間内に、チャンバギャップ内のエッチングガスと置き換わるように実行されうる。
従来のプラズマ処理装置を示す図。
好ましい実施形態に従ったプラズマ処理装置を示す図。
下側プレート270の上面を示す斜視図。 下側プレート270の下面を示す斜視図。 下側プレート270の底面図。 下側プレート270の断面図。
上側プレート280の上面を示す斜視図。 上側プレート280の下面を示す斜視図。 上側プレート280の側面図。 上側プレート280の断面図。 図4DのEの部分の詳細図。 図4EのFの部分の詳細図。 図4HのラインG−Gに沿ったガス接続位置における断面図。 図4CのHの部分の詳細な端面図。
下側プレート270に取り付けられた上側プレート280の上面斜視図。 図5Aに示したアセンブリの断面図。
セラミックシャワーヘッドにプロセスガスを供給するガス接続ブロックの正面斜視図。 ブロックの背面斜視図。 ブロックの底面図。
ガスリングの上面図。 ガスリングの斜視図。 カバープレートを下部リングから分離した状態のガスリングの詳細図。
ガスリングがセラミックシャワーヘッドを囲む様子を示す図。 ガス接続ブロックの段付きねじがシャワーヘッドの取り付け穴に嵌まった留め具の開口部と係合する様子を示す図。 シャワーヘッドの外周の半径方向取り付け穴に挿入された段付きねじと、シャワーヘッドに完全に挿入された留め具とを示す図。 ガスリングおよびシャワーヘッドに取り付けられたガス接続ブロックの断面斜視図。
本明細書に開示されたプラズマ処理装置は、上述の従来の装置100よりも、高いエッチング速度と高い均一性とを実現できる。
一実施形態によると、図2に示すように、基板220を処理するためのプラズマ処理装置200が、基板支持体230と、基板支持体230を囲む処理チャンバ240とを備える。基板220は、例えば、8インチ、12インチ、または、それよりも大きい直径を有する半導体ウエハであってよい。基板支持体230は、例えば、高周波(RF)を供給される電極を備えてよい。基板支持体230は、チャンバ240の下端壁から支持されてもよいし、片持ち支持されてもよい(例えば、チャンバ240の側壁から伸びてもよい)。基板220は、機械的または静電的に基板支持体230に固定されてよい。
基板220は、処理チャンバ240内のプロセスガスを高密度プラズマに励起することによって処理チャンバ240内で処理される。エネルギ源が、チャンバ240内の高密度(例えば、1011〜1012イオン/cm3)プラズマを生成および維持する。例えば、アンテナ250(図2に示した平面多重巻きらせんコイル、非平面多重巻きコイル、または、別の形状のアンテナなど)が、適切なRF電源および適切なインピーダンス整合回路によって電力供給されて、高密度プラズマを生成するためにチャンバにRFエネルギを誘導結合する。アンテナ250に印加されるRF電力は、好ましくは約1秒未満、より好ましくは約200ミリ秒未満の期間内にエッチングガスまたは蒸着ガスを交互に供給するサイクル中、同じ電力レベルに維持されてもよいし、チャンバ240で用いられる異なるプロセスガス(例えば、SF6を含むエッチングガスおよびC48を含む蒸着ガス)に応じて変更されてもよい。チャンバ240は、チャンバ240の内部を所望の圧力(例えば、5Torr未満、好ましくは1〜500mTorr)に維持するのに適切な真空ポンプ装置によって排気される。圧力は、エッチングサイクルおよび蒸着サイクル中、同じレベルに維持されてもよいし、変更されてもよい。
チャンバは、一様な厚さのセラミックシャワーヘッド260を備えており、シャワーヘッド260は、アンテナ250と処理チャンバ240の内部との間に提供され、処理チャンバ240の上部に真空壁を形成する。シャワーヘッド260のガス流路を通してプロセスガスをチャンバ240内に供給するために、ガス供給システム210が利用されてよい。ガス供給システム210は、40ミリ秒以内、好ましくは30ミリ秒以内に開閉する高速切替バルブ(カリフォルニア州サンタクララのFujikin of America社から入手可能なバルブ型番FSR−SD−71−6.35など)を介してチャンバ内にエッチングガスまたは蒸着ガスを交互に供給する。バルブは、エッチングガスまたは蒸着ガスの一方がシャワーヘッドに供給されている時に他方をバイパスラインに送らない開閉バルブであってよい。高速ガス切替バルブは、開閉前に安定するのに250ミリ秒掛かりうるMFCバルブより高速な切り替えを提供する。
好ましい実施形態において、シャワーヘッドは、アルミナ、窒化シリコン、窒化アルミニウム、ドープ炭化シリコン、石英など、電気絶縁セラミック材料で形成された上側プレート280および下側プレート270(図3A〜Dおよび図4A〜Hを参照して後述する)を備えた2ピースのセラミックシャワーヘッドである。シャワーヘッドのガス孔内でのプラズマの点火を防止するために、ガス孔は0.06インチ以下の直径と、少なくとも2のアスペクト比とを有することが好ましい。例えば、下側プレート270は、少なくとも0.2インチ、好ましくは0.2ないし1インチの厚さを有してよい。下側プレート270の下面と基板220との間の垂直距離(チャンバギャップ)は、プラズマが生成されるシャワーヘッドプレートと基板との間のチャンバギャップを調節するために、基板支持体を垂直方向に移動させることによって変更されうる。
基板220は、シリコンウエハおよび/またはポリシリコンなど、シリコン材料を含むことが好ましい。ホール、ビア、および/または、トレンチなど、様々なフィーチャが、シリコン材料にエッチングされる。所望のフィーチャをエッチングするための開口部パターンを有するパターニングされたマスキング層(例えば、フォトレジスト、酸化シリコン、および/または、窒化シリコン)が、基板220上に配置される。
横からガスを注入する従来のプラズマ処理装置100に比べて、プラズマ処理装置200は、チャンバギャップ内のプロセスガスを、エッチングガスから蒸着ガスに、および、その逆に、より高速かつ均一に切り替えることができる。基板220が300mmの直径を有し、チャンバギャップが4インチよりも大きい一実施形態において、装置200は、基本的に、上側および下側プレートの間のプレナム内のプロセスガスを約200ミリ秒以内で完全に切り替える(例えば、少なくとも90%)と共に、基本的に、チャンバギャップ内のプロセスガスを約700ミリ秒以内で完全に切り替える(例えば、少なくとも90%)ことができる。横からガスを注入した場合に実現されるエッチング速度が約3μm/分であるのに対し、かかる高速ガス切り替えは、プラズマ処理装置200を用いてシリコンに開口する際のエッチング速度を10μm/分以上まで大幅に増大させることが可能であり、エッチングされるフィーチャのクリティカルディメンション(CD)によっては、エッチング速度は20μm/分を超えうる。
図3A〜Dは、下側プレート270の詳細を示す図であり、図3Aは上面の斜視図、図3Bは下面の斜視図、図3Cは底面図、図3Dは断面図である。
図3A〜Dに示すように、下側プレート270は、平坦な下面302と、中央部分306が厚く外側部分308が薄い段付きの上面304とを備えており、2列の軸方向のガス孔310が、外側部分308の環状領域312に配置され、上面304および下面302の間に伸びている。下面302は、その外側部分に段320を備え、プラズマチャンバの温度制御された壁に対して真空シールされる環状の真空シール面314を備える。下側プレート270は、上面304の環状領域312の両側に、環状の内側真空シール面316および環状の外側真空シール面318を備える。下側プレート270の温度を監視するために、中央部分306の上面に、ブラインドホール322が配置されている。
厚い中央部分306は、中央部分306の露出した上面の上方の周囲大気に熱を効率的に放散する。シャワーヘッドの外縁は、シャワーヘッドにわたる温度勾配を相殺するために、高い温度に設定されうる。1または複数の熱ガスケット506が、下側プレート270の外側部分308と上側プレート280との間の熱伝達を促すために用いられてよい。下側プレート270は、熱および真空の負荷のほとんどにさらされて、高い熱応力を受ける。上側プレート280に複雑なガス供給導管を設けることにより、チャンバ内での基板のプラズマ処理中に熱応力によって破損するリスクが小さくなる。さらに、上側および下側プレートは、真空力によって一体化され、O−リングによってシールされているので、定期的にこれら2つの部品を取り外して洗浄するのが容易である。耐食性を提供するために、下側プレートのプラズマ露出面は、イットリアで被覆されてよい。
300mmウエハを処理するよう設計されたチャンバにおいて、下側プレート270は、ウエハよりも広く、真空シール面314は、チャンバ240の上部の対応するシール面と係合する。例えば、下側プレート270は、約20インチの直径、中央部分306における約1.5インチの厚さ、および、外側部分308における約0.8インチの厚さを有してよく、ガス孔310は、約0.04インチの直径を有すると共に下側プレート270の中心から約5インチに配置された32個のガス孔を含む内側の列と、約0.04インチの直径を有すると共に下側プレート270の中心から約6.5インチに配置された32個のガス孔を含む外側の列とを含む2つのガス孔列に配列されており、シール面314は、下面302の段320に配置され、段320は、約0.4インチの深さおよび約1.2インチの幅を有する。
図4A〜Hは、上側プレート280を示しており、図4Aは上面の斜視図、図4Bは下面の斜視図、図4Cは側面図、図4Dは断面図、図4Eは図4DのEの部分の詳細図、図4Fは図4EのFの部分の詳細図、図4Gはガス接続取り付け面における上側プレートの断面図、図4Hは取り付け面の側面図である。
上側プレート280は、平坦な上面402、平坦な下面404、内面406、および、外面408を有するセラミック材料の環状プレートである。複数の半径方向ガス流路410が、外面408から内向きに伸びており、複数の軸方向ガス流路412が、下面404から、半径方向ガス流路410まで伸びている。環状の上側プレート280は、下側プレート270の中央部分306を囲み、下側プレート270の外側部分308の上面304を覆うように構成されており、上側プレート280の軸方向ガス流路412は、下側プレート270の軸方向ガス孔310と流体連通する環状プレナム414と流体連通する。
300mmウエハを処理するために、上側プレート280は、下側プレート270と結合するような寸法を有しており、下側プレート270のガス孔310への供給を行う複数の半径方向ガス流路410を備える。例えば、上側プレート280は、約0.125インチの直径を有すると共に45°ごとに配置された8つの半径方向ガス流路410と、約0.125インチの直径を有すると共に上側プレート280の中心から約5.75インチに配置された8つの軸方向ガス流路412と、約1.7インチの幅および約0.015ないし0.02インチの深さを有する環状プレナム414と、環状プレナム414を囲む内側O−リング溝416および外側O−リング溝418とを備えてよい。処理要件に応じて、下側プレート270は、任意の所望のパターンに配置され任意の所望の形状および寸法を有する64個より多いまたは少ないガス孔など、異なる構成のガス孔310を備えてもよい。
プロセスガスをガス流路410に供給するために、上側プレート280は、ガス接続取り付けブロックを取り付けるための取り付け穴を備える。取り付け穴は、8対の軸方向の取り付け穴420と、8対の半径方向の取り付け穴422とを含む。穴420は、約0.4インチの直径を有し、上側プレート280の上面402の外縁から約0.5インチに配置され、上側プレート280を通って下面404まで伸びる。取り付け穴422は、約0.35インチの直径を有し、上側プレート280の外周408の平坦な取り付け面424に配置され、穴420内に伸びる。各対の取り付け穴420、422の中心は、約1インチ離間されている。上側プレート280および下側プレート270は、高純度アルミナで製造されることが好ましく、下側プレート270の下面は、シール真空面314を除いて下面全体を覆う高純度イットリアのコーティングを備える。
図5A〜Bは、下側プレート270に取り付けられた上側プレート280を示しており、図5Aは上面斜視図、図5Bは図5Aに示したアセンブリの断面図である。上側プレートの取り付け穴420は、8つのガス接続ブロック(図示せず)を上側プレート280の外面408に取り付けることを可能にする留め具504を受け入れる。ガスブロックは、プロセスガスが8つの半径方向ガス流路410に流れ込む8つのガス接続位置502にプロセスガスを供給する。均等に離間された位置で外面408からプロセスガスを供給することにより、チャンバ内で高速ガス切り替えを実現することができる。上側および下側プレートの間の環状プレナム414のガス容量は、エッチングガスから蒸着ガスへの高速な入れ替わりを可能にする500cm3未満であることが好ましい。下側プレート270の薄い中央部分306は熱放散を可能にし、上側および下側プレートの対向する面の間の熱伝導性のガスケット506は、下側プレート270の外側部分308が所望の温度に維持されることを可能にする。下側プレート270は、熱および真空の負荷のほとんどにさらされて、高い熱応力を受ける。したがって、熱破壊を引き起こしうる下側プレートのフィーチャを最小限に抑えることが望ましい。2ピース設計によれば、熱破壊を引き起こしうる複雑な機械加工されたフィーチャは、上側プレート280に位置する。上側および下側プレートは、ボルトで留めずに、真空力のみによって結合され、O−リング溝416、418内に配置された2つのO−リングシールで真空シールされている。この取り付け構成により、上側および下側プレートを洗浄するために容易に分解することができる。
上述のプラズマ処理装置200では、ガス供給システムは、プレナムにエッチングガスおよび蒸着ガスを交互に供給して、上側および下側プレートの間のプレナム内のエッチングガスを200ミリ秒以内に蒸着ガスで置き換えるか、または、プレナム内の蒸着ガスを200ミリ秒以内にエッチングガスで置き換えるよう動作可能である。このプラズマ処理装置を用いると、基板支持体上に支持された半導体基板のシリコンを少なくとも10μm/分の速度でエッチングすることができる。プラズマ処理装置は、基本的に、プレナム内のプロセスガスを200ミリ秒以内に完全に切り替えるよう動作可能であり、処理チャンバのプラズマ閉じ込め領域(チャンバギャップ)内では、エッチングガスから蒸着ガスに、または、その逆に、約500ms以内に完全に切り替えるよう動作可能である。
好ましい実施形態において、エッチングガスはSF6であり、蒸着ガスはC48である。動作時、ガス供給システムは、蒸着ガスをプレナムに供給している間には、エッチングガスを真空ラインに流さず、エッチングガスをプレナムに供給している間には、蒸着ガスを真空ラインに流さない。上述のプラズマ処理装置を用いた基板の処理は、(a)チャンバ内で基板を指示する工程、(b)エッチングガスをプレナムに供給して、下側プレートのガス孔を通してエッチングガスをチャンバギャップに流し込む工程、(c)チャンバ内のエッチングガスを第1のプラズマに励起して、第1のプラズマで基板を処理する工程、(d)蒸着ガスをプレナムに供給して実質的にエッチングガスと置き換え、下側プレートのガス孔を通して蒸着ガスをチャンバギャップに流し込む工程、(e)チャンバ内の蒸着ガスを第2のプラズマ状態に励起して、第2のプラズマで基板を処理する工程、(f)1.8秒以内の総サイクル時間で工程(b)〜(e)を繰り返す工程を備えることが好ましい。
エッチングガスは、工程(b)において、約500ミリ秒の期間内に、チャンバギャップ内の蒸着ガスの少なくとも90%と置き換わることが好ましく、蒸着ガスは、工程(d)において、約500ミリ秒の期間内に、チャンバギャップ内のエッチングガスの少なくとも90%と置き換わることが好ましい。処理中、プレナム内の圧力は、工程(b)〜(e)の間、少なくとも5Torrである。エッチングガスおよび蒸着ガスを供給するサイクル中、エッチングガスを供給する総時間は1.3秒以内であってよく、蒸着ガスを供給する総時間は0.7秒以内であってよい。
チャンバ圧力は、エッチングガスの供給中のチャンバギャップ内の圧力が150mTorrより高く、蒸着ガスの供給中のチャンバギャップ内の圧力が150mTorrより低くなるように調整されうる。好ましい処理では、エッチングガスは、少なくとも500sccmの流量でプレナムに供給され、蒸着ガスは、500sccm未満の流量でプレナムに供給される。基板と下側プレートとの間のチャンバギャップは、4インチより大きいことが好ましい。エッチングガスの供給中、基板は、エッチング工程のポリマ洗浄段階中には200ミリ秒間150mTorrより低く維持されたチャンバギャップ内の圧力で、プラズマエッチング工程の残りの段階では150mTorrより高く維持されたチャンバギャップ内の圧力で、高アスペクト比開口部のプラズマエッチングを受けうる。蒸着ガスの供給中、第2のプラズマは、蒸着工程全体にわたって150mTorrより低く維持されたチャンバギャップ内の圧力で、開口部の側壁にポリマコーティングを蒸着しうる。エッチングガスは、SF6、CF4、XeF2、NF3、Cl含有ガス(CCl4など)の内の1または複数であってよく、蒸着ガスは、C48、C46、CHF3、CH22、CH4、C36、CH3Fの内の1または複数であってよい。
エッチングガスは、上側プレートの外周のガス流入口にエッチングガスを供給する8つのエッチングガスラインに第1のバルブを通して供給されうる。ここで、8つのエッチングガスラインは、等しいコンダクタンスを有する。同様に、蒸着ガスは、ガス流入口に蒸着ガスを供給する8つの蒸着ガスラインに第2のバルブを通して供給され、8つの蒸着ガスラインは、等しいコンダクタンスを有する。高速作動バルブが用いられてよく、高速作動ソレノイドバルブは、コントローラから信号を受信すると、10ミリ秒以内に高速切替バルブに空気を送り、高速切替バルブを開くまたは閉じる総時間は、30ミリ秒以内でありうる。
図6A〜Cは、上側プレート280の半径方向ガス流路410の1つにプロセスガスを供給するための、ステンレス鋼などの耐腐食性金属材料またはポリマ材料で製造されたガス接続ブロック600の一例を示す図である。図6Aは接続ブロック600の正面斜視図、図6Bは背面斜視図、図6Cは底面図である。接続ブロック600は、取り付け面602を備えており、取り付け面602は、取り付け面602のガス流出口604がガス流路410と整列するように平坦な取り付け面424と接する。一対の穴606が、平坦な面424の穴422と整列され、一対の段付きねじ608が、面602から離れる方向に穴606内で摺動可能であり、その結果、段付きねじ608の圧入プラスチックスリーブ609が、穴422に入って、ブロック600を上側プレート280上で位置決めする。穴606の反対側にあるサークリップ611が、穴606からの段付きねじの抜けを防止する。ガス流出口604の周囲の面602にあるO−リング溝612が、O−リングなどのガスケットを受け入れて、ブロック600と、上側プレート280の平坦な取り付け面424との間のシールを提供する。ブロック600をガス供給リングに取り付けるために、一対の取り付け穴610が、フランジ607を通して伸びている。ブロック600は、取り付け面613を備えており、取り付け面613は、その面を貫通するガス流入口615と、流入口615の周囲のO−リング溝617とを備える。長方形の浅い凹部619が、ブロック600とガス供給リングとの間の熱伝達を低減する。
図7A〜Cは、ガス供給リング700の詳細を示す。図7Aは、8つのガス接続ブロック600を取り付けられたリング700を示しており、各ブロック600は、ブロックの内部と、上側プレート280のガス流入口410との間の流体連通を提供する。図7Bは、ブロック600を取り付けていない状態のガスリング700の詳細を示す。ガスリング700は、上側カバープレート704における8つのガス流出口702と、上側カバー704によって囲まれた流路を有する下部リング706と、プロセスガスがリング700に入る際に通るガス流入口708と、ガス流入口708の反対側の下部リングの両端部712を結合する広がり制限部710とを備える。図7Cに示すように、カバープレート704は、相互接続された部分を備えており、8つのガス流出口702を等しい距離だけ離間して位置決めするために、第1の部分714は、リング706の直径の1/2にわたって伸びており、一対の第2の部分716は、それぞれ、第1の部分714の端部に中点が取り付けられ、リング706の直径の1/4にわたって伸びており、4つの第3の部分718は、それぞれ、第2の部分716の1つの端部に中点が取り付けられている。下部リング706は、相互接続された流路を内部に備えており、第1の流路720は、リング706の直径の1/2にわたって伸びており、一対の第2の流路722は、それぞれ、第1の流路720の端部に中点が接続され、リング706の直径の1/4にわたって伸びており、4つの第3の流路724は、それぞれ、第2の流路722の1つの端部に中点が接続されている。カバープレート704は、第1の部分714の中点に取り付けられたL字形部分726を備える。L字形部分は、下部リング706のガス流入口部分730にあるL字形流路728を覆っており、流路728は、ガス流入口708を第1の流路720に接続する。下部リング706は、取り付け面734に取り付け穴732を備えており、穴732は、8つのガス接続ブロック600の対応する1つにおける穴610と整列する。
カバープレート704および下部リング706は、ステンレス鋼などの耐腐食性金属材料またはポリマ材料で製造されることが好ましく、電子ビーム溶接など適切な製造処理によって下部リング706にシールされうる。カバープレートおよび/または下部リングの内面および/または外面は、シリコンコーティングなどの保護材料で被覆されうる。好ましいシリコンコーティングは、ペンシルベニア州ベルフォントのSilcoTek社から入手可能な化学蒸着(CVD)多層シリコンコーティング「SILCOLLOY 1000」である。適切なCVDシリコンコーティングの詳細については、米国特許第7,070,833号に記載されており、その開示は参照により本明細書に組み込まれる。シャワーヘッドおよびガス流入口構成のサイズに応じて寸法は変わりうるが、好ましい実施形態では、下部リング706の流路720/722/724は、約0.1インチの幅および約0.32インチの高さを有してよく、ガス流出口702は、半径約10.4インチの位置に配置されてよい。カバープレート704は、下部リングの流路よりもやや広く、各流路の上にある凹部内にはまり込む。例えば、第1、第2、および、第3の部分714/716/718は、約0.03インチの厚さおよび約0.12インチの幅を有してよい。図7Cに示すように、カバーリング704の第3の部分718の端部736は、内側に曲げられ、丸い端部738を備えてよい。丸い端部738は、約0.32インチの直径を有してよく、ガス流出口702を形成する開口部は、丸い端部738の中心に配置され、約0.19インチの直径を有してよい。
流路720/722/724の間の急な方向の変化を避けるため、第1の流路720の端部と、第2の流路722の中点との間の2つの接続は、約0.13インチの半径を持つように曲げられることが好ましく、第2の流路722の端部と、第3の流路724の中点との間の4つの接続は、約0.13インチの半径を持つように曲げられる。下部リングのいくつかの部分において、単一の流路(第1の流路720の一部および第3の流路724の一部など)、2つの隣接する流路(第1および第3の流路が同心、第1および第2の流路が同心、または、第2および第3の流路が同心である部分)、もしくは、3つの隣接する流路(第1、第2、および、第3の流路が同心である部分)が存在する。
ガスリング700は、円形であることが好ましいが、セラミックシャワーヘッドが他の形状を有する場合には、他の構成でも可能である。ガスリング700をシャワーヘッドに取り付けるために、広がり制限部710が緩められ、ガスリングが上側プレート280の周りに位置決めされる。段付きねじ608が穴422と係合され、ガス流路616がガス流入口410と流体連通してシールされると、広がり制限部710は、ガスリング700の両端部712が同心で整列するように締められる。
図8Aは、ガス接続ブロック600を介してシャワーヘッド260の上側プレート280に取り付けられたガスリング700を示す斜視図である。図8Bは、ガス接続ブロック600内で摺動する段付きねじ608が、上側プレート280の取り付け穴420内に伸びる留め具504の水平方向の開口部に嵌まる様子を示す。図8Cに示すように、段付きねじ608は、セラミックの上側プレート280の水平方向の穴422による摩耗を最小限に抑えるために、プラスチックのブッシング609を備える。段付きねじ608が、上側プレート280の外周の平坦な取り付け面424にある穴422に挿入されると、段付きねじ608の端部が、留め具504の開口部に入って、ブロック600を適切な位置に保持する。穴610に取り付けられたねじ614は、ガス接続ブロック600をガスリング700に固定する。図8Dに示すように、各ガス接続ブロック600は、ガスリング700の流出口702を上側プレート280の半径方向ガス流路410の1つの流入口に接続するL字形流路616を備える。O−リング溝612内のO−リングが、L字形流路616の流出口604を囲み、ガス接続ブロック600と、上側プレート280の平坦な取り付け面424との間にシールを提供する。同様に、O−リング溝617内のO−リングが、ガス接続ブロック600と、ガスリング700の取り付け面734との間にシールを提供する。
ガスリング700と上側プレート280との組み立てには、ねじ614を用いてガス接続ブロック600をガスリング700に取り付ける必要があり、ガスリング700を開いて上側プレート280を囲むように嵌め、留め具504の開口部が開口部422と整列するように留め具504を垂直の穴420に完全に挿入し、上側プレート280の周りでガスリングを閉じ、リングが開くのを防止するためにプレート710を締め、留め具504の開口部を通るように穴422にねじ608を挿入する。留め具504は、プラスチックで製造されることが好ましく、ブロック600をシャワーヘッドの周りの適切な位置に保持する。
ガスリング700を用いれば、プロセスガスを単一の流入口を通して供給し、等しい長さの流路に沿って流出口702まで送ることが可能であり、それにより、流出口702の各々から放出されるガスの圧力または流量が同じになり、各流出口から均一にガスが放出される。したがって、流出口の各々に由来する流路の抵抗(コンダクタンス)を等しくすることができる。上述のように、流出口および流路の数は、必要に応じて適合させることができ、上述の8つの流出口または特定の流路構成に限定される必要はない。
本明細書では、しばしば、数値と共に「約」という用語を用いて、かかる値の数学的正確さが意図されていないことを示唆している。したがって、数値と共に「約」という用語を用いている場合には、その数値に対して10%の公差が想定される。
具体的な実施形態を参照しつつ、プロセスガスを高速に切り替えるよう動作可能なプラズマ処理装置について詳細に説明したが、添付の特許請求の範囲を逸脱することなく、様々な変更および変形を行い、等価物を用いることが可能であることは、当業者にとって明らかである。
本発明は、たとえば、以下のような態様で実現することもできる。

適用例1:
基板支持体に支持された半導体基板にプラズマエッチングを施す誘導結合プラズマ処理装置のためのセラミックシャワーヘッドであって、
平坦な下面と、中央部分が厚く外側部分が薄い段付きの上面と、前記外側部分の環状領域に配置され前記上面および前記下面の間に伸びる複数の軸方向ガス孔と、前記下面の外周において前記外側部分に配置された真空シール面と、前記複数の軸方向ガス孔が配置された前記環状領域を規定する前記上面上の内側および外側真空シール面と、を有するセラミック材料の下側プレートと、
平坦な上面および下面と、外周から内向きに伸びる複数の半径方向ガス流路と、前記下面から前記複数の半径方向ガス流路まで伸びる複数の軸方向ガス流路と、を有するセラミック材料の環状の上側プレートと、
を備え、
前記環状の上側プレートは、前記下側プレートの前記中央部分を囲み、前記下側プレートの前記外側部分の前記上面を覆うように構成されており、前記上側プレートの前記複数の軸方向ガス流路が、前記下側プレートの前記複数の軸方向ガス孔と流体連通するように構成されている、セラミックシャワーヘッド。

適用例2:
適用例1のセラミックシャワーヘッドであって、前記下側プレートは、少なくとも2列の軸方向ガス孔を備え、前記列の各々は、20ないし50の前記軸方向ガス孔を有する、セラミックシャワーヘッド。

適用例3:
適用例2のセラミックシャワーヘッドであって、前記下側プレートは、約20インチの直径、前記中央部分における約1.5インチの厚さ、および、前記外側部分における約0.8インチの厚さを有し、前記2列のガス孔は、0.04インチの直径を有すると共に前記下側プレートの中心から約5インチに配置された32個のガス孔の内側の列と、約0.04インチの直径を有すると共に前記下側プレートの前記中心から約6.5インチに配置された32個のガス孔の外側の列とを含み、前記シール面は、前記下面の段に配置され、前記段は、約0.4インチの深さおよび約1.2インチの幅を有する、セラミックシャワーヘッド。

適用例4:
適用例1のセラミックシャワーヘッドであって、前記上側プレートは、約0.125インチの直径を有すると共に45°ごとに配置された少なくとも8つの半径方向ガス流路と、約0.125インチの直径を有すると共に前記上側プレートの中心から約5.75インチに配置された少なくとも8つの軸方向ガス流路と、約1.7インチの幅および約0.015ないし0.02インチの深さを有する環状プレナムを規定する環状凹部と、前記環状プレナムを囲む内側および外側O−リング溝と、を備え、前記環状プレナムは、前記上側プレートの前記ガス流路と前記下側プレートの前記ガス孔との間の流体連通を提供する、セラミックシャワーヘッド。

適用例5:
適用例4のセラミックシャワーヘッドであって、前記上側プレートは、さらに、約0.4インチの直径を有すると共に前記上側プレートの前記上面に配置された8対の軸方向取り付け穴と、約0.35インチの直径を有すると共に前記上側プレートの外周の平坦な取り付け面に配置された8対の半径方向取り付け穴と、を備え、各対の前記取り付け穴の中心は、約1インチ離間されている、セラミックシャワーヘッド。

適用例6:
適用例1のシャワーヘッドであって、前記上側プレートおよび下側プレートは、高純度アルミナで製造され、前記下側プレートの前記下面は、前記シール面を除いて前記下面全体を覆う高純度イットリアのコーティングを備える、シャワーヘッド。

適用例7:
半導体基板を内部で処理する処理チャンバと、
処理中に前記半導体を支持する基板支持体と、
前記チャンバの誘電体窓を形成する適用例1のセラミックシャワーヘッドと、
前記誘電体窓を通して前記チャンバ内にRFエネルギを誘導結合して、前記基板支持体と前記誘電体窓との間のチャンバギャップ内でプロセスガスをプラズマに励起するよう動作可能なアンテナと、
エッチングガスおよび蒸着ガスを含むプロセスガスを、前記環状プレナム内の前記エッチングガスが200ミリ秒以内で前記蒸着ガスに置き換えられるように、または、前記環状プレナム内の前記蒸着ガスが200ミリ秒以内で前記エッチングガスに置き換えられるように、前記セラミックシャワーヘッドの前記半径方向ガス流路に交互に供給するよう動作可能なガス供給システムと、
を備え、
前記プラズマ処理装置は、少なくとも10μm/分の速度で前記半導体基板上のシリコン材料に開口部をエッチングするよう動作可能である、プラズマ処理装置。

適用例8:
適用例7のプラズマ処理装置であって、前記エッチングガスは、SF 6 、NF 3 、および、CF 4 から選択され、前記蒸着ガスは、C 4 8 、C 4 6 、CH 2 2 、CHF 3 、CH 3 Fから選択される、プラズマ処理装置。

適用例9:
適用例7のプラズマ処理装置を用いて半導体基板を処理する方法であって、
(a)前記処理チャンバ内の前記基板支持体上に前記半導体基板を支持する工程と、
(b)前記蒸着ガスの流れを遮断して、前記エッチングガスが前記セラミックシャワーヘッドの前記ガス孔を通して前記チャンバギャップに流れ込むように前記エッチングガスを前記環状プレナムに供給する工程と、
(c)前記チャンバギャップ内の前記エッチングガスを第1のプラズマに励起して、前記第1のプラズマで前記半導体基板に開口部をプラズマエッチングする工程と、
(d)前記エッチングガスの流れを遮断して、前記蒸着ガスが前記セラミックシャワーヘッドの前記ガス孔を通して前記チャンバギャップに流れ込むように前記蒸着ガスを前記環状プレナムに供給する工程と、
(e)前記チャンバギャップ内の前記蒸着ガスを第2のプラズマに励起して、前記第2のプラズマで前記開口部内にポリマを蒸着させる工程と、
(f)1.8秒以内の総サイクル時間で工程(b)〜(e)を繰り返す工程と、
を備える、方法。

適用例10:
適用例9の方法であって、前記半導体基板はシリコンウエハであり、前記エッチングガスは、工程(b)において、約500ミリ秒の期間内に、前記チャンバギャップ内の前記蒸着ガスと置き換わり、前記蒸着ガスは、工程(d)において、約500ミリ秒の期間内に、前記チャンバギャップ内の前記エッチングガスと置き換わる、方法。

適用例11:
適用例9の方法であって、前記プレナム内の圧力は、工程(b)〜(e)の間、少なくとも1Torrである、方法。

適用例12:
適用例9の方法であって、前記エッチングガスを供給する総時間は1.3秒以内であり、前記蒸着ガスを供給する総時間は0.7秒以内である、方法。

適用例13:
適用例9の方法であって、前記エッチングガスの供給中の前記チャンバギャップ内の圧力は、少なくとも150mTorrであり、前記蒸着ガスの供給中の前記チャンバギャップ内の圧力は、150mTorr未満である、方法。

適用例14:
適用例9の方法であって、前記エッチングガスは、少なくとも500sccmの流量で前記プレナムに供給され、前記蒸着ガスは、500sccm未満の流量で前記プレナムに供給される、方法。

適用例15:
適用例9の方法であって、前記半導体基板と前記シャワーヘッドプレートとの間の前記チャンバギャップは、少なくとも4インチである、方法。

Claims (15)

  1. 基板支持体に支持された半導体基板にプラズマエッチングを施す誘導結合プラズマ処理装置のためのセラミックシャワーヘッドであって、
    平坦な下面と、中央部分が厚く外側部分が薄い段付きの上面と、前記外側部分の環状領域に配置され前記上面および前記下面の間に伸びる複数の軸方向ガス孔と、前記下面の外周において前記外側部分に配置された真空シール面と、前記複数の軸方向ガス孔が配置された前記環状領域を規定する前記上面上の内側および外側真空シール面と、を有するセラミック材料の下側プレートと、
    平坦な上面および下面と、外周から内向きに伸びる複数の半径方向ガス流路と、前記下面から前記複数の半径方向ガス流路まで伸びる複数の軸方向ガス流路と、を有するセラミック材料の環状の上側プレートと、
    を備え、
    前記環状の上側プレートは、前記下側プレートの前記中央部分を囲み、前記下側プレートの前記外側部分の前記上面を覆うように構成されており、前記上側プレートの前記複数の軸方向ガス流路が、前記下側プレートの前記複数の軸方向ガス孔と流体連通するように構成されている、セラミックシャワーヘッド。
  2. 請求項1に記載のセラミックシャワーヘッドであって、前記下側プレートは、少なくとも2列の軸方向ガス孔を備え、前記列の各々は、20ないし50の前記軸方向ガス孔を有する、セラミックシャワーヘッド。
  3. 請求項2に記載のセラミックシャワーヘッドであって、前記下側プレートは、約20インチの直径、前記中央部分における約1.5インチの厚さ、および、前記外側部分における約0.8インチの厚さを有し、前記2列のガス孔は、0.04インチの直径を有すると共に前記下側プレートの中心から約5インチに配置された32個のガス孔の内側の列と、約0.04インチの直径を有すると共に前記下側プレートの前記中心から約6.5インチに配置された32個のガス孔の外側の列とを含み、前記シール面は、前記下面の段に配置され、前記段は、約0.4インチの深さおよび約1.2インチの幅を有する、セラミックシャワーヘッド。
  4. 請求項1に記載のセラミックシャワーヘッドであって、前記上側プレートは、約0.125インチの直径を有すると共に45°ごとに配置された少なくとも8つの半径方向ガス流路と、約0.125インチの直径を有すると共に前記上側プレートの中心から約5.75インチに配置された少なくとも8つの軸方向ガス流路と、約1.7インチの幅および約0.015ないし0.02インチの深さを有する環状プレナムを規定する環状凹部と、前記環状プレナムを囲む内側および外側O−リング溝と、を備え、前記環状プレナムは、前記上側プレートの前記ガス流路と前記下側プレートの前記ガス孔との間の流体連通を提供する、セラミックシャワーヘッド。
  5. 請求項4に記載のセラミックシャワーヘッドであって、前記上側プレートは、さらに、約0.4インチの直径を有すると共に前記上側プレートの前記上面に配置された8対の軸方向取り付け穴と、約0.35インチの直径を有すると共に前記上側プレートの外周の平坦な取り付け面に配置された8対の半径方向取り付け穴と、を備え、各対の前記取り付け穴の中心は、約1インチ離間されている、セラミックシャワーヘッド。
  6. 請求項1に記載のシャワーヘッドであって、前記上側プレートおよび下側プレートは、高純度アルミナで製造され、前記下側プレートの前記下面は、前記シール面を除いて前記下面全体を覆う高純度イットリアのコーティングを備える、シャワーヘッド。
  7. プラズマ処理装置であって、
    半導体基板を内部で処理する処理チャンバと、
    処理中に前記半導体を支持する基板支持体と、
    前記チャンバの誘電体窓を形成する請求項1に記載のセラミックシャワーヘッドと、
    前記誘電体窓を通して前記チャンバ内にRFエネルギを誘導結合して、前記基板支持体と前記誘電体窓との間のチャンバギャップ内でプロセスガスをプラズマに励起するよう動作可能なアンテナと、
    エッチングガスおよび蒸着ガスを含むプロセスガスを、前記環状プレナム内の前記エッチングガスが200ミリ秒以内で前記蒸着ガスに置き換えられるように、または、前記環状プレナム内の前記蒸着ガスが200ミリ秒以内で前記エッチングガスに置き換えられるように、前記セラミックシャワーヘッドの前記半径方向ガス流路に交互に供給するよう動作可能なガス供給システムと、
    を備え、
    前記プラズマ処理装置は、少なくとも10μm/分の速度で前記半導体基板上のシリコン材料に開口部をエッチングするよう動作可能である、プラズマ処理装置。
  8. 請求項7に記載のプラズマ処理装置であって、前記エッチングガスは、SF6、NF3、および、CF4から選択され、前記蒸着ガスは、C48、C46、CH22、CHF3、CH3Fから選択される、プラズマ処理装置。
  9. 請求項7に記載のプラズマ処理装置を用いて半導体基板を処理する方法であって、
    (a)前記処理チャンバ内の前記基板支持体上に前記半導体基板を支持する工程と、
    (b)前記蒸着ガスの流れを遮断して、前記エッチングガスが前記セラミックシャワーヘッドの前記ガス孔を通して前記チャンバギャップに流れ込むように前記エッチングガスを前記環状プレナムに供給する工程と、
    (c)前記チャンバギャップ内の前記エッチングガスを第1のプラズマに励起して、前記第1のプラズマで前記半導体基板に開口部をプラズマエッチングする工程と、
    (d)前記エッチングガスの流れを遮断して、前記蒸着ガスが前記セラミックシャワーヘッドの前記ガス孔を通して前記チャンバギャップに流れ込むように前記蒸着ガスを前記環状プレナムに供給する工程と、
    (e)前記チャンバギャップ内の前記蒸着ガスを第2のプラズマに励起して、前記第2のプラズマで前記開口部内にポリマを蒸着させる工程と、
    (f)1.8秒以内の総サイクル時間で工程(b)〜(e)を繰り返す工程と、
    を備える、方法。
  10. 請求項9に記載の方法であって、前記半導体基板はシリコンウエハであり、前記エッチングガスは、工程(b)において、約500ミリ秒の期間内に、前記チャンバギャップ内の前記蒸着ガスと置き換わり、前記蒸着ガスは、工程(d)において、約500ミリ秒の期間内に、前記チャンバギャップ内の前記エッチングガスと置き換わる、方法。
  11. 請求項9に記載の方法であって、前記プレナム内の圧力は、工程(b)〜(e)の間、少なくとも1Torrである、方法。
  12. 請求項9に記載の方法であって、前記エッチングガスを供給する総時間は1.3秒以内であり、前記蒸着ガスを供給する総時間は0.7秒以内である、方法。
  13. 請求項9に記載の方法であって、前記エッチングガスの供給中の前記チャンバギャップ内の圧力は、少なくとも150mTorrであり、前記蒸着ガスの供給中の前記チャンバギャップ内の圧力は、150mTorr未満である、方法。
  14. 請求項9に記載の方法であって、前記エッチングガスは、少なくとも500sccmの流量で前記プレナムに供給され、前記蒸着ガスは、500sccm未満の流量で前記プレナムに供給される、方法。
  15. 請求項9に記載の方法であって、前記半導体基板と前記シャワーヘッドプレートとの間の前記チャンバギャップは、少なくとも4インチである、方法。
JP2014513542A 2011-05-31 2012-05-16 誘導結合プラズマエッチングリアクタのためのガス分配シャワーヘッド Active JP5891300B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/118,899 US8562785B2 (en) 2011-05-31 2011-05-31 Gas distribution showerhead for inductively coupled plasma etch reactor
US13/118,899 2011-05-31
PCT/US2012/038091 WO2012166364A1 (en) 2011-05-31 2012-05-16 Gas distribution showerhead for inductively coupled plasma etch reactor

Publications (3)

Publication Number Publication Date
JP2014523635A JP2014523635A (ja) 2014-09-11
JP2014523635A5 JP2014523635A5 (ja) 2015-07-02
JP5891300B2 true JP5891300B2 (ja) 2016-03-22

Family

ID=47259746

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014513542A Active JP5891300B2 (ja) 2011-05-31 2012-05-16 誘導結合プラズマエッチングリアクタのためのガス分配シャワーヘッド

Country Status (6)

Country Link
US (3) US8562785B2 (ja)
JP (1) JP5891300B2 (ja)
KR (1) KR101985031B1 (ja)
CN (1) CN103597113B (ja)
TW (3) TWI559392B (ja)
WO (1) WO2012166364A1 (ja)

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8940642B2 (en) * 2011-07-20 2015-01-27 Applied Materials, Inc. Method of multiple patterning of a low-K dielectric film
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9580360B2 (en) * 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9905400B2 (en) 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9570289B2 (en) * 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017062087A1 (en) * 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10022733B2 (en) * 2015-11-10 2018-07-17 Imagine Tf, Llc Microfluidic laminar flow nozzle apparatuses
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN107993914B (zh) * 2016-10-26 2019-09-06 中微半导体设备(上海)股份有限公司 气体流量调节装置及其调节方法
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US20210017645A1 (en) * 2018-04-10 2021-01-21 Applied Materials, Inc. Resolving spontaneous arcing during thick film deposition of high temperature amorphous carbon deposition
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11600517B2 (en) * 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200258718A1 (en) * 2019-02-07 2020-08-13 Mattson Technology, Inc. Gas Supply With Angled Injectors In Plasma Processing Apparatus
US20210032753A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Methods and apparatus for dual channel showerheads
KR102505474B1 (ko) 2019-08-16 2023-03-03 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
TWI731463B (zh) * 2019-11-06 2021-06-21 聚昌科技股份有限公司 側向擾流式高均勻度感應耦合電漿蝕刻機之製造方法及其結構
CN115004332A (zh) * 2020-01-28 2022-09-02 朗姆研究公司 用于高功率高压力处理的分段式气体分配板
CN111599717B (zh) * 2020-05-09 2024-03-26 北京北方华创微电子装备有限公司 一种半导体反应腔室及原子层等离子体刻蚀机
CN111564399B (zh) * 2020-05-25 2023-12-22 北京北方华创微电子装备有限公司 半导体工艺设备中的匀流机构及半导体工艺设备
EP4214746A1 (en) * 2020-09-21 2023-07-26 Lam Research Corporation Carrier ring for floating tcp chamber gas plate
USD969980S1 (en) 2020-10-20 2022-11-15 Applied Materials, Inc. Deposition chamber showerhead
USD967351S1 (en) 2020-10-20 2022-10-18 Applied Materials, Inc. Showerhead reflector

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP2975885B2 (ja) * 1996-02-01 1999-11-10 キヤノン販売株式会社 ガス分散器及びプラズマ処理装置
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
EP0958401B1 (en) 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
JPH10134997A (ja) * 1996-10-24 1998-05-22 Samsung Electron Co Ltd 2次電位による放電を除去したプラズマ処理装置
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3485505B2 (ja) * 1999-09-17 2004-01-13 松下電器産業株式会社 処理装置
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
WO2002033729A2 (en) 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
JP2002280357A (ja) 2001-03-21 2002-09-27 Sony Corp プラズマエッチング装置およびエッチング方法
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP4608827B2 (ja) * 2001-08-15 2011-01-12 ソニー株式会社 プラズマ処理装置及びプラズマ処理方法
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
JP2009260377A (ja) 2001-12-25 2009-11-05 Tokyo Electron Ltd 成膜方法及び処理装置
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP3869778B2 (ja) * 2002-09-11 2007-01-17 エア・ウォーター株式会社 成膜装置
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7070833B2 (en) 2003-03-05 2006-07-04 Restek Corporation Method for chemical vapor deposition of silicon on to substrates for use in corrosive and vacuum environments
WO2004097919A1 (ja) * 2003-05-02 2004-11-11 Tokyo Electron Limited 処理ガス導入機構およびプラズマ処理装置
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7771562B2 (en) * 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
JP4845385B2 (ja) * 2004-08-13 2011-12-28 東京エレクトロン株式会社 成膜装置
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2007123766A (ja) * 2005-10-31 2007-05-17 Tokyo Electron Ltd エッチング方法、プラズマ処理装置及び記憶媒体
KR101242248B1 (ko) * 2005-11-02 2013-03-12 파나소닉 주식회사 플라즈마 처리 장치
KR101019293B1 (ko) * 2005-11-04 2011-03-07 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 원자층 증착 장치 및 방법
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
JP5188496B2 (ja) * 2007-03-22 2013-04-24 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
JP5444599B2 (ja) * 2007-09-28 2014-03-19 東京エレクトロン株式会社 ガス供給装置及び成膜装置
KR20100103627A (ko) * 2007-12-21 2010-09-27 어플라이드 머티어리얼스, 인코포레이티드 기판의 온도를 제어하기 위한 방법 및 장치
KR101625516B1 (ko) 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
US8236133B2 (en) * 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101659303B1 (ko) * 2009-07-15 2016-09-23 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US9076634B2 (en) * 2009-09-10 2015-07-07 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
US9523155B2 (en) * 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same

Also Published As

Publication number Publication date
CN103597113B (zh) 2016-08-17
US9099398B2 (en) 2015-08-04
TW201641741A (zh) 2016-12-01
KR101985031B1 (ko) 2019-05-31
US9934979B2 (en) 2018-04-03
WO2012166364A1 (en) 2012-12-06
TW201300570A (zh) 2013-01-01
US20120309204A1 (en) 2012-12-06
TWI563121B (en) 2016-12-21
KR20140039010A (ko) 2014-03-31
TWI612179B (zh) 2018-01-21
JP2014523635A (ja) 2014-09-11
TW201250831A (en) 2012-12-16
US8562785B2 (en) 2013-10-22
TWI559392B (zh) 2016-11-21
US20140065827A1 (en) 2014-03-06
CN103597113A (zh) 2014-02-19
US20150318147A1 (en) 2015-11-05

Similar Documents

Publication Publication Date Title
JP5891300B2 (ja) 誘導結合プラズマエッチングリアクタのためのガス分配シャワーヘッド
JP6088493B2 (ja) プラズマエッチングリアクタのセラミックシャワーヘッドのためのガス分配システム
US20190139743A1 (en) Insulated semiconductor faceplate designs
US8133349B1 (en) Rapid and uniform gas switching for a plasma etch process
US7163587B2 (en) Reactor assembly and processing method
KR20200028041A (ko) 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장
CN115799031A (zh) 用于经改善的前驱物流的半导体处理腔室
JP2020512701A (ja) ボトムおよびミドルエッジリング
US9679751B2 (en) Chamber filler kit for plasma etch chamber useful for fast gas switching
JP2020519016A (ja) 可動エッジリング設計
KR20180063345A (ko) 반도체 제조의 웨이퍼 처리를 위한 고 생산성 pecvd 툴
WO2019169016A1 (en) Magnetic induction plasma source for semiconductor processes and equipment
US20200087788A1 (en) Multiple channel showerheads
JPH11233292A (ja) プラズマ処理装置
KR20220155591A (ko) 고성능 코팅을 갖는 반도체 챔버 구성요소들
US20230087913A1 (en) Coolant channel with internal fins for substrate processing pedestals
US20230352278A1 (en) Plasma-exclusion-zone rings for processing notched wafers

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150515

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150515

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160126

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160222

R150 Certificate of patent or registration of utility model

Ref document number: 5891300

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250