TWI612179B - 用於電感耦合電漿蝕刻反應器之氣體分配噴淋頭 - Google Patents

用於電感耦合電漿蝕刻反應器之氣體分配噴淋頭 Download PDF

Info

Publication number
TWI612179B
TWI612179B TW105129730A TW105129730A TWI612179B TW I612179 B TWI612179 B TW I612179B TW 105129730 A TW105129730 A TW 105129730A TW 105129730 A TW105129730 A TW 105129730A TW I612179 B TWI612179 B TW I612179B
Authority
TW
Taiwan
Prior art keywords
gas
inches
lower plate
upper plate
plate
Prior art date
Application number
TW105129730A
Other languages
English (en)
Other versions
TW201641741A (zh
Inventor
肯麥可
派特森艾立克斯
J 肯沃西意恩
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201641741A publication Critical patent/TW201641741A/zh
Application granted granted Critical
Publication of TWI612179B publication Critical patent/TWI612179B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • B05B1/185Roses; Shower heads characterised by their outlet element; Mounting arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

一種兩件式的陶瓷噴淋頭包含上、下板而可輸送處理氣體至電感耦合電漿處理室中。該上板乃覆蓋在下板上方並包含:由上板之一外緣向內延伸之徑向延伸氣體通道;軸向延伸氣體通道,與該徑向延伸氣體通道流體相通;一環狀凹部,其在該上下板之間形成一環狀充氣部。該下板包含軸向延伸氣孔而與該充氣部流體相通。該上板可包含八個徑向延伸氣體通道配置於該上板之周圍並以等距離隔開,該下板可包含內、外排之氣孔。該兩件式陶瓷噴淋頭形成一處理室介電窗,並透過該介電窗而將天線產生之無線射頻能耦合進該處理室。一氣體輸送系統乃將處理氣體輸送至該上、下板之間的充氣部,其氣體容積不大於500 cm3 。下板中之氣孔則於該充氣部以及下板之一電漿外露氧化釔塗佈表面之間延伸。該氣體輸送系統可經操作而供應蝕刻氣體以及沈積氣體至該處理室,俾使環狀充氣部內之蝕刻氣體可在200毫秒之內替換成沈積氣體,反之亦然。

Description

用於電感耦合電漿蝕刻反應器之氣體分配噴淋頭
本發明係關於一種用於電感耦合電漿蝕刻反應器之氣體分配噴淋頭。
波希法是一種電漿蝕刻處理,在半導體業中已廣泛用於製造深層垂直(高長寬比)特徵部(深度例如約數十或數百微米),例如溝槽及穿孔等。波希法包含蝕刻步驟以及沈積步驟交替的循環。波希法的細節可在美國專利號第5,501,893中得知,在此以參考文獻方式加以合併。波希法可在電漿處理裝置中加以實現,該電漿處理裝置乃設有例如電感耦合電漿源(ICP)之高濃度電漿源,以及結合一無線射頻(RF)偏壓基板電極。用於波希法中進行蝕刻矽之處理氣體可以是六氟化硫(SF6 ) (用於蝕刻步驟)以及八氟環丁烷(C4 F8 )(沈積步驟)。下面將用於該蝕刻步驟以及該沈積步驟之處理氣體分別稱之為「蝕刻氣體」以及「沈積氣體」。在進行蝕刻步驟期間,SF6 乃促成對矽(Si)的自發且等向的蝕刻;而在沈積步驟期間,C4 F8 則促成聚合物保護層沈積於側壁以及蝕刻結構之底部上。波希法循環式地在蝕刻步驟以及沈積步驟間交替進行,而使深層結構可界定於遮罩式矽基板內。在蝕刻步驟中一旦出現有力且具方向性的離子轟擊時,任何在前一沈積步驟時覆蓋在蝕刻結構底部之聚合物膜均會被移除,以暴露出矽表面來進行進一步的蝕刻。在側壁上的聚合物膜則維持不動,因其並未受到直接的離子轟擊,藉此而抑制了側向蝕刻。
波希法的一個限制為深層蝕刻特徵部的粗糙側壁。此種限制在業界週知為側壁「扇形邊」,其乃導因於波希法中使用之循環性蝕刻/沈積程序。對於許多裝置應用而言,均希望能將此側壁粗糙狀或扇形邊降至最低。典型上是以扇形的長度及深度來量測扇形邊的程度。扇形長度為側壁之粗糙處之峰頂至峰頂的距離,並且與一單一蝕刻循環所獲得之蝕刻深度直接相關。扇形的深度則為側壁粗糙處之峰頂至谷底的距離,並與一單一蝕刻步驟之各向異性程度相關。扇形形成的程度可藉由縮短每一蝕刻/沈積步驟的期間而降至最低(也就是說以更高的頻率重複進行較短的蝕刻/沈積步驟)。
除了平坦化特徵部的側壁,吾人亦想達到更高的整體蝕刻率。整體蝕刻率係定義為整個處理期間所劃分出之一處理的整體蝕刻深度。整體蝕刻率可藉由在處理步驟中提昇效率而增加(也就是降低呆滯時間)。
圖1說明一傳統之電漿處理裝置100以處理基板120,其包含一基板支架130以及一包覆該基板支架130之處理室140。基板120可例如是具有直徑4"、 6"、 8"、12"等的半導體晶圓。基板支架130可由處理室140之下端壁支撐,或可為懸臂式,例如從處理室140之一側壁延伸出來。基板120可以機械式或靜電式被夾持在電極130。處理室140可例如是一真空腔室。
吾人藉由將處理室140內之處理氣體激發成高濃度電漿而在處理室140中對基板120進行處理。一能量來源係維持處理室140中之高濃度(例如1011 -1012 ions/cm3 )電漿。例如,由一適當之RF源及適當之RF阻抗匹配電路供電之天線150(例如圖1顯示之平面多匝螺旋式線圈、非平面多匝線圈或其他形狀之天線)電感耦合RF功率至該腔室以產生高濃度電漿。施加至天線150之RF功率可依照處理室140中使用之不同處理氣體而改變(例如含有SF6 的蝕刻氣體以及含有C4 F8 的沈積氣體)。處理室140可包含一適當之真空幫浦裝置以將處理室140內部維持在所需的壓力(例如低於5 Torr,較佳介於1-100 mTorr)。一介電窗(例如圖1顯示之具有均勻厚度之平面介電窗155或是一非平面的介電窗)乃設於天線150以及處理室140內部之間,並於處理室140之頂部形成一真空壁。氣體輸送系統110可透過主要氣體環170或位於介電窗155下方之中心注射器180而將處理氣體供應至處理室140內。圖1所示之電漿處理裝置100的細節乃揭露於共同持有之美國專利公開號第2001/0010257及2003/0070620、美國專利號第6,013,155或6,270,862,其每一件之完整內容均合併於此以供參考。
設計用來快速進行氣體交換之氣體輸送系統乃揭露於共同持有之美國專利號第7,459,100及7,708,859以及美國專利公開號第2007/0158025及2007/0066038,其揭露內容均合併於此以供參考。
基板120較佳包含一矽材料,例如矽晶圓以及/或多晶矽。例如孔、穿孔以及/或溝槽等各種特徵部將蝕刻入該矽材料。一具有開口圖案之圖案化遮罩層(例如光阻、氧化矽以及/或氮化矽)乃置於基板120上以蝕刻所需之特徵部。 圖1之裝置100的一個問題為,主要氣體環170較靠近基板120之周圍而非其中心,如此一來,由於將基板120表面上方之一處理氣體完整置換成另一處理氣體所需之時間將使蝕刻率增加,且因處理時整個基板之氣體壓力之不一致性而可導致處理的不一致性。
此處所描述的是電感耦合電漿處理裝置用之陶瓷噴淋頭,該裝置包含一處理室,並在該處理室中對半導體基板進行處理;於其處理期間,一基板支架乃於其上支撐半導體基板以便進行處理;以及一天線,其可經操作而產生並維持在處理室內的電漿。該陶瓷噴淋頭形成處理室之一介電窗,而氣體輸送系統可經操作而交替地供應蝕刻氣體及沈積氣體至噴淋頭中之一充氣部,並且在200毫秒的時間內將充氣部中之蝕刻氣體替換成沈積氣體、或是在200毫秒內將充氣部中之沈積氣體替換成蝕刻氣體。該電漿處理裝置可經操作而以至少10μm/分的速率蝕刻半導體基板上之矽開口。
該陶瓷噴淋頭包含一陶瓷材料製之下板以及一陶瓷材質製之環狀上板,該下板係包含一扁平下表面;一階梯狀上表面,其中心部分較厚、而其外圍部分較薄;軸向延伸氣孔,位於該外圍部分之一環狀區域內,並在該上下表面之間延伸;一真空密封表面,位於該下表面之一外緣的外圍部分上。該環狀上板則具有扁平之上下表面;複數個徑向延伸氣體通道,由環狀上板之一外緣向內延伸;複數個軸向延伸氣體通道,從環狀上板之下表面向該徑向延伸氣體通道延伸,該環狀上板的設置乃用以環繞下板之中心部分,並覆蓋在下板之外圍部分之上表面上,俾使上板之軸向延伸氣體通道與下板內之軸向延伸氣孔流體相通。
根據一較佳實施例,下板包含兩排軸向延伸氣孔,每一排具有20-50個該軸向延伸氣孔。該下板較佳具有約20英吋的直徑,在其中心部分具有約1.5英吋的厚度,其外圍部分則具有約0.8英吋的厚度,該兩排氣孔包含一32個氣孔的內排以及一32個氣孔的外排,該內排之氣孔直徑約0.04英吋,相距該下板之中心約5英吋,該外排之氣孔直徑約0.04英吋,位於距離該下板中心約6.5英吋處,而該密封表面則位於該下表面之一階梯上,該階梯具有約0.4英吋之深度、約1.2英吋的寬度。該上板包含八個徑向延伸氣體通道,其具有約0.125英吋的直徑且彼此以45°相隔開;八個軸向延伸氣體通道,具有約0.125英吋之直徑,且位於距離該上板中心約5.75英吋處;一環狀充氣部,寬約1.7英吋、深度約0.015-0.02英吋;內、外O形環凹槽,其環繞該環狀充氣部。該上板更包含八對軸向延伸安裝孔以及八對徑向延伸安裝孔,該軸向延伸安裝孔具有約0.4英吋之直徑且位於該上板之該上表面內,該徑向延伸安裝孔則具有約0.35英吋之直徑且位於該上板之外緣上之扁平安裝表面中,該每一對安裝孔的中心點均彼此相距約1英吋。該上下板較佳係以高純度氧化鋁製成,且下板之下表面包含一高純度氧化釔塗佈層,其覆蓋了除了密封表面以外的整個下表面。上下板可用之其他材料包含氮化鋁以及其他適用於半導體相容材料之陶瓷材料。
根據一較佳實施例,下板包含兩排軸向延伸氣孔,每一排具有20-50個該軸向延伸氣孔。該下板較佳具有約20英吋的直徑,在其中心部分具有約1.5英吋的厚度,其外圍部分則具有約0.8英吋的厚度,該兩排氣孔包含一32個氣孔的內排以及一32個氣孔的外排,該內排之氣孔直徑約0.04英吋,相距該下板之中心約5英吋,該外排之氣孔直徑約0.04英吋,位於距離該下板中心約6.5英吋處,而該密封表面則位於該下表面之一階梯上,該階梯具有約0.4英吋之深度、約1.2英吋的寬度。該上板包含八個徑向延伸氣體通道,其具有約0.125英吋的直徑且彼此以45°相隔開;八個軸向延伸氣體通道,具有約0.125英吋之直徑,且位於距離該上板中心約5.75英吋處;一環狀充氣部,寬約1.7英吋、深度約0.015-0.02英吋;內、外O形環凹槽,其環繞該環狀充氣部。該上板更包含八對軸向延伸安裝孔以及八對徑向延伸安裝孔,該軸向延伸安裝孔具有約0.4英吋之直徑且位於該上板之該上表面內,該徑向延伸安裝孔則具有約0.35英吋之直徑且位於該上板之外緣上之扁平安裝表面中,該每一對安裝孔的中心點均彼此相距約1英吋。該上下板較佳係以高純度氧化鋁製成,且下板之下表面包含一高純度氧化釔塗佈層,其覆蓋了除了密封表面以外的整個下表面。上下板可用之其他材料包含氮化鋁以及其他適用於半導體相容材料之陶瓷材料。
該噴淋頭形成一處理室之介電窗,該處理室包含一基板支架,在進行對半導體基板處理時將基板支撐於其上;一天線,其可經操作而電感耦合RF能透過介電窗而進入處理室,進而將基板支架以及介電窗之間的處理室間距內的處理氣體激發成電漿;以及一氣體輸送系統,其可經操作而交替供應包含蝕刻氣體以及沈積氣體之處理氣體至陶瓷噴淋頭內之徑向延伸氣體通道,俾使在環狀充氣部內之蝕刻氣體可在200毫秒之內替換成沈積氣體,或者是使環狀充氣部內之沈積氣體可在200毫秒之內替換成蝕刻氣體,該電漿處理裝置可經操作而在至少10μm /分的速率進行對半導體基板上之矽材料之開口蝕刻。該蝕刻氣體較佳為SF6 ,而沈積氣體則較佳為C4 F8
在半導體基板之處理方法中,其步驟包含:(a)將半導體基板支撐於處理室中之基板支架上;(b)中斷沈積氣體流並供應蝕刻氣體至環狀充氣部,俾使蝕刻氣體通過噴淋頭中之氣孔而流進處理室間距;(c)將處理室間距中之蝕刻氣體激發成一第一電漿,並以該第一電漿電漿蝕刻半導體基板中之開口;(d)中斷該蝕刻氣體流並供應沈積氣體至環狀充氣部,俾使沈積氣體通過噴淋頭中之氣孔而流進處理室間距;(e)將處理室間距中之沈積氣體激發成一第二電漿,並以該第二電漿沈積聚合物於該開口內;(f)以不大於1.8秒的總循環時間重複進行步驟(b)-(e)。若該半導體基板為一矽晶圓,該處理之實現乃俾使步驟(b)中之蝕刻氣體在約500毫秒的時間內替換掉處理室間距內之沈積氣體,並使步驟(d)中之沈積氣體在約500毫秒的時間內替換掉處理室間距內的蝕刻氣體。
此處所描述之電漿處理裝置比起上述之傳統裝置100可達到更高之蝕刻率以及更大之一致性。
根據一實施例,如圖2所示,用以處理基板220之電漿處理裝置200包含基板支架230以及圍住該基板支架230之處理室240。基板220可例如是具有8英吋、12英吋或更大直徑之半導體晶圓。基板支架230可例如包含一無線(RF)射頻供電電極。基板支架230可從處理室240之一下端壁支撐,或者也可為懸臂式,例如從處理室240之一側壁延伸出。基板220可以機械式或靜電式被夾持在基板支架230。
吾人乃利用將處理室240中之處理氣體激發成高濃度電漿而在處理室240中進行對基板220的處理。一能量來源係產生並維持處理室240中之高濃度(例如1011 -1012 ions/cm3 )電漿。例如,由一適當之RF源及適當之RF阻抗匹配電路供電之天線250(例如圖2顯示之平面多匝螺旋式線圈、非平面多匝線圈或其他形狀之天線)電感耦合RF功率至該腔室以產生高濃度電漿。在交替供應蝕刻氣體或沈積氣體的循環期間,較佳小於約1秒、更佳小於約200毫秒的時間區間內,施加至天線250之RF功率可維持在相同的能量水準或也可依照處理室240中使用之不同處理氣體而改變(例如含有SF6 的蝕刻氣體以及含有C4 F8 的沈積氣體)。處理室240可由一適當之真空幫浦裝置排空以將處理室240內部維持在所需的壓力(例如低於5 Torr,較佳介於1-500 mTorr)。在蝕刻及沈積循環期間,此壓力可維持在相同的水準或是也可變化。
本處理室包含一具有均勻厚度之陶瓷噴淋頭260,其位於天線250以及處理室240內部之間,並在處理室240頂端形成一真空壁。氣體輸送系統210可用來透過噴淋頭260中之氣體通道而將處理氣體供應至處理室240內。氣體輸送系統210透過快速變換閘閥(例如加州Santa Clara之美國Fujikin之閘閥模型號碼FSR-SD-71-6.35)而交替地將蝕刻氣體或沈積氣體供應至處理室中,該閘閥在40毫秒內開關、較佳在30毫秒之內。該閘閥可以是開-關閘閥,當蝕刻氣體供應至噴淋頭時,不會將蝕刻氣體導引至分流線路,反之亦然。比起MFC閘閥,快速氣體變換閘閥提供了更快速之轉換,MFC閘閥在每次開或關之前可花費到250毫秒才達到穩定。
在一較佳實施例中,噴淋頭為一兩件式陶瓷噴淋頭,其包含由電絕緣陶瓷材質(例如氧化鋁、氮化矽、氮化鋁、摻雜碳化矽、石英等)所製成之一上板280及一下板270(後將參考圖3A-D以及4A-H來說明)。為了避免電漿在噴淋頭氣孔中燃燒,氣孔較佳具有不大於0.06英吋的直徑、且長寬比至少為2。例如下板270可具有約0.2英吋的厚度,較佳為0.2-1英吋。下板270之底面與基板220之間垂直的距離可因基板支架在垂直方向上的移動而變化,以調整在噴淋頭板與基板之間產生電漿之處理室的間距。
基板220較佳係包含例如矽晶圓及/或多晶矽的矽材料。各種例如孔、穿孔以及/或溝槽的特徵部均可蝕刻進該矽材料。一具有開放圖案之圖案化遮罩層(例如光阻、氧化矽、以及/或氮化矽)乃放置於該基板220上,以蝕刻所需之特徵部。
與具有側邊氣體注入的習知電漿處理裝置100相較,電漿處理裝置200可更快速且一致地在處理室間距中從蝕刻氣體轉換成沈積氣體,反之亦然。在一實施例中,其中基板220具有300 mm的直徑、處理室間距大於4英吋,裝置200可在上下板之間的充氣部中、在約200毫秒內實質上完成(例如至少90%)轉換處理氣體,而在約700毫秒內於處理室間距中實質上完成(例如至少90%)轉換處理氣體。此種快速的氣體轉換便可讓使用電漿處理裝置200的矽的開口之蝕刻率顯著增加至超過10μm/分,且依照進行蝕刻之特徵部的臨界尺寸(CD),其蝕刻率可高於20μm/分,而以側邊氣體注入的方式則是提供約3μm/分的蝕刻率。
圖3A-D顯示下板270的細節,其中圖3A為其上表面之透視圖,圖3B則為其下表面之透視圖,圖3C為其仰視圖,圖3D為其橫剖面圖。
如圖3A-D所示,下板270包含一平坦下表面302以及一階梯狀上表面304,上表面304之中心部分306較厚,而其外圍部分308則較薄,兩排軸向延伸氣孔310位於外圍部分308上之環狀區域312處、並在上下表面304、302之間延伸。下表面302包含一位於其外圍部分之階梯320,並包含一環狀真空密封表面314而真空密封至電漿處理室之一溫控壁。下板270在環狀區域312兩側的上表面304上包含一環狀內部真空密封表面316以及一環狀外部真空密封表面318。一盲孔322乃位於中心部分306之上表面上,用以安裝溫度感應器以監控下板270之溫度。
厚的中心部分306可有效率地將熱氣退散至中心部分306之外露上表面上方之周圍大氣中。噴淋頭之外緣可設定為較高的溫度以抵銷橫跨噴淋頭的溫度梯度。一或多個熱墊片506可用來促成下板270之外圍部分308以及其上覆之板280之間的熱移轉。下板270乃暴露至大部分的熱及真空負載,因此會經歷很高的熱應力。在處理室中進行基板之電漿處理期間,藉由在上板280中提供複雜的氣體輸送管道,便可降低因熱應力產生破裂的風險。且,由於該上下板均以真空力以及O形環密封來支撐,便可輕易地定期移除清洗此兩部件。為了提供抗侵蝕,下板之電漿外露表面可以氧化釔來包覆。
在一設計用來處理300mm晶圓之處理室中,下板270係比晶圓還要寬,而真空密封表面314則會連接至處理室240頂端上之相合密封表面。例如,下板270可具有約20英吋的直徑,在中心部分306處具有約1.5英吋的厚度,外圍部分308則具有約0.8英吋的厚度,以兩排氣孔設置之氣孔310包含一32個內排氣孔(直徑約0.04英吋,相距下板270中心約5英吋)以及一32個外排氣孔(直徑約0.04英吋,位於距離下板270中心約6.5英吋處),而密封表面314則位於下表面302之階梯320上,該階梯320具有約0.4英吋之深度、約1.2英吋的寬度。
圖4A-H顯示上板280的細節,其中圖4A為其上表面之透視圖,圖4B則為其下表面之透視圖,圖4C為其側視圖,圖4D為其橫剖面圖,圖4E為圖4D中E之細節圖,圖4F為圖4E中F之細節圖,圖4G為該上板在一氣體連接安裝表面時之橫剖面圖,圖4H為該安裝表面之側視圖。
上板280為一陶瓷材質製之環狀板,其具有一平坦上表面402、一平坦下表面404、一內表面406以及一外表面408。複數個徑向延伸氣體通道410從外表面408向內延伸,複數個軸向延伸氣體通道412從下表面404向徑向延伸氣體通道410延伸。環狀上板280的設置係用以環繞下板270之中心部分306,並覆蓋下板270之外圍部分308之上表面304,俾使上板280之軸向延伸氣體通道412與環狀充氣部414流體相通,而環狀充氣部414係與下板270之軸向延伸氣孔310流體相通。
為了處理300mm晶圓,上板280的尺寸係與下板270相合,並包含複數個徑向延伸氣體通道410以供應至下板270之氣孔310。例如,上板280可包含八個直徑約0.125英吋之徑向延伸氣體通道410,且彼此以45°相隔開; 八個直徑約0.125英吋之軸向延伸氣體通道412,且位於距離上板270中心約5.75英吋處;環狀充氣部414寬約1.7英吋、深度約0.015-0.02英吋;內O形環凹槽416以及外O形環凹槽418則環繞該環狀充氣部414。視處理的需求,下板270可以包含氣孔310的不同配置,例如多於或少於64個氣孔以任意所需之圖案、幾何形狀及大小尺寸排列。
為了供應處理氣體至氣體通道410,上板280包含用以連接氣體連接安裝區塊之安裝孔。該等安裝孔包含八對軸向延伸安裝孔420以及八對徑向延伸安裝孔422。孔420具有約0.4英吋之直徑,位於距離上板280之上表面402之外緣約0.5英吋處,並延伸穿過上板280而到下表面404。安裝孔422具有約0.35英吋之直徑、位於上板280之外表面408上之扁平安裝表面424中、並延伸進入孔420中。每一對安裝孔420、422的中心點均彼此相距約1英吋。上板280及下板270較佳係以高純度的氧化鋁製成,下板270之下表面可包含一高純度之氧化釔的塗佈層,其覆蓋了除了真空密封表面314以外的所有下表面。
圖5A-B顯示安裝於下板270上之上板280,其中圖5A為透視俯視圖,圖5B為圖5A顯示之組件之橫剖面圖。上板上之安裝孔420乃接收扣件504而讓八個氣體連接區塊(未顯示)可連接至上板280之外表面408。該等氣體區塊乃輸送處理氣體至八個氣體連接位置502,於該處該處理氣體係流入該八個徑向延伸氣體通道410內。藉由將處理氣體以等距離隔開的方式從外表面408供應,便可達成處理室內快速的氣體轉換。上下板之間的環狀充氣部414的氣體容積較佳係少於500 cm3 ,而可快速地從蝕刻轉換成沈積氣體。下板270之厚中心部分306可使熱氣退散,而位於上下板之兩相對表面之間的熱耦合墊片506則可使下板270之外圍部分308維持在所需之溫度。下板270係暴露於大部分的熱氣以及真空負載中,且將經歷高熱應力。因此吾人希望盡量縮小可能誘發熱斷裂之下板上的特徵部。有了兩件式的設計,此可能誘發熱斷裂之複雜機械性特徵部便可位於上板280上。該上下板並非栓在一起而僅是以真空力夾持在一起,並以位於O形環凹槽416及418內之兩個O形環真空密封。此種安裝方式可輕易拆解以便上下板之清洗。
有了上述之電漿處理裝置200,本氣體輸送系統便可操作以交替性地供應蝕刻氣體以及沈積氣體至該充氣部,並在200毫秒內將上下板之間之充氣部內的蝕刻氣體替換成沈積氣體,或在200毫秒內將充氣部內的沈積氣體替換成蝕刻氣體。本電漿處理裝置可用來將受支撐於基板支架上之半導體基板上的矽以至少10μm /分的速率進行蝕刻。本電漿處理裝置可經操作而在200毫秒內實質上完成充氣部內之處理氣體的轉換、在500毫秒內實質上完成處理室內之電漿限制區(處理室間距)從蝕刻氣體轉換成沈積氣體,反之亦然。
在該較佳實施例中,蝕刻氣體為SF6 ,而沈積氣體為C4 F8 。在操作上,在供應沈積氣體至該充氣部時,氣體供應系統並不會將蝕刻氣體改道至真空線路,而在供應蝕刻氣體至該充氣部時,氣體供應系統也不會將沈積氣體改道至真空線路。上述使用該電漿處理裝置之基板處理,其步驟較佳包含(a)將基板支撐於處理室中;(b)供應蝕刻氣體至該充氣部,並使蝕刻氣體通過下板中之氣孔而流進處理室間距;(c)將處理室中之蝕刻氣體激發成一第一電漿,並以該第一電漿處理該基板;(d)供應沈積氣體至該充氣部,俾使實質上替換掉蝕刻氣體,並使沈積氣體通過下板中之氣孔而流進處理室間距;(e)將處理室中之沈積氣體激發成一第二電漿,並以該第二電漿處理該基板;(f)以不大於1.8秒的總循環時間重複進行步驟(b)-(e)。
在步驟(b)中,該蝕刻氣體較佳在約500毫秒的時間內替換掉處理間距內至少90%的沈積氣體,而在步驟(d)中,該沈積氣體較佳在約500毫秒的時間內替換掉處理間距內至少90%的蝕刻氣體。在步驟(b)-(e)中,在處理期間,充氣部的壓力至少約為5 Torr。在供應蝕刻氣體及沈積氣體的一個循環中,供應蝕刻氣體的總時間可為1.3秒或更短,而供應沈積氣體的總時間可為0.7秒或更短。
處理室壓力可加以調整,俾使在供應蝕刻氣體的期間,處理室間距內的壓力大於150 mTorr,而在供應沈積氣體的期間,處理室間距內的壓力小於150 mTorr。在一較佳處理中,蝕刻氣體係以至少500 sccm的流速供應至充氣部,而沈積氣體則以小於500 sccm的流速供應至充氣部。基板與下板之間之處理室間距較佳大於4英吋。在供應蝕刻氣體期間,基板可受到高長寬比開口之電漿蝕刻,其中在該蝕刻步驟之聚合物清理階段期間,處理室間距內的壓力乃維持在小於150 mTorr持續約200毫秒,而在電漿蝕刻階段的剩餘時間,則維持在高於150 mTorr的壓力。在供應沈積氣體期間,第二電漿可將一聚合物塗層沈積於該開口的側壁上,且在整個沈積步驟期間,處理室間距內均維持在小於150 mTorr的壓力。蝕刻氣體可以是SF6 、CF4 、XeF2 、NF3 、例如CCl4 之含Cl氣體中的一或多個,沈積氣體則可以是C4 F8 、C4 F6 、CHF3 、CH2 F2 、CH4 、C3 F6 、CH3 F中的一或多個。
蝕刻氣體可透過一第一閘閥而供應至八個蝕刻氣體線路,其將蝕刻氣體輸送至下板外緣內的氣體入口,其中該八個蝕刻氣體線路具有相同的傳導性。類似地,沈積氣體可透過一第二閘閥而供應至八個沈積氣體線路,而將沈積氣體輸送至該等氣體入口,其中該八個沈積氣體線路具有相同的傳導性。吾人可使用速動閥,其中速動之電磁閥一接收到來自控制器的信號時,便會在10毫秒內發送氣動空氣至速動閥,而速動閥之總開關時間可以為30毫秒或更少。
圖6A-C顯示一示範性氣體連接區塊600,其係由例如不銹鋼或聚合物之抗侵蝕金屬材質所製成,以供應處理氣體至上板280之徑向延伸氣體通道410的其中之一。圖6A為一前透視圖,圖6B則為一後透視圖,圖6C為連接區塊600之仰視圖。連接區塊600包含一安裝表面602而與扁平安裝表面424相接觸,如此安裝表面602中之氣體出口604則與氣體通道410對齊。一對內孔606乃與扁平安裝表面424中的孔422對齊,一對肩螺釘608則以遠離表面602的方向而可在內孔606中滑動,俾使肩螺釘608上的按壓塑膠套609進入孔422中而將區塊600固定於上板280上。在內孔606之相對側的扣環611可防止肩螺釘從內孔606中掉出。在氣體出口604周圍之表面602中的O形環凹槽612乃接收一例如O形環的墊片,以提供區塊600與上板280上之扁平安裝表面424之間的密封。一對安裝孔610係延伸穿過凸緣607以將區塊600安裝於一氣體輸送環上。區塊600包含一具有貫穿之氣體入口615的安裝表面613,以及入口615周圍之O形環凹槽617。中空長方形凹部619則降低區塊600與氣體輸送環之間的熱轉移。
圖7A-C顯示氣體輸送環700的細節。圖7A顯示環700以及其上安裝之八個氣體連接區塊600,每一區塊600均提供區塊內部以及上板280中之氣體入口410之間的流體連通。圖7B顯示沒有安裝區塊600於其上之氣體環700的細節。該氣體環700包含上蓋板704中之八個氣體出口702;其內之通道被上蓋板704覆蓋之底環706;氣體入口708,處理氣體乃透過氣體入口708進入氣體環700;以及一連接底環末端712之延伸限制器710,該末端712乃位於氣體入口708之相對側。如圖7C所示,蓋板704包含內連區,其中第一區714係延伸環706的直徑的1/2長度,而一對第二區716的每一個均則在其中間點連接至第一區714之各別末端,並延伸環706直徑的1/4長度,四個第三區718的每一個均在其中間點處連接至第二區716其中之一的各別末端,以將該八個氣體出口702等距離分開。底環706包含內連通道,其中第一通道720乃延伸環706之直徑1/2長,而一對第二通道722的每一個均則在其中間點連接至第一通道720之各別末端,並延伸環706直徑的1/4長度,四個第三通道724的每一個均在其中間點處連接至第二通道722其中之一的各別末端。蓋板704包含一L形區726而連接至第一區714的中間點。該L形區覆蓋了底環706之氣體入口區730中的L形通道728,通道728則連接氣體入口708至第一通道720。底環706包含安裝表面734內的安裝孔732,孔732係與在八個氣體連接區塊600中之各別者之內的孔610對齊。
蓋板704及底環706較佳係由抗侵蝕金屬材質所製成,例如不銹鋼或聚合物材質,而蓋板704可藉由適當的生產處理(例如電子束焊接)而密封至底環706。蓋板以及/或底環之內及/或外表面均可塗佈以例如矽塗膜的保護材質。較佳的矽塗膜為一種叫做「SILCOLLOY 1000」的化學汽相沈積(CVD)多層矽塗膜,其可購自位於Bellefonte, PA的SilcoTek公司。適合之CVD矽塗膜的細節可於美國專利第7,070,833中得知,其揭露內容以參考方式合併於此。雖然依照噴淋頭以及氣體入口之配置的大小而使底環706之通道720/722/724之尺寸有所變化,但在一較佳實施例中,該尺寸可為約0.1英吋寬、約0.32英吋高,而氣體出口702可位於約10.4英吋之一半徑上。蓋板704可稍寬於底環中之通道,並恰可放進每一通道頂端之凹部內。例如,第一、二、三區714/716/718可具有厚度約0.03英吋、寬度約0.12英吋。如圖7C所示,蓋板704之第三區718之末端736可呈現向內的角度並可包含圓狀末端738。該圓狀末端738的直徑可約為0.32英吋並形成開口,而氣體出口702之直徑約為0.19英吋,且位於圓狀末端738之中心。
為了避免通道720/722/724之間方向上突然的變異,在第一通道720的末端以及第二通道722的中間點之間的兩個連接點較佳係以約0.13英吋的直徑加以圓形化,而在第二通道722的末端與第三通道724的中間點之間的四個連接點較佳係以約0.13英吋的直徑加以圓形化。在底環的某些部分有一個單一通道(例如第一通道720以及第三通道724的某些部分)、兩個相鄰通道(例如第一及第三通道同心的部分、第一及第二通道同心的部分、或第二及第三通道同心的部分)、或三個相鄰通道(第一、二、三通道均同心的部分)。
氣體環700較佳為圓形,但若陶瓷噴淋頭有不同形狀時亦可使用其他種配置。為了將氣體環700連接至噴淋頭,吾人先將延伸限制器710鬆開,再將氣體環700放置於上板280周圍。在肩螺釘608栓進孔422且氣體通道616與氣體入口410密封而流體相通後,便將延伸限制器710鎖緊,俾使氣體環700之末端712同心對齊。
圖8A為氣體環700之透視圖,該氣體環700乃透過氣體連接區塊600而連接至噴淋頭260之上板280。圖8B則說明肩螺釘608如何在滑進氣體連接區塊600之內孔606時、接合入扣件504的水平開口,該扣件504乃延伸至上板280之安裝孔420內。如圖8C所示,肩螺釘608包含按壓塑膠套609以降低與陶瓷上板280之水平孔422間的磨損。當肩螺釘608鎖進上板280外緣上的扁平安裝表面424中的孔422時,肩螺釘608之末端便進入扣件504之開口以固定區塊600的位置。安裝於孔610中的螺釘614乃將氣體連接區塊600固定至氣體環700。如圖8D所示,每一氣體連接區塊600均包含一L形通道616以將氣體環700之出口702連接至上板280之徑向延伸氣體通道410其中之一之入口。在O形環凹槽612內之O形環乃環繞該L形通道616之出口604,以提供氣體連接區塊600與上板280之扁平安裝表面424之間的密封。類似地,O形環凹槽617內之O形環乃提供氣體連接區塊600與氣體環700之安裝表面734之間的密封。
將氣體環700組合至上板280需要利用螺釘614來將氣體連接區塊600連接至氣體環700,再將氣體環700打開並滑至上板280上方,使扣件504完全扣入垂直孔420,且使扣件504內的開口與開口422對齊,再將氣體環環繞著上板280關閉,使延伸限制器710鎖上以防止環開啟,再使螺釘608鎖入孔422並通過扣件504之開口。扣件504較佳是以塑膠製成,而將區塊600固定在噴淋頭周圍。
利用氣體環700,吾人可將處理氣體透過一單一入口供應並沿著相同長度之流動路線輸送至出口702,其中從每一出口702所噴發之氣體的壓力或流速都是相同的,且氣體是從每一處出口均勻噴出。因此,每一出口的流動通道阻力(傳導性)都設為相同。如上所述,出口及通道的數目均可以依照需求而變更,不限定為上述之八個出口或特定之通道配置。
在本說明書中,「大約」一詞通常用於數值方面,意稱該值的數學精準度並非所求。因此,在數值上使用「大約」一詞時,此處乃預期有對該數值之10%的容忍度。
雖然在參考特定實施例而已詳加解說操作電漿處理裝置以快速變換處理氣體,但對於熟知本技藝之通常知識者而言,顯而易見可在不悖離本發明之範圍的情況下,進行各種變化與修改及使用相等物。
100‧‧‧電漿處理裝置
110‧‧‧氣體輸送系統
120‧‧‧基板
130‧‧‧基板支架
140‧‧‧處理室
150‧‧‧天線
155‧‧‧介電窗
170‧‧‧主要氣體環
180‧‧‧中心注射器
200‧‧‧電漿處理裝置
210‧‧‧氣體輸送系統
220‧‧‧基板
230‧‧‧基板支架
240‧‧‧處理室
250‧‧‧天線
260‧‧‧噴淋頭
270‧‧‧下板
280‧‧‧上板
302‧‧‧下表面
304‧‧‧上表面
306‧‧‧中心部分
308‧‧‧外圍部分
310‧‧‧氣孔
312‧‧‧環狀區域
314‧‧‧環狀真空密封表面
316‧‧‧環狀內部真空密封表面
318‧‧‧環狀外部真空密封表面
320‧‧‧階梯
322‧‧‧盲孔
402‧‧‧扁平上表面
404‧‧‧扁平下表面
406‧‧‧內表面
408‧‧‧外表面
410‧‧‧徑向延伸氣體通道
412‧‧‧軸向延伸氣體通道
414‧‧‧環狀充氣部
416‧‧‧內O形環凹槽
418‧‧‧外O形環凹槽
420‧‧‧軸向延伸安裝孔
422‧‧‧徑向延伸安裝孔
424‧‧‧扁平安裝表面
502‧‧‧氣體連接位置
504‧‧‧扣件
506‧‧‧熱墊片
600‧‧‧氣體連接區塊
602‧‧‧安裝表面
604‧‧‧氣體出口
606‧‧‧內孔
607‧‧‧凸緣
608‧‧‧肩螺釘
609‧‧‧按壓塑膠套
610‧‧‧安裝孔
611‧‧‧扣環
612‧‧‧O形環凹槽
613‧‧‧安裝表面
615‧‧‧氣體入口
616‧‧‧L形通道
617‧‧‧O形環凹槽
619‧‧‧凹部
700‧‧‧氣體環
702‧‧‧氣體出口
704‧‧‧上蓋板
706‧‧‧底環
708‧‧‧氣體入口
710‧‧‧延伸限制器
712‧‧‧末端
714‧‧‧第一區
716‧‧‧第二區
718‧‧‧第三區
720‧‧‧第一通道
722‧‧‧第二通道
724‧‧‧第三通道
726‧‧‧L形區
728‧‧‧L形通道
730‧‧‧氣體入口區
732‧‧‧安裝孔
734‧‧‧安裝表面
736‧‧‧末端
738‧‧‧圓形末端
圖1顯示一傳統之電漿處理裝置。
圖2顯示一根據一較佳實施例之電漿處理裝置。
圖3A-D係顯示下板270之細節,其中圖3A為其一上表面之透視圖,圖3B為其一下表面之透視圖,圖3C為其仰視圖,圖3D則為其橫剖面圖。
圖4A-H顯示上板280之細節,其中圖4A為其一上表面之透視圖,圖4B為其一下表面之透視圖,圖4C為其一側視圖,圖4D則為其橫剖面圖,圖4E為圖4D中E之細節圖,圖4F為圖4E中F之細節圖,圖4G為一氣體連接位置沿著圖4H中G-G線之橫剖面圖,圖4H為圖4C中H之細節側視圖。
圖5A-B顯示安裝於下板270上之上板280,其中圖5A為透視頂圖,圖5B則為貫穿圖5A顯示之組件的橫剖面圖。
圖6A-C顯示供應處理氣體至陶瓷噴淋頭之氣體連接區塊的細節,其中圖6A為該區塊之透視正面圖,圖6B為該區塊之透視背面圖,而圖6C則為其仰視圖。
圖7A-C顯示氣體環之細節,其中圖7A為該氣體環之俯視圖,圖7B為該氣體環之透視圖,圖7C則顯示具有蓋板與底環分開之氣體環的細節。
圖8A-D顯示安裝在陶瓷噴淋頭上之氣體環的細節,其中圖8A為環繞在陶瓷噴淋頭周圍之氣體環的透視圖,圖8B顯示氣體連接區塊的肩螺釘如何連接至位於噴淋頭之安裝孔內之扣件的開口,圖8C顯示旋入噴淋頭外緣內之徑向延伸安裝孔內的肩螺釘以及完全扣入噴淋頭內的扣件,圖8D則為連接至氣體環及噴淋頭之氣體連接區塊的透視橫剖面圖。
200‧‧‧電漿處理裝置
210‧‧‧氣體輸送系統
220‧‧‧基板
230‧‧‧基板支架
240‧‧‧處理室
250‧‧‧天線
260‧‧‧噴淋頭

Claims (24)

  1. 一種陶瓷噴淋頭組件的下板,用於電感耦合電漿處理裝置中,其中半導體基板乃受支撐於該電感耦合電漿處理裝置中之基板支架上並將進行電漿蝕刻,該下板包含:一下表面,具有一下中心部分及一下外圍部分;一階梯狀上表面,具有一上中心部分及一上外圍部分,其中該上中心部分較該上外圍部分更厚,其中該上外圍部分包含一環狀區域;以及複數氣孔,位於該環狀區域內並軸向地在該階梯狀上表面與該下表面之間延伸;其中該下表面包含一下真空密封表面,該下真空密封表面係位於該下外圍部分上;且其中該上外圍部分包含了界定該環狀區域的內部及外部上真空密封表面。
  2. 如申請專利範圍第1項所述之陶瓷噴淋頭組件的下板,其中(i)該下板係由陶瓷材質所製成,(ii)該下中心部分較該下真空密封表面更厚,或(iii)該複數氣孔包含至少二同心環之氣孔。
  3. 如申請專利範圍第1項所述之陶瓷噴淋頭組件的下板,其中該下板具有20英吋的直徑,在該上中心部分具有1.5英吋的厚度,及在該上外圍部分則具有0.8英吋的厚度。
  4. 如申請專利範圍第1項所述之陶瓷噴淋頭組件的下板,其中該等氣孔包含一32個氣孔的內環以及一32個氣孔的外環,該內環之氣孔直徑0.04英吋且距離該下板之中心5英吋,該外環之氣孔直徑0.04英吋且距離該下板之該中心6.5英吋。
  5. 如申請專利範圍第1項所述之陶瓷噴淋頭組件的下板,其中該下真空密封表面位於該下外圍部分之一階梯上,且該階梯具有0.4英吋之深度、及1.2英吋的寬度。
  6. 如申請專利範圍第1項所述之陶瓷噴淋頭組件的下板,其中該下板係由高純度氧化鋁製成,且該下表面包含一高純度氧化釔塗佈層,其覆蓋了除了該下真空密封表面以外的整個該下表面。
  7. 如申請專利範圍第1項所述之陶瓷噴淋頭組件的下板,其中該上中心部分包含用以容納一溫度感應器的一盲孔,該溫度感應器係用以於安裝在該陶瓷噴淋頭組件中時監控該下板之溫度。
  8. 一種陶瓷噴淋頭組件的上板,用於電感耦合電漿處理裝置中,其中半導體基板乃受支撐於該電感耦合電漿處理裝置中之基板支架上並將進行電漿蝕刻,該上板包含:一上表面;一下表面;一第一複數氣體通道,由該上板之一外緣徑向地向內延伸;一環狀開口;一環狀區域,環繞該環狀開口且自該下表面凹陷;以及一第二複數氣體通道,由該環狀區域軸向地延伸至該第一複數氣體通道。
  9. 如申請專利範圍第8項所述之陶瓷噴淋頭組件的上板,其中該上板係用以透過該環狀開口耦接至一下板的一中心部分並覆蓋在該下板的一上表面上,俾使當該上板耦接至該下板時該第二複數氣體通道與該下板內的一第三複數氣孔流體相通。
  10. 如申請專利範圍第9項所述之陶瓷噴淋頭組件的上板,其中(i)該上板係由陶瓷材質所製成且內及外O形環凹槽環繞該環狀區域,或(ii)該環狀區域寬1.7英吋且深度0.015-0.02英吋,該環狀區域於該上板耦接至一下板時界定了一環狀充氣部。
  11. 如申請專利範圍第8項所述之陶瓷噴淋頭組件的上板,更包含:複數對的軸向延伸安裝孔,位於該上板之該上表面內;以及複數對的徑向延伸安裝孔,位於該上板之該外緣上,該等徑向延伸安裝孔與該等軸向延伸安裝孔相交。
  12. 如申請專利範圍第8項所述之陶瓷噴淋頭組件的上板,其中該上板係由高純度氧化鋁製成。
  13. 如申請專利範圍第8項所述之陶瓷噴淋頭組件的上板,更包含:在該外緣上的複數氣體連接安裝表面,該第一複數氣體通道其中每一者在該複數氣體連接安裝表面其中相對應一者上具有一入口。
  14. 一種均勻厚度的陶瓷噴淋頭,用以在電感耦合電漿處理裝置之頂部形成真空壁,其中半導體基板乃受支撐於該電感耦合電漿處理裝置中之基板支架上並將進行電漿蝕刻,該均勻厚度之陶瓷噴淋頭包含:一陶瓷材質製之下板,其具有:一扁平下表面;複數軸向延伸氣孔,具有小於0.06之直徑及至少2之長寬比,位於一外圍部分上的一環狀區域內並在一上表面與該下表面之間延伸;一真空密封表面,位於在該下表面之一外緣的一外圍部分上;以及在該上表面上的內部及外部真空密封表面,其界定了該環狀區域,該等軸向延伸氣孔係位於該環狀區域中;以及 一陶瓷材質製之上板,其具有扁平之上、下表面,該上板覆蓋該下板,俾使一充氣部位於該上板與該下板的相對表面之間且該等氣孔與該充氣部流體相通。
  15. 如申請專利範圍第14項所述之均勻厚度的陶瓷噴淋頭,其中該下板包含至少兩排軸向延伸氣孔,該每一排具有20-50個該軸向延伸氣孔。
  16. 如申請專利範圍第15項所述之均勻厚度的陶瓷噴淋頭,其中該下板具有20英吋的直徑,在一中心部分具有1.5英吋的厚度,且在該外圍部分則具有0.8英吋的厚度,該兩排氣孔包含一32個氣孔的內排以及一32個氣孔的外排,該內排之氣孔直徑0.04英吋且距離該下板之中心5英吋,該外排之氣孔直徑0.04英吋且距離該下板之該中心6.5英吋處,而該密封表面則位於該下表面之一階梯上,該階梯具有0.4英吋之深度及1.2英吋的寬度。
  17. 如申請專利範圍第14項所述之均勻厚度的陶瓷噴淋頭,其中該上板包含:至少八個徑向延伸氣體通道,具有0.125英吋的直徑且彼此以45°相隔開;至少八個軸向延伸氣體通道,具有0.125英吋之直徑,且位於距離該上板中心5.75英吋處;一環狀凹部,其界定一環狀充氣部,該環狀充氣部寬1.7英吋且深度0.015-0.02英吋;及一內、外O形環凹槽,其環繞該環狀充氣部,該環狀充氣部乃提供該上板之該氣體通道與該下板內之該氣孔之間的流體連通。
  18. 如申請專利範圍第17項所述之均勻厚度的陶瓷噴淋頭,其中該上板更包含8對軸向延伸安裝孔以及8對徑向延伸安裝孔,該軸向延伸安裝孔具有 0.4英吋之直徑且位於該上板之上表面內,該徑向延伸安裝孔則具有0.35英吋之直徑且位於該上板之外緣上之扁平安裝表面中,該每一對安裝孔的中心點均彼此相距1英吋。
  19. 如申請專利範圍第14項所述之均勻厚度的陶瓷噴淋頭,其中該上下板均以高純度氧化鋁製成,且該下板之該下表面包含一高純度氧化釔塗佈層,其覆蓋了除了該密封表面以外的整個該下表面。
  20. 一種電漿處理裝置,包含:一處理室,於其中進行對半導體基板的處理;一基板支架,在進行對半導體基板處理時將該半導體基板支撐於該基板支架上;申請專利範圍第14項之陶瓷噴淋頭,其形成該處理室之一介電窗;一天線,其可經操作電感耦合RF能透過該介電窗而進入該處理室而將該基板支架以及該介電窗之間的一處理室間距內的處理氣體激發成電漿;以及一氣體輸送系統,其可經操作而交替供應包含蝕刻氣體以及沈積氣體之處理氣體至該陶瓷噴淋頭內之該等徑向延伸氣體通道,俾使在該環狀充氣部內之該蝕刻氣體可在200毫秒之內替換成該沈積氣體,或者是使該環狀充氣部內之該沈積氣體可在200毫秒之內替換成該蝕刻氣體,該電漿處理裝置可在至少10μm/分的速率進行對該半導體基板上之矽材料之開口蝕刻。
  21. 如申請專利範圍第20項所述之電漿處理裝置,其中該蝕刻氣體係選自SF6、NF3、及CF4,而該沈積氣體則是選自C4F8、C4F6、CH2F2、CHF3、CH3F。
  22. 一種半導體基板的處理方法,使用如申請專利範圍第20項之電漿處理裝置,其步驟包含: (a)將該半導體基板支撐於該處理室中之該基板支架上;(b)中斷該沈積氣體流並供應該蝕刻氣體至該環狀充氣部,俾使該蝕刻氣體通過該陶瓷噴淋頭中之該等氣孔而流進該處理室間距;(c)將該處理室間距中之該蝕刻氣體激發成一第一電漿,並以該第一電漿蝕刻該半導體基板中之開口;(d)中斷該蝕刻氣體流並供應該沈積氣體至該環狀充氣部,俾使該沈積氣體通過該陶瓷噴淋頭中之該等氣孔而流進該處理室間距;(e)將該處理室間距中之該沈積氣體激發成一第二電漿,並以該第二電漿沈積聚合物於該等開口內;(f)以不大於1.8秒的總循環時間重複進行步驟(b)-(e)。
  23. 如申請專利範圍第22項所述之半導體基板的處理方法,其中該半導體基板為一矽晶圓,且在步驟(b)中,該蝕刻氣體在500毫秒的時間內替換掉該處理室間距內之該沈積氣體,而在步驟(d)中,該沈積氣體在500毫秒的時間內替換掉該處理室間距內的該蝕刻氣體。
  24. 如申請專利範圍第22項所述之半導體基板的處理方法,其中(i)在步驟(b)-(e)的處理期間,該充氣部內的壓力至少為1Torr,(ii)供應該蝕刻氣體的總時間為1.3秒或更短,而供應該沈積氣體的總時間為0.7秒或更短,(iii)在供應該蝕刻氣體的期間,該處理室間距內的壓力係至少為150mTorr,而在供應該沈積氣體的期間,該處理室間距內的壓力則小於150mTorr,(iv)該蝕刻氣體係以至少500sccm的流速供應至該充氣部,而該沈積氣體則以小於500sccm的流速供應至該充氣部,或(v)該半導體基板與該噴淋頭之該下板之間的該處理室間距乃至少4英吋。
TW105129730A 2011-05-31 2012-05-31 用於電感耦合電漿蝕刻反應器之氣體分配噴淋頭 TWI612179B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/118,899 2011-05-31
US13/118,899 US8562785B2 (en) 2011-05-31 2011-05-31 Gas distribution showerhead for inductively coupled plasma etch reactor

Publications (2)

Publication Number Publication Date
TW201641741A TW201641741A (zh) 2016-12-01
TWI612179B true TWI612179B (zh) 2018-01-21

Family

ID=47259746

Family Applications (3)

Application Number Title Priority Date Filing Date
TW101119341A TWI559392B (zh) 2011-05-31 2012-05-30 電漿蝕刻反應器之陶瓷噴淋頭用之氣體分配系統
TW101119590A TWI563121B (en) 2011-05-31 2012-05-31 Gas distribution showerhead for inductively coupled plasma etch reactor
TW105129730A TWI612179B (zh) 2011-05-31 2012-05-31 用於電感耦合電漿蝕刻反應器之氣體分配噴淋頭

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW101119341A TWI559392B (zh) 2011-05-31 2012-05-30 電漿蝕刻反應器之陶瓷噴淋頭用之氣體分配系統
TW101119590A TWI563121B (en) 2011-05-31 2012-05-31 Gas distribution showerhead for inductively coupled plasma etch reactor

Country Status (6)

Country Link
US (3) US8562785B2 (zh)
JP (1) JP5891300B2 (zh)
KR (1) KR101985031B1 (zh)
CN (1) CN103597113B (zh)
TW (3) TWI559392B (zh)
WO (1) WO2012166364A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI731463B (zh) * 2019-11-06 2021-06-21 聚昌科技股份有限公司 側向擾流式高均勻度感應耦合電漿蝕刻機之製造方法及其結構

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8940642B2 (en) * 2011-07-20 2015-01-27 Applied Materials, Inc. Method of multiple patterning of a low-K dielectric film
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9580360B2 (en) * 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9905400B2 (en) * 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9570289B2 (en) * 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108140550B (zh) * 2015-10-08 2022-10-14 应用材料公司 具有减少的背侧等离子体点火的喷淋头
US10022733B2 (en) * 2015-11-10 2018-07-17 Imagine Tf, Llc Microfluidic laminar flow nozzle apparatuses
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN107993914B (zh) * 2016-10-26 2019-09-06 中微半导体设备(上海)股份有限公司 气体流量调节装置及其调节方法
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US20210017645A1 (en) * 2018-04-10 2021-01-21 Applied Materials, Inc. Resolving spontaneous arcing during thick film deposition of high temperature amorphous carbon deposition
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11600517B2 (en) * 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200258718A1 (en) * 2019-02-07 2020-08-13 Mattson Technology, Inc. Gas Supply With Angled Injectors In Plasma Processing Apparatus
US20210032753A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Methods and apparatus for dual channel showerheads
KR20230156441A (ko) 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR20220131285A (ko) * 2020-01-28 2022-09-27 램 리써치 코포레이션 고전력, 고압 프로세스들을 위한 세그먼트화된 (segment) 가스 분배 플레이트
CN111599717B (zh) * 2020-05-09 2024-03-26 北京北方华创微电子装备有限公司 一种半导体反应腔室及原子层等离子体刻蚀机
CN111564399B (zh) * 2020-05-25 2023-12-22 北京北方华创微电子装备有限公司 半导体工艺设备中的匀流机构及半导体工艺设备
US20230402264A1 (en) * 2020-09-21 2023-12-14 Lam Research Corporation Carrier ring for floating tcp chamber gas plate
USD969980S1 (en) 2020-10-20 2022-11-15 Applied Materials, Inc. Deposition chamber showerhead
USD967351S1 (en) 2020-10-20 2022-10-18 Applied Materials, Inc. Showerhead reflector
CN116288269A (zh) * 2023-02-20 2023-06-23 拓荆科技(上海)有限公司 一种薄膜沉积设备和一种薄膜沉积方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWM396482U (en) * 2009-04-10 2011-01-11 Lam Res Corp Gasket with positioning feature for clamped monolithic showerhead electrode

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP2975885B2 (ja) * 1996-02-01 1999-11-10 キヤノン販売株式会社 ガス分散器及びプラズマ処理装置
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
AU3145197A (en) 1996-06-28 1998-01-21 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
JPH10134997A (ja) * 1996-10-24 1998-05-22 Samsung Electron Co Ltd 2次電位による放電を除去したプラズマ処理装置
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3485505B2 (ja) * 1999-09-17 2004-01-13 松下電器産業株式会社 処理装置
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
JP2002280357A (ja) 2001-03-21 2002-09-27 Sony Corp プラズマエッチング装置およびエッチング方法
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP4608827B2 (ja) * 2001-08-15 2011-01-12 ソニー株式会社 プラズマ処理装置及びプラズマ処理方法
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
JP2009260377A (ja) 2001-12-25 2009-11-05 Tokyo Electron Ltd 成膜方法及び処理装置
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP3869778B2 (ja) * 2002-09-11 2007-01-17 エア・ウォーター株式会社 成膜装置
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7070833B2 (en) 2003-03-05 2006-07-04 Restek Corporation Method for chemical vapor deposition of silicon on to substrates for use in corrosive and vacuum environments
WO2004097919A1 (ja) * 2003-05-02 2004-11-11 Tokyo Electron Limited 処理ガス導入機構およびプラズマ処理装置
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7771562B2 (en) * 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
JP4845385B2 (ja) * 2004-08-13 2011-12-28 東京エレクトロン株式会社 成膜装置
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2007123766A (ja) * 2005-10-31 2007-05-17 Tokyo Electron Ltd エッチング方法、プラズマ処理装置及び記憶媒体
KR101242248B1 (ko) * 2005-11-02 2013-03-12 파나소닉 주식회사 플라즈마 처리 장치
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
CN101583736A (zh) * 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
JP5188496B2 (ja) * 2007-03-22 2013-04-24 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
JP5444599B2 (ja) * 2007-09-28 2014-03-19 東京エレクトロン株式会社 ガス供給装置及び成膜装置
CN101903996B (zh) * 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
SG188141A1 (en) 2008-02-08 2013-03-28 Lam Res Corp A protective coating for a plasma processing chamber part and a method of use
US20090275206A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
CN105088191B (zh) * 2009-07-15 2018-07-13 应用材料公司 Cvd 腔室的流体控制特征结构
MY179709A (en) * 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
US9523155B2 (en) * 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWM396482U (en) * 2009-04-10 2011-01-11 Lam Res Corp Gasket with positioning feature for clamped monolithic showerhead electrode

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI731463B (zh) * 2019-11-06 2021-06-21 聚昌科技股份有限公司 側向擾流式高均勻度感應耦合電漿蝕刻機之製造方法及其結構

Also Published As

Publication number Publication date
TW201300570A (zh) 2013-01-01
CN103597113A (zh) 2014-02-19
TWI563121B (en) 2016-12-21
JP2014523635A (ja) 2014-09-11
US9099398B2 (en) 2015-08-04
JP5891300B2 (ja) 2016-03-22
US20140065827A1 (en) 2014-03-06
US20150318147A1 (en) 2015-11-05
TW201641741A (zh) 2016-12-01
TWI559392B (zh) 2016-11-21
US9934979B2 (en) 2018-04-03
US8562785B2 (en) 2013-10-22
KR101985031B1 (ko) 2019-05-31
US20120309204A1 (en) 2012-12-06
CN103597113B (zh) 2016-08-17
KR20140039010A (ko) 2014-03-31
TW201250831A (en) 2012-12-16
WO2012166364A1 (en) 2012-12-06

Similar Documents

Publication Publication Date Title
TWI612179B (zh) 用於電感耦合電漿蝕刻反應器之氣體分配噴淋頭
US10366865B2 (en) Gas distribution system for ceramic showerhead of plasma etch reactor
US11264213B2 (en) Chemical control features in wafer process equipment
TWI785045B (zh) 用於改善之前驅物流動的半導體處理腔室
US8133349B1 (en) Rapid and uniform gas switching for a plasma etch process
US9679751B2 (en) Chamber filler kit for plasma etch chamber useful for fast gas switching
JP2014523635A5 (zh)
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
TWI827654B (zh) 用於基板處理系統之侷限環與在基板處理系統中使用侷限環的方法
US20230352278A1 (en) Plasma-exclusion-zone rings for processing notched wafers